[ { "title": "0805.3980v1.Negative_differential_resistance_in_molecular_junctions__application_to_graphene_ribbon_junctions.pdf", "content": "arXiv:0805.3980v1 [cond-mat.mes-hall] 26 May 2008Negative differential resistance in molecular junctions: a pplication to graphene ribbon\njunctions\nHosein Cheraghchi1, Keivan Esfarjani2\n1School of Physics, Damghan University of basic sciences, Da mghan, IRAN\n2Department of Physics, University of California, Santa Cru z, CA 95064∗\n(Dated: November 5, 2018)\nUsing self-consistent calculations based on Non-Equilibr ium Green’s Function (NEGF) formal-\nism, the origin of negative differential resistance (NDR) in molecular junctions and quantum wires\nis investigated. Coupling of the molecule to electrodes bec omes asymmetric at high bias due to\nasymmetry between its highest occupied molecular orbital ( HOMO) and lowest unoccupied molec-\nular orbital (LUMO) levels. This causes appearance of an asy mmetric potential profile due to a\ndepletion of charge and reduction of screening near the sour ce electrode. With increasing bias, this\nsharp potential drop leads to an enhanced localization of th e HOMO and LUMO states in different\nparts of the system. The reduction in overlap, caused by loca lization, results in a significant reduc-\ntion in the transmission coefficient and current with increas ing bias. An atomic chain connected\nto two Graphene ribbons was investigated to illustrate thes e effects. For a chain substituting a\nmolecule, an even-odd effect is also observed in the NDR chara cteristics.\nPACS numbers: 73.23.-b,73.63.-b\nI. INTRODUCTION\nNegative differential resistance (NDR) was first ob-\nserved by Esaki in diodes1, where occupied states on one\nside become aligned with the gap of other side as the\nvoltage is increased. Current reduction also occurs when\nthe position of the resonant states of the molecule move\nwithinthegapofoneofthecontacts2,3asinresonanttun-\nneling diodes. In metallic carbon nanotube junctions4, it\nwas found that the reduction of the current is due to a\nmismatch in the symmetry of the incoming and outgo-\ning wavefunctions of the same energy. Another work5on\nthe I-V characteristicof CoPc on gold has also associated\nthe NDR effect with lack of orbital matching between Ni\ntip and Co atom. Another origin was explained in STM\nmeasurements6,7. In this case, narrow peaks in the local\ndensity of states (LDOS) of an atomic scale tip sweep\npast the LDOS of an adsorbed molecule as the bias volt-\nage is increased.\nMore recently, more instances of NDR were\nobserved5,8,9or predicted10,11,12,13,14,15in molecu-\nlar devices. In the case of potential barriers in 2D\nGraphene sheets10, the effect was due to the linear\ndispersion of (massless Dirac) electrons which show a\ngap in their transmission across the barrier. In Ref. 11 it\nwas due to the presence of Van Hove singularities in the\nDOS of the 1D electrodes regardless of the type of the\ncontact. This latter explanation is related and similar to\nthat of Refs.[6,7,12] which involves sharp features in the\nLDOS. In these cases, however, the general conditions\nnecessary for the observation of the effect were not\nclearly elucidated. Sharp features in the LDOS can lead\nto NDR12,16, but it is not a sufficient condition for the\nobservation of NDR, as a reduction in spatial overlap of\nthose states is also needed.\nThe current in nanoscale devices is given by the Lan-\ndauer formula (see eq. 15) which involves the transmis-sion coefficient given by the product of the local den-\nsity of states (LDOS) of the left and right electrodes by\nthe off-diagonal matrix elements of the Green’s function\n(GF) connecting the left electrode to the right one (see\neq.16). A reduction in the current is caused by a lower-\ning of either term in the transmission coefficient. While\nNDR in some devices is caused by a lowering of the ma-\ntrix element of the GF17, in some other cases it is caused\nby a reduction in the product LDOS within the energy\nintegration window5,6,7,12,14,16.\nIn this paper we explain the reason for occurrence of\nsharp features in LDOS, and also emphasize that charg-\ning effects play an enhancing role in producing NDR in\nthe I-V characteristics of nano-junctions. A large bias\ncauses charge depletion, an asymmetric potential profile,\nand asymmetric coupling even in a symmetric structure,\nresulting in a stronger localization of states on different\nparts of the system, thereby reducing transmission and\ncurrent.\nWe consider an atomic carbon chain between two\ngraphene tips as a nano-junction (Fig. 1), albeit all re-\nsults are generalizable to other types of nano-junctions.\nWeak contacts between tips and the chain/molecule\nwhich usually occur in experiments involving break or\nmolecular junctions, are necessary for causing localized\nstates within the molecular region and observation of\nNDR. So, we adopt a model in which hoppings to leads\nare smaller than intramolecular or intralead hoppings.\nWe claim that in molecular junctions where NDR is ob-\nserved, localization of electronic states within the bias\nenergy window is the dominant cause of reduction in\ncurrent. The weak bond can play the role of a barrier\nto localize states within or near the molecule. The pur-\nposeofourmodelisnottomakequantitativepredictions,\nbut just to illustrate the NDR mechanism using a simple\nenough model. Given the small size of contact we assume\nthat transport at high bias is mostly coherent and dissi-2\n Chain Weakened Bonds \nDrain Ribbon Source Ribbon Central Interacting Region Z=0 Z=L \nFIG. 1: (Color online) Two Graphene tips connected with a\nweakened bondtoacarbon chain. Tips havesharp structures.\nThe weakened bond is considered to be 0 .3 of the normal\nhopping of the C-C bond. The central interacting region is\nshown with the dashed rectangle.\npation due to electron-phononinteractionsoccurs mainly\nin the drain.\nAfter presenting Hamiltonian of the system in section\nII, we will introduce the formalism and method used to\nhandle the electrostatics of the problem in the section\nIII. In the Appendix, electrostatic potential calculated\nby this method is compared with two other methods.\nWe are going over the general formalism used for the cal-\nculation of non-linear transport characteristics in section\nIV. The responsible for current reduction in an atomic\nchain between two graphene tips which is known to be\nlocalization of states induced by charging effects will be\npresented in the section V.\nII. MODEL\nThe single electron Hamiltonian of the central system\n(C) including the molecule is\nHC=/summationdisplay\ni∈C[εi+uext\ni+Wi]c†\nici+/summationdisplay\nt(c†\nicj+cic†\nj) (1)\nwherec†\niandciare respectively the electron creation\nand annihilation operators on site iofC, andtis the\nhopping energy between nearest neighbor atoms. One\nπorbital per site is considered for this system. Un-\nder an applied bias, the solution to Poisson’s equation\nis the sum of the solution to Laplacewith symmetric\nboundary conditions on the electrodes V(z= 0) =−V/2\nandV(z=L) =V/2 (this is denoted by uext\ni), and\nthesolution to Poisson with boundary condition V(z=\n0) =V(z=L) = 0 at both ends (this is denoted by\nWi=/summationtext\njVijδnj). The sum uext+Wclearly satisfies\nPoisson equation and the proper boundary conditions.\nHereVijis the electrostatic Green’s function calculated\nby the method ofimages, and δnj=nj−n0\njis the change\nintheself-consistentcharge njfromitsinitialequilibrium\nzero-bias value.\nIt should be noted that parts of electrodes (here also\ncalled as ”tips”) have been incorporated inside the inter-\nacting central region as there is always some potentialdrop beyond the contact of the electrodes with the cen-\ntral “molecule”.\nIII. ELECTROSTATIC GREEN’S FUNCTION\nThe electrostatic potential is determined by both the\ndirect interaction of electrons with each other and the in-\ndirect one via image charges. The image charges induced\nby electrons within the electrodes, strongly depend on\nthe spatial configuration of the electrodes and the con-\ntact atoms. For the simplicity of calculations, it is usual\nto consider the electrodes as two infinite planes perpen-\ndicular to the molecule18. These planes are located on\nthe contacts.\nIt is supposed that electrodes are a perfect metal with\ngood screening properties, and that at their boundary\nthe potential can be considered as a constant so that\nDirichlet boundary conditions can be applied there. In\nthis case, the potential drop occurs within the central\npart of the sample, which we call “molecule”, although,\nstrictly speaking this central region is taken to be larger\nthan the molecule itself as there is always some potential\ndrop at the contact of the electrodes with the central\n“molecule”.\nIt should be mentioned that the 3-dimensional Poisson\nequation needs to be solved in order to find the correct\npotential profile along the molecule. Indeed the electric\nfield lines are not necessarily straight lines, and a 1D so-\nlution would be incorrect. So the Coulomb Kernel needs\nto be more like the 3-dimensional 1 /|r−r′|rather than\nthe 1-dimensional |r−r′|.\nAs there is a finite charging energy when the two elec-\ntrons are on the same site, there should be no diver-\ngence in the kernel, and the onsite Coulomb repulsion\nhas been modeled by the so-called “Hubbard” parameter\nUH, which could also contain exchange and correlation\neffects if appropriately chosen. However, image charges\npotential lowers the potential on one site from its initial\nvalueUH.\nIn this article, the Ohno-Klopmann (OK) model19has\nbeen adopted for the Coulombic function U:\nU(/vector ri,/vector rj) =1/radicalBig\n|/vector ri−/vector rj|2+U−2\nH(2)\nIt has the correct limits for both large and small inter-\nparticledistance /vector ri−/vector rj. It hastheadvantageofincluding\nonsite correlations through the Hubbard-like parameter\nUH.\nIn the literature20, there exists an exact Dirichlet\nGreen’s function for a point charge or a distribution of\ncharges between parallel conducting planes held at zero\npotential. The planes are located at z=0 and z=L. Using\nthis Green function, we present the following exact form\nwhich is appropriate for the kernel of Ohno-Klopmann\nmodel (Eq.(2)).3\nV(x,y,z;x′,y′,z′) = 2/integraldisplay∞\n0dkJ0(αk)f(k,z<,z>) (3)\nwhere\nf(k,z<,z>) =sinh(kz<)sinh(k(L−z>))\nsinh(kL)(4)\nα=/radicalBig\n(x−x′)2+(y−y′)2+U−2\nH(5)\nThe asymptotic behavior of the function f(k) in Eq.(4)\nis as follows:\nlim\nk→∞f(k)→0.5e−k(z>−z<)→/braceleftbigg\n0.5z<=z>\n0z<∝negationslash=z>(6)\nMoreover, f(k) goes to zero when k→0. Since at z<=\nz>, the function of f(k) will be a constant for k≫1/z<,\nthe integration with infinite range can be converted to a\nlimited range integration .\nV(z<=z>) =1\nα−/integraldisplayk0\n0(1−2f(k))J0(αk)dk(7)\nwheref(k0) = 0.5. The value of k0in nanotubes and\ngraphenes used here is about 100. This value depends on\nthedistancesbetweenatomsofamoleculeandalsoonthe\ndistancesbetweentwoboundaryplanes( L). Incaseofon\nsite electrostatic potential ( x=x′;y=y′), the first term\nof Eq.(7) is the Hubbard energy. However, a subtraction\nterm which depends on the distances between atoms and\nL, lowers the Hubbard energy from UH. This term is\nthe image charges potential which was considered in the\nvariational method, too (Appendix.B). The value of the\nsemi-empirical Hubbard term for carbon21, is about 10\neV=0.37 a.u. So U−1\nH∼=2.72 whereas the typical bond\nlength is of the order of 1.4 ˚A=2.6 a.u.\nIn the Appendix (A,B), we compare this method\n(namely the exact method) with two other methods so-\ncalled the variational and image charges method.\nIV. CALCULATION OF CHARGE AND\nCURRENT\nThechargeisobtainedusingtheNEGFformalism22,23.\nThe electrodes electrochemical potentials and the fermi\nfunctions are shown by µL,RandfL,R, respectively. The\nretarded Green’s function matrix is:\nG(Z) = [ZI−H−Σr\nL−Σr\nR]−1(8)\nwhereZ=E+iηis a complex variable whose real\npart is energy and η→0+. ”I” is the unit matrix. Histhe molecule Hamiltonian defined by Eq.(1) in the tight-\nbinding approach. Σr\nL/Rare the retarded self-energies\narisingfromscatteringbytheleft/rightsemi-infiniteelec-\ntrodes. Theseself-energiesdependonspaceconfiguration\noftheelectrodesandthequalityoftheelectrode-molecule\ncouplings. We have to obtain the surface Green’s func-\ntion of semi-infinite electrodes gp(E) in order to deter-\nmine the self-energy. The Lopez-Sancho’s method24has\nbeen used to calculate the surface Green’s function. The\nretarded self-energies are given by:\nΣr\np=τT\npgr\np(E)τpp≡L/R (9)\nwhereτpis the coupling matrix between the electrodes\nand the molecule22. Since the hopping terms are short-\nranged, most elements of the coupling matrix are zero.\nBroadening of the molecule energy levels due to attach-\nment to the electrodes is related to the self-energies as:\nΓp=i[Σr\np−Σa\np] = 2πτT\npLDOS(p,E)τp(10)\nNote that the broadenings are proportional to the local\ndensity of states at the connecting sites to the electrodes.\nItshouldbenotedthatinthispapertransportisassumed\nto be coherent. The charge density is the sum of two sep-\naratepartscomingfromequilibrium and non-equilibrium\ncharges. Since the voltage division is symmetric on the\nelectrodes, the equilibrium charge neqis calculated from\nthe retarded Green’s function as:\nneq\ni=−1\nπ/integraldisplayµ0−V/2\n−∞Im[Gr\nii(E)]dE (11)\nwhereµ0=µR=µL. The initial charge n0\niis cal-\nculated by the above integration in zero bias. In the\nnon-equilibrium situation, the lesser Green’s function\n−iG<(E) represents the occupation number in the pres-\nence of the two electrodes subject to a bias. The non-\nequilibrium charge nnon−eqis determined in the presence\nof an external bias V.\nnnon−eq\ni=1\n2π/integraldisplayµ0+V/2\nµ0−V/2[−iG<\nii(E)]dE(12)\nIt can be simply shown that in the coherent regime\nthe lesser Green’s function is determined by the retarded\nGreen’s function (Eq.(8)).\nnnon−eq\ni=1\n2π/integraldisplayµ0+V/2\nµ0−V/2[Gr(ΓLfL+ΓRfR)Ga]iidE(13)\nwherefp= 1/[1+exp(E−µp\nkBT)] showsthe fermi function\nof the electrodes. Finally, both parts of the charge are\nsummed to give the total charge:4\nn=neq+nnon−eq(14)\nSince the molecular Hamiltonian itself depends on the\nelectrondensity, oneneedsto doaself-consistentprocess.\nThe self-consistent algorithm follows these steps. At the\nfirst step, the left and right self-energies in Eq.(9) are\ncalculated once before the self-consistent loop. In the\nsecond step, the Hamiltonian is set using a guess input\ncharge.\nThe calculation of chargesin Eqs.(11,13) is a hard step\nasitneedstobe wellconverged. Thenewandoldcharges\ncan be mixed with each other by using linear mixing or\nBroyden’s method25. Using the mixed charge, this pro-\ncess will start from the first step and continue till con-\nvergence is achieved. Finally, having the self-consistent\nchargeandpotentialprofiles, thecurrentpassingthrough\nthe molecule is calculated by the Landauer formula22.\nI(V) =2e\nh/integraldisplay∞\n−∞dET(E,V)[fR(E)−fL(E)]\n=2e\nh/integraldisplayµ0+V/2\nµ0−V/2dET(E,V) (15)\nwhere the second expression is written for zero temper-\nature. The transmission coefficient T(E,V) is defined\nas:\nT= Tr[GrΓRGaΓL]∝LDOS(L)LDOS(R)|GLR|2(16)\nThe integral evaluation for charge density in\nEqs.(11,13) has to reach a reasonable accuracy. The\nspeed of the convergenceprocessdepends stronglyon the\naccuracy of the integration process. For weak couplings,\nthe van Hove singularities in the density of states (DOS)\nwill make it tremendously difficult to integrate the DOS\nalong the real axis with desired accuracy. Indeed, the\nsingularities arising from the poles of the Green’s func-\ntion are close to the real axis. However, in the complex\nenergy plane, the DOS along the complex contour away\nfrom the real axis is very smooth26. The resultant for-\nmula for a contour integration of the equilibrium charge\nis:\nneq\ni=ρ\nπ/integraldisplayπ\n0Re[Gii(z0+ρeiθ)eiθ]dθ\n(17)\nρ=µ0−V/2−Emin\n2;z0=µ0−V/2+Emin\n2(18)\nwhereEminischosentobelowerthanthelowesteigen-\nvalue ofH.\nV. RESULTS\nFig.(2) shows the NDR phenomenon in the I-V curves\nof odd and even length chains located between two0 0.5 1 1.5\nVoltage (V)Current(nA)3 Atoms\n5 Atoms\n102030405060\nb)\n0 0.2 0.4 0.6 0.8 1 1.2\nVoltage (V)Current(nA)0 atom\n4 Atoms\nCappedNT\n5101520253035a)\n(6 atoms)\nFIG. 2: (Color online) I-V curves for two graphene tips con-\nnected to the chain with a) even and b) odd chains. The\nhopping of the weakened bond is 0.3 times that of the intra-\nchain hopping ( t). In case of ”0 atom” where two tips are\nfacing each other with no chain in between, the hopping in-\ntegral is equal to 0.1 t. NDR is also observed in two (5,5)\ncapped nanotubes (NTs) with a 6 atoms chain in between.\nCurrent through NT system is 50 times larger than shown.\ngraphene tips. To show that NDR is also obtained with\ngapless leads, we have also made calculations for a (5,5)\ncarbon nanotube and still observed a reduction in the\ncurrent due to localization of states at the caps of the\ntubes at high bias. Details will be reported elsewhere.\nThe NDR threshold voltage for odd length chains is\nhigher than that for even chains. The origin of this dif-\nference can be traced back to the distance of those levels\nwhich play a role in the observation of NDR from the\nFermilevel. Forodd chains, thestateatthe Fermilevelis\nan extended state over the length of the chain27, whereas\neven chains have a gap at the Fermi level. Therefore typ-\nically a twice larger bias is needed to observe NDR in\nodd chains compared to even chains of similar length.\nTo understand the origin of NDR in this system, in\nFig. 3 we compare the transmission coefficients at the\ncurrent peak and valley voltages. As one can see from\nthe figure, there is a large reduction in the transmission\nof the resonant states when the bias is increased. We will\nshow that the reason for this can be traced back to a loss\nof LDOS overlap of the left contact with the right one.\nIn Fig. 4, the electrostatic potential energy and trans-\nferred charge ( δn=n−n0) profiles are plotted for differ-\nent biases. These distributions are obtained for a small\nvoltage (0.2 V) and voltagesof the peak and valley of the\ncurrent. In the linear regime, potential is nearly sym-\nmetric. However, by increasing the bias, some charge\nis depleted from the source, thereby weakening the ef-\nfect of screening and enhancing the potential drop fur-\nther at the source. The asymmetry in the voltage drop\ncan be understood in the following way. The transferred\ncharge between electrodes and molecule depends on the\nquantum capacitance of the molecule. Quantum capaci-\ntance increases with the surface density of states at the\nsource or drain electrochemical potentials. Fig. 5 (a,b)5\n-5 -4.75 -4.5 -4.25 -4\nEnergy00.0020.0040.006Transmission0.75 V\n0.8 V\nFermiLevelb) 5 Atoms\n-5 -4.75 -4.5 -4.25 -4\nEnergy00.0020.0040.0060.008Transmission0.4 V\n0.55 V\nFermiLevela) 4 Atoms\nFIG. 3: (Color online) Transmission coefficient through a\nchain connected to two graphene tips. Transmission is plot-\nted for a chain with a) 4 atoms (even chain) b) 5 atoms\n(odd chain) at peak and valley voltages. Vertical dashed,\ndotted and dash-dotted lines identify the Fermi level, inte -\ngration windows at current-peak voltage and current-valle y\nvoltage, respectively. A large reduction in the transmissi on\ncan be noticed at higher voltage. Transmission through othe r\nodd/even chains have similar features.\n10 15 20\nAtomsPosition(A )-0.04-0.03-0.02-0.0100.01TransferredCharge0.2 V\n0.4 V\n0.55 V4 Atomschain\n°10 15 20 25\nAtomsPosition(A )-0.4-0.3-0.2-0.100.10.20.3Potential0.2 V\n0.4 V\n0.55 V4 Atoms\nchain\n°\nFIG. 4: (Color online) Potential and transferred charge\n(ni−n0\ni) for a chain with 4 atoms between two Graphene\ntips. Profiles for three voltages are plotted; a small voltag e,\nand voltages which correspond to the current peak and val-\nley. Potentialandcharge hasbeenaveragedoneachGraphene\nlayer. Source is on the right and drain on the left.\nshows that LDOS(EF+V/2) on the surface layer of the\nsource side is much smaller than LDOS(EF−V/2) on\nthe drain side. Due to its capacitive coupling with the\ndrain, one state (see Fig. 5(a)) which is localized on the\ndrain side of the molecule is pinned at EF−V/2. So\nLDOS(EF−V/2) remains large as the bias is increased,\nwhileLDOS(EF+V/2)graduallydecreaseswhentheres-\nonantstatesin Fig. 5(b) moveawayfrom EF+V/2. This\nasymmetry in LDOS translates into an asymmetry in the\ncouplingsofthecentralregiontoleads,eventhoughthere\ngeometricsymmetryisenforced. Ontheside withweaker\ncoupling (source side in our case) screening would be less\neffective and potential drop more pronounced. Therefore\nessentially the asymmetry at large biases develops due\nto the asymmetry in the distribution of molecular states\naround the Fermi level. This phenomenon is expected\nto be universal in molecular double junctions with weak0 1 2\nLDOS(E)-4.8-4.6-4.4-4.2-4EnergyEF\nEF-V/2a) DrainSide (Z=0)V=0.4 V\n0 0.5 1 1.5\nLDOS(E)-4.8-4.6-4.4-4.2-4EnergyEFEF+V/2b) Source Side (Z=L)\n0 0.2 0.4 0.6 0.8\nVoltage (V)-0.10TotalTransferredCharge(c)\nFIG. 5: (Color online) Surface density of states of a) the\nsource and b) drain electrodes on z=0 and z=L shows an\nasymmetric coupling to the chain. In this case, the chain\ncontains 4 atoms between the two Graphene tips. c) Total\ncharge depletion ( δn) of thecentral region versus applied bias.\ncouplings. Another consequence of the effective weaken-\ning of the couplings to the leads is the sharpening of the\nmolecularstates. States near the weak coupling will have\nnarrower peaks at high bias. This is a signature of their\nenhanced localization.\nThe strong reduction in the transmission arises from\nthe localization phenomenon which occurs due to the\nsharp linear potential drop near the source tip. The\nonsite energies are most negative on the left side while\nthey are most positive on the right side of the source tip\n(atoms located on 20 and 25 A◦on Fig. 4). Therefore\nthe LDOS of the left side atoms is large at low ener-\ngies, whereas that of the right side atoms becomes large\nat high energies. This situation is very similar to an\nionic bond with a large onsite energy difference. The\nbonding and antibonding eigenstates become farther sep-\narated (compared to when onsite energies were equal),\nand this causes transfer of charge to the low energy site,\nand enhanced localization of orbitals on the sites due to\nthe large electric field present.\nThe upper half of each curve in Fig. 6 shows LDOS on\nthe left and right side atoms of the source tip at voltages\nofthe peakandvalley ofcurrent. It wascheckedfrom the\nLDOS data that states with higher energies become lo-\ncalized on site labeled by 25 ˚A, while lower energy states\nbecome localized on the left atoms of the source tip (site\nlabeled by 20 ˚A). Therefore the product LDOS at these\ntwo sites is reduced with increasing bias, due to a re-\nduced overlap, leading to a decrease in T(E) according\ntoeq.16. InFig. 6andfora biasvoltageof0.55V, strong\nlocalization occurs at E=−4.25eVwhere the transmis-\nsion is also reduced. The lower half of the curves in Fig.\n6 shows that the transmission closely follows the prod-\nuct of LDOS of the left and right atoms (atoms located\non 20A◦and 25A◦) of the source tip. By increasing the\nbias from the current-peak to current-valley, states with\nhigher energies become localized on the right side of the\nsource tip. So the overlap of LDOS’s on the ends of the\nsource tip is reduced. As a result, their product which\nis proportional to the transmission decreases. If these6\n-5 -4.75 -4.5 -4.25 -4\nEnergyV=0.55 V\n02468\n0\n1\n2\n3\n4\n5\n-5 -4.75 -4.5 -4.25 -4\nEnergyLDOS(left)\nLDOS(right)\nLDOS(left)*LDOS(right)\nTransmission*103V=0.4 V\n02468\n0\n1\n2\n3\n4\n5\n6\n7\n8\nFIG. 6: (Color online) Local density of states on the first\n(atom located on 20 A◦, long dashed line) and last (atom lo-\ncated on 25 A◦, dashed line) atoms of the source tip is plotted\nin upper half of the graphs. Their product (dotted line) is\ncompared with the transmission (solid line) in lower half of\nthe graphs. Voltages are at the current-peak (0.4 V, left)\nand current-valley (0.55 V, right). The chain connected to\nthe Graphene tips contains 4 atoms. Vertical lines show the\nFermi level and the integration window. For comparison with\nLDOS products, transmission is shown 103times larger.\nlocalized states fall in the integration window of current,\ntransmission as well as current reduction occurs.\nVI. CONCLUSION\nIn conclusion, for observation of NDR, although the\npresence of sharp features in the density of states located\non the sharp tip apexes and their localization is required,\nthe enhancing factor for localization is the charge deple-\ntion of the molecule as the bias is increased. Asymmetric\npotential profile which shows a sharp potential drop in\nthe source side of the molecule, arises from the asymme-\ntry in the LDOS of electrodes connected to the molecule.\nThe asymmetry in LDOS’s causes different amounts of\ncharge flow from the molecule to the drain and source\nelectrodes, respectively. The weak screening of the po-\ntential due to the depleted charge causes a larger poten-\ntial drop on the source side. However, the potential on\nthe drain side varies weakly and remains almost flat. Be-\ncause of the potential drop in the source tip, states with\nhigher energy become localized on the sites with higher\npotentials (right side of the source tip), and states with\nlower energy become localized on the sites with lower po-\ntentials (left side of the source tip), similar to an ionic\nbond. The chargedepletion and potential drop areinten-\nsified in the source tip as the applied voltageis increased.\nThis results in a more effective localization of states. Lo-\ncalizationcausesareductionintheoverlapoftheLDOS’s\non the ends of the source tip and a subsequent reduction\nin the transmission and current. \nj L \nd d \n2(L-d) Image Plates Image Plates Test Charge \nPlate \nx \ny z Z0 Z0 R2 \nR1 Boundary \nPlate 1 \n1 2 \n2 \nFIG. 7: In the image charges method, test charge induces\nsome image rings just behind the boundary surface.\nVII. APPENDIX\nA. The variational Method\nTo find the effect of image charges, we need to impose\nthe Dirichlet boundary condition V= 0 at the two left\nand right electrode planes. Instead of solving Poisson’s\nequation, we postulate the electrostatic Green’s function\nof Eq.(1) to be:\nV(/vector ri,/vector rj) =\n\nU(/vector ri,/vector rj)−U(/vector rR,/vector rj) zi> zj\nU(/vector ri,/vector rj)−U(/vector rR,/vector rj)+U(/vector rL,/vector rj)\n2zi=zj\nU(/vector ri,/vector rj)−U(/vector rL,/vector rj) zi< zj\n(19)\nwhere/vector rRand/vector rLshow the positions of the atomic lay-\ners located in the right and left contact surfaces, respec-\ntively. Although this function is not the exact solution\nof Poisson’s equation, it has the correct limits for rion\nthe boundary surfaces, where it is equal to zero by con-\nstruction. It is therefore a reasonable solution in a varia-\ntional sense, though here we are not varying any parame-\ntertooptimizethe solution. Inthis method, wepostulate\nthat the image charges potential on the test charge plane\n(zi=zj) to be as an interpolation of the left and right\nsolutions in Eq.(19). The kernel used for the coulombic\nfunction Uhas been chosen to be as the OK model in\nEq.(2).\nB. Numerical Method of Images\nThe straightforward way for providing an electrostatic\nGreen’s function which satisfies Dirichlet boundary con-\ndition, is to use image charges. Image charges can be\nput on fictitious planes just behind the plane on which\nwe want the potential to be zero. Note that the choice\nof their location or charge is not unique.\nSince the potential on the boundary surfaces must be\nzero, one can find the image charges, if their location is7\n0 10 20 30 40\nSite Number0123456789ElectrostaticPotentialVariationalMethod\nImage ChargesMethod\nExactMethod\nFIG. 8: Comparison of three methods for the calculation of\nthe electrostatic Green function. The sample is a (5,5) nan-\notube which has 4 rings (40 atoms) in the middle part. The\ntest charge is set on the site number 19. The Hubbard term\nis considered to be UH= 11.3. Numerical calculation of the\nimage charges method has been done by n= 20 and z0= 2.\nfixed, by solving a system of the linear equations. For a\ntestchargelocatedonamolecularsite /vector rj, onehastosolve\nthe set of linear equations which are equal in number to\nthe number of boundary constraints. The constraints\nleading to a linear system are as follows:\nV(/vector ri,/vector rj) =U(/vector ri,/vector rj)+nimg/summationdisplay\nk=1qj\nkU(/vector ri,/vector pj\nk) (20)V(/vector rL,/vector rj) =V(/vector rR,/vector rj) = 0 (21)\nwhere/vector riis the field point and /vector rjis the source point,\nwith its images being of charge qj\nkand located at /vector pj\nk. For\na given test charge location, the number of images nimg\nwe need depends on the number of points (constraints)\non the boundaries, at which one wants the potential to\nbe zero.\nAs an example, Fig.(7) shows a nanotube and the po-\nsition of its contacts and image charges. In this model,\nall image rings are placed behind the first image plane\nmarked by number 1. The first image charge planes\nwhich are the reflected planes from the contact surfaces,\nare located at z=−dandz= 2L−d, wheredis the\ndistance of the plane which includes the test charge from\nthe left contact surface. The distance of image planes\nfrom each other is considered to be a constant value z0.\nThe number of image planes is equal to the number of\nboundary rings ( n). It is supposed that the number of\nsites on an image ring is the same as the boundaries and\nnanotube rings. In this case, cylindrical symmetry of the\nimages and boundaries sites is important to produce a\nsmooth potential at the boundaries.\nFig.(8) shows a comparison between these three meth-\nods. Agoodcorrespondencecanbe observedbetweenthe\npotentialofimagechargesmethodandthe exactmethod.\nThey differ by only 2 percent, while they have about 20\npercent difference with the variationalmethod. However,\nthe advantage of the variational method is its simplicity\nfor application on any structure, while the position and\nvalues of image charges depend on the structural symme-\ntries.\n∗Electronic address: cheraghchi@dubs.ac.ir\n1L. Esaki, Phys. Rev. 109, 603 (1958).\n2R. Tsu and L. Esaki, Appl. Phys. Lett. 22, 562 (1973).\n3V. J. Goldman, et al. Phys. Rev. Lett. 58, 1256 (1987); T.\nRakshit, et.al., Nano. Lett. 4, 1803 (2004).\n4A.A. Farajian, et al. Phys. Rev. Lett. 82, 5084 (1999).\n5S. Wang, et al. Phys. Rev. B 74, 195430 (2006).\n6I. W. Lyo, P. Avouris, Science 245, 1369 (1989)\n7Y. Xue, et.al., Phys. Rev. B. 59R7852 (1999)\n8T. D. Yuzvinsky, et.al., Nano. Lett. 6, 2718 (2006)\n9Khoor,et al. Bull. APS Meeting, March (2007).\n10D. Dragoman, M. Dragoman, Appl. Phys. Lett. 90, 143111\n(2007).\n11P. Moffatt, E. H. Kim, Appl. Phys. Lett. 89, 192117 (2006)\n12N. D. Lang, Phys. Rev. B. 55, 9364 (1997).\n13H. Dalgleish, G. Kirczenow, Phys. Rev. B 73, 245431\n(2006).\n14W. Y. Kim, et al. Phys. Rev. B 76, 033415 (2007).\n15B. Muralidharan, S. Datta, Phys. Rev. B 76, 035432\n(2007).\n16B. Larade, et al. Phys. Rev. B. 64, 75420 (2001).\n17This is due to either a symmetry mismatch between leftand right wavefunctions(see for example refs. [4,5], or an\nexponential decay versus length of the GF due to energy\nnon-alignment (for example in RTD diodes1,2,3).\n18V. A. Sablikov, S. V. Polyakov, M. Buttiker, Phys. Rev.\nB.61, 13763 (2000); V. A. Sablikov, B. S. Shchamkhalova,\nPhys. Rev. B. 58, 13847 (1998).\n19The potential V(r) = [r2+U−2\nH]−1/2which has the cor-\nrect asymptotic behavior at small and large distances is\nused instead of the Coulomb kernel. It has the advantage\nof including onsite correlations through the Hubbard-like\nparameter UH. K. Ohno, Theor. Chim. Acta 2, 219 (1964);\nG. Klopman, J. Am. Chem. Soc. 86, 4550 (1964).\n20J. D. Jackson, Classical Electrodynamics , 3ndEd , p140,\nproblem 3.17.\n21K. Esfarjani and Y. Kawazoe, J. Phys.: Cond. Matt. 1¯0,\n8257 (1998).\n22S.Datta, Electronic Transport in Mesoscopic Systems\n(Cambridge U.P, Cambridge, 1995); S.Datta, Quantum\nTransport: Atom to transistor (Cambridge U.P, Cam-\nbridge, 2005)\n23G. C. Liang, et al., Phys. Rev. B. 69, 115302, sec IIA8\n(2004).\n24M. C. Munoz, et al., Prog. Surf. Sci. 26, 117 (1988); F.\nGarcia-Moliner and V. R. Velasco, Theory of Single and\nMultiple interfaces (World Scientific, Singapore, 1992).\n25C. G. Broyden, Math. Comput. 19, 577 (1965), also See,\ne.g., K. Ohno, K. Esfarjani, and Y. Kawazoe, Computa-tional Materials Science from Ab Initio to Monte Carlo\nMethods (Springer, Berlin, 1999).\n26J. Taylor, et al. Phys. Rev. B. 63, 245407 sec. III.A (2001).\n27H. Cheraghchi, et al. Phys. Rev. B. 72, 174207 (2005)" }, { "title": "1405.6407v1.Negative_differential_resistance_in_graphene_based_ballistic_field_effect_transistor_with_oblique_top_gate.pdf", "content": " 1 \nNEGATIVE DIFFER ENTIAL RESISTANCE IN GRAPHENE -BASED BALLISTIC \nFIELD-EFFECT -TRANSISTOR WITH OBLIQUE TOP GATE \n \nMircea Dragoman1*, Adrian Dinescu1, and Daniela Dragoman2 \n \n1National Institute for Research and Development in Microtechnology (IMT), P.O. Box 38 -160, \n023573 Bucharest, Romania, \n2Univ. Bucharest, Physics Faculty , P.O. Box MG -11, 077125 Bucharest, Romania \n \n \nNegative differential resistance (NDR) with room temperature peak -valley -ratio of 8 has \nbeen observed in a ballistic field -effect -transistor (FET) based on graphene , having a n \noblique top gate . Graphene FET s with a top gate inclination angle of 450 and a drain -source \ndistance of 400 nm were fabricated on a chip cut from a 4 inch gr aphene wafer grown by \nCVD . From the 60 measured devices , NDR was obser ved only in the regions where the \nCVD graphene displays the Raman signature of defectless monolayer s. In other specific \nposition s on the wafer, where graphene quality was not high enough and the Raman \nsignature indicated the presence of defects, the ballis tic character of transport is lost and \nthe graphene FET s display nonlinear drain -voltage dependences tuned by the top and back \ngate voltage. \n \n \n \n 2 \nNegative differential resistance (NDR) is observed in many nanostructures , including \nmolecular devices [1], ZnO nanobelts [2], room temperature quantum dots with a very high peak -\nvalley -ratio ( PVR ) of 80 in dark state and 2240 when illuminated with a 20 W lamp [3], GaN \nnanowires [4] and even graphene solar cells [5]. The above references , while not exhaustive, are \nan indication of the fact that there is a wealth of physical mechanisms, especially in \nnanostructures, which can produce NDR . \nGraphene field -effect -transistors (FETs) are other systems in which NDR is present. This \nphenomenon has been observed, for exampl e, in graphene monolayer/few atom layer thick boron \nnitride/graphene monolayer heterostructure s [6], in which the PVR is high but the curr ent is \nsmall, limited to 50 -80 nA. It has also been evidenced in non -ballistic g raphene FETs [7] , with a \nrelative smal l PVR but current s as high as 1 mA for a gate length of 1 m. Yet a gain, NDR with \ngood PVR and a maximum drain current of 30 A at a gate length of 5 m [8] has been \ndemonstrated in dual -gate (top - and back -gate) graphene FETs with applications in non-\nBool enean logic circuits and their architecture . In this context, it is worth mention ing that, since \nmany years , logic circuits and non -Boolean logic devices are implemented using resonant \ntunneling diodes based on semiconducting heterostructures , which also s how NDR dependences \nin the current -voltage characteristics [9]. However, NDR is a natural property of graphene under \ncertain bias conditions due to the symmetry of its electronic band structure [ 8], and atomistic \nsimulations for the ballistic regime show t hat the transmission has strong minima at moderate \nenerg ies for equal and low values of the drain and top voltage s. \nA significant progress in this area would be the fabricat ion of a ballistic FET -like \ntransistor and the experimental evidence of NDR in thi s device . This is the aim of this paper. The \npractical interest in NDR is not limited to its applications in logic circuits . NDR is a basic 3 \nbuilding block of a ny oscillator , which contains in addition a LC circuit or antenna , and its strong \nnonlinear chara cteristic is a key element in nonlin ear electronics , for instance in multipl iers, \nmixers or even detect ors of very high frequencies . In these last cases, the cutoff frequency of the \nNDR device become s a paramount issue . None of the NDR devices mentioned ab ove has a cutoff \nfrequency exceeding 10 GHz, while the need for nonlinear electronics starts at 60 -100 GHz and \nfinish es at 4-6 THz. A ballistic FET -like graphene device could fulfill th is prerequisite of very \nhigh cutoff frequencies. \n \nBallistic FET on grap hene monolayer with oblique gate: fabrication and measurement \nresults . \nThe creation of a bandgap in graphe ne monolayers involves rather difficult technological \nprocedures (see the references in Ref. 8 , for instance ), almost all of them destroy ing the physi cal \nproperties of the graphene monolayer. On the contrary, in ballistic graphene devices an oblique \ngate modulates the transmission between the two electrodes : drain and source. Because of the \nlack of an energy bandgap, the transmission is constant and equ al to unity in ballistic graphene \ndevices with gates normal to the direction of electron propagation , irrespective of gate biases , \nwhereas oblique gates at an angle of 45o, for instance, induce a transmission bandgap of about 0.3 \neV w ithout significant degradation of the physical properties of graphene monolayer s (see [10] -\n[12] for the theoretical analysis of charge carrier transmission through oblique gates in graphene \nmonolayers) . Such oblique gates , acting as barriers [10], could generate NDR [11] in a b allistic \ntransport regime and could be used to implement ultrafast Schottky diodes in graphene \nmonolayers [12]. In particular, the NDR in ballistic graphene devices with oblique gates occurs \ndue to a sudden drop to zero of the transmission of charge carrie rs over a certain drain voltage VD \nrange, caused by the impossibility of charge carrier propagation through the gated region of the 4 \nbandgapless graphene monolayer. This decrease in transmission leads to a corresponding \nminimum in ID versus VD characteristi c, the drain current ID being determined by the transmission \ncoefficient via the Landauer formula. A bias applied on the oblique gate of the ballistic graphene \nFET can shift the NDR region of the ID-VD dependence and can affect the PVR of the device. \n We have fabricated FETs with oblique gates on a chip cut from CVD graphene \ntransferred on a doped 4 inch silicon wafer, having already deposited a 285 nm thin film of \nsilicon dioxide on top of it . The scanning electron microscopy (SEM) photo of the FET is \ndisplayed in Fig. 1 , the drain and source electrodes being denoted by D and S, respectively . The \ngraphene wafer was provided by Graphene Supermarket. The graphene rectangle in Fig. 1 was \nshaped using electron beam lithography (Raith e_Line) for patterning an d reactive ion etching for \nremoval of the carbon layer. The rectangle was covered with a positive resist PMMA 950k A2 \nthat was exposed at 30 kV and 300 µC/cm2, and then developed in MIBK:IPA (1:3). W e used a \npositive electron resist instead of a negative o ne to avoid irradiation of the gra phene channel with \nelectrons, because electron irradiation degrades the transport properties of graphene. The metallic \ncontacts (5 nm Cr/100 nm Au) were fabricated by e -beam lithography and lift -off, a highly \ndirectional e -beam evaporator being used for metal deposition in order to facilitate the lift -off \nprocess. Then , the st ructure was covered with HSQ , which was lithographically shaped ( e-beam \nirradiation at 30 kV and 800 µC/cm2) to create the gate dielectric. The HSQ , with an electrical \npermittivity around 3, was used many times as gate dielectric in graphene FETs and it is among \nthe most used resist s in graphene e -beam processing because it does not harm significantly \ngraphene. The thickness of th e HSQ was about 50 nm. On top of the gate insulating layer , the \ntilted gate consisting of a Cr/Au electrode with a thickness of 45 nm (5 nm Cr and 40 nm Au) \nwas fabricated by e -beam lithography and lif t-off. The gate length is 40 nm, at the state -of-art of \ngraphene FETs [13] . 5 \nThe graphene CVD transferred on the 4 inch Si/SiO 2 wafer displays two main distinct \nfeatures: (i) about 70 % of the monolayer graphene area is practically defectless, (ii) 30 % of the \ngraphene area contains defects (cracks, wrinkles, multilayer graphene) . The Raman signature of \nthe defectless graphene monolayer in Fig. 2(a) contains the G band at 1593.9 cm-1 and the 2D \npeak located at 2651.7 cm-1, the ratio between the 2D and G band s being about 2. No defect band \nD appears in this Raman signal . On the contra ry, the Raman spectrum in Fig. 2(b) , taken in a \ngraphene area with defects, shows a peak a ssociated to the D band , which indicat es that the area \nunder investigation is no longer an ideal graphene monolayer. In principle, it is a very tedious \ntask to perfor m a Raman map of such a big chip, but a couple of Raman measurements must be \ndone to locate the monolayer areas. The Raman spectra were obtained with a Labram Hr800 \nspectrometer and a laser excitation wavelength of 633 nm. \nAll 60 graphe ne FETs fabricated on the graphene chip were measured in DC with the help \nof a Keithley 4200 SCS equipment with low noise amplifiers at its outputs. The DC probes \nconnected to the Keithley 4200 were placed together with the probe station in a Faraday cage \nprovided by Keithley. This system also allows the application of a back gate voltage up to 100 V \nvia an interlock circuit. The graphene FET chip connected with the electrical probes for \nmeasurements is shown in Fig. 3. All measurements were done at room temperature with the \nsource electrode connected to the ground. \nIn the monolayer graphene regions we have seen NDR in all measured graphene FETs, \nwhereas in the graphene area with defects the NDR behavior was not observed, the ballistic \ntransport was lost , and the devices display ed FET-like ID-VD dependences that could be tuned by \ntop and back gate voltage s. From the 60 graphene FETs , 8 did not worked at all. \nAs an example, a NDR with a tunable PVR depending on the top -gate voltage is \nrepresente d in Fig. 4 , where the ID-VD curves were measured at top gates of VTG = 0 V (red 6 \ndashed line), 0.5 V (blue d otted line) and 1 V (solid magenta line ). The PVR ratio is enhanc ed by \nthe gate voltage , as predicted in Ref. [11] in the case of a ballistic graphene FET with an oblique \ngate. The to p gate voltage changes the Fermi level in graphene and thus modif ies the shape of the \nNDR. For a top gate voltage of 1 V, the maxim um drain current attains 10 5 A at a drain voltage \nof 1.5 V, and decreases to about 12 A at VD = 2 V. The PVR for this top gate voltage value is \nabout 8.75. On the contrary, when no gate voltage is applied the maximum and minimum ID \nvalues are about 95 A and 12 A, respectively, the corresponding PVR being 7.9. This NDR \nbehavior was retrieved in many graphene FETs with obliqu e gates having PVRs in the 6-8 range , \ntunable by top gate voltages. The cutoff frequency of such a ballistic and tunable NDR device \ndepend s only on the transit time of carriers between drain and source . Thus, the cutoff frequency \nof the ballistic NDR in Fig. 1, with a drain -source distance of 400 nm and a Fermi velocity of 106 \nm/s, is in the THz range. \nHowever, the NDR behavior is lost in a non -ballistic transport regime. A typical ID-VD \ndependence in this case , shown i n Fig. 5 for several top and gate vol tages , looks different \ncompared to a typical graphene FET. Unlike in the latter device, in which the ID-VD dependences \nare linear, in our graphene FETs they are clearly nonlinear. Because the graphene -Cr/Au contact \nis ohmic (Cr has the same workfunction as graphene monolayer, i.e. –4.5 eV) , the nonlinearity \ncan be attributed to the oblique gate. The various ID-VD curves in Fig. 5 correspond to: VTG = 2 \nV (green solid line), VTG = 1 V (magenta dotted line), VTG = 0 V(red dotted line), VTG = 1 V \n(blue dott ed line), VTG = 2 V (solid blue line), VTG = 2 V and VBG = 40 V (solid magenta line), VTG \n= 2 V and VBG = 50 V (green d otted line), and VTG = 2 V and VBG = 60 V (solid red line). The \ndifferent ID-VD characteristics in the graphene region with defects compa red to those in defectless \nmonolayer graphene can be explained by the fact that scattering on defects and impurities 7 \nrandomize the propagation direction of charge carriers, changing also their energy if the \nscattering is inelastic. Because the position and width of the transmission gap that gives rise to \nNDR depends on the energy and propagation direction of charge carriers, it follows that the NDR \ndisappears due to scattering -induced averaging, even if the phase of charge carrier wavefunction \nis preserved at scattering. From Fig. 5 it can be seen that the ID-VD characteristic is influenced by \nthe top and gate voltages, the graphene FET being practically blocked for VTG = 2 V and VBG = 60 \nV, the corresponding characteristic being superimposed on the horizont al axis. At such a high \nback gate voltage the spatial charge of the very large number of charge carriers screens the \napplied source -drain voltage. Another effect of the non -ballistic transport regime is that t he \nmaxim drain current is now about 40 A – i.e. it is reduced with more than 50% compared to th e \nballistic device in Fig. 4 . \n \n Conclusions \nWe have fabricated tens of graphene FETs having a tilted top gate on a CVD grown graphene \nmonolayer chip. A large part of them , situated in the defect -free areas of the chip, are working in \nthe ballistic regime and display NDR with a large PVR , having cutoff frequencies in the THz \nregion. The other devices , situated in the area where defects are present , lose the NDR behavior, \nbut display nonlinear ID-VD dependenc es tuned by top and back gate voltages. In the non -ballistic \npropagation regime, due to the scattering -induced lengthening of the charge carriers’ path in th \ndevice, the graphene FETs have a lower cutoff than those working in the ballistic regime , but \ncould still be used in various RF applications. \n \n 8 \n \nReferences \n[1] X.Q. Deng, J.C. Zhou, Z. H. Zhang, H. Zhang, M. Qiu, “Electrode conformation -induced \nnegative differential resistance and rectifying performances in a molecular device, ” Appl. Phys. \nLett. 95, 163109 (2009). \n[2] Y. Ya, J. Qui, W. Guo, Z. Qi , Y. Zhang, “Electrical instability and negative differential \nresistance in single Sb -doped ZnO nanobelts/SiO x/p-Si heterostructure device, ” Appl. Phys. Lett. \n96, 093107 (2010). \n[3] N.M. Park, S.H. Kim, S. Maen g, S.-J. Park, ”Negative differential resistance in silicon \nquantum dot metal -insulator semiconductor structure, ” Appl. Phys. Lett. 89, 153117 (2006). \n[4] M. Dragoman, G. Konstantinidis, A. Cismaru, D. Vasilache, A. Dinescu, D. Dragoman, D. \nNeculoiu, R. Buiculescu, G. Deligiorgis, A.P. Vajpeyi, A. Georgakilas, ”Negative differential \nresitance in GaN nanowire network, ” Appl . Phys . Lett. 96, 053119 (2010). \n[5] A. Radoi, M. Dragoman, A. Cismaru, G. Konstantinidis, D. Dragoman, “Self-powered \nmicrowave devices b ased on graphene ink decorated with gold nanoislands, ” J. Appl. Phys. 112, \n064327 (2012). \n[6] L. Britnell, R.V. Gorbachev, A.K. Geim, L.A. Ponomarenko, A. Mishchenko, M.T. \nGreenaway, T.M. Fromhold, K.S. Novoselov , L. Eaves , “Resonant tunneling and negative \ndifferential conductance in graphene transistors, ” Nature Communications 4, 1794 (2013) . \n[7] Y. Wu, D.B. Farmer, W. Zhu, S .-J. Han, C.D. Dimitripoulos, A.A. Bol, P. Avouris, Y.M . Lin, \n“Three terminal graphene negative differential resistance devices, ” ACS Nano 4, 2610 -2616 \n(2012). \n[8] G. Liu, S. Ahsan, A.G. Khitun, R.K. Lake, A. Balandin, “Graphene -based non -Boolean logic \ncircuits, ” J. Appl. Phys. 114, 154310 (2013). 9 \n[9] M. Dragoman, D. Dragoman, Nanoelectronics: Principles and Devices , 2nd edition, Artec h \nHouse, Boston (2009) . \n[10] M.I. Katsnelson, K.S. Novoselov, A. K. Geim, “Chiral t unnelling and the Klein paradox in \ngraphene ,” Nature Physics 2, 620 (2006). \n[11] D. Dragoman , M. Dragoman , “Negative differential resistance of electrons in graphene \nbarrier, ” Appl. Phys. Lett. 90, 143111 (2007). \n[12] D. Dragoman , M. Dragoman , R. Plana , ”Graphene -based ultrafast diode ,” J. Appl. Phys. \n108, 084316 (2010). \n[13] L. Liao, J. Bai, R. Cheng, Y.-C. Lin, S. Jiang, Y. Qu, Y. Huang, X. Duan , “Sub-100 nm \nchannel length graphene transistors ,” Nano Lett . 10, 3952 –3956 (2010) . \n \n \nAcknowledgements We thank the European Commission for the financial support via the FP 7 \nNANO RF ( grant agreement 318352). We also thank to eng. Adrian Albu for his help in the DC \nmeasurements of the graphene FET devices. \n \n \n \n \n \n \n \n \n 10 \n \nFIGURE CAPTIONS \nFig. 1 SEM photo of the FET based on a graphene monolayer , with an oblique gate. \nFig. 2 (a) Raman signatu re of the defectless graphene monolayer , and (b) Raman signature of the \ngraphene region with defect s. \nFig. 3 The graphene FET chip under prepar ation to be measured on Keithley 4200 SCS. \nFig. 4 NDR behavior in the ballistic regime of the graphene FET with oblique gate at VTG = 0 V \n(red dashed line), 0.5 V (blue d otted line) and 1 V (solid red line). \nFig. 5 Drain current -drain voltage dependence s of the graphene FET at various top and back gate \nvoltage s: VTG = 2 V (green solid line), VTG = 1 V (magenta d otted line), VTG = 0 V(red d otted \nline), VTG = 1 V (blue d otted line), VTG = 2 V (solid blue line), VTG = 2 V and VBG = 40 V (solid \nmagenta line), VTG = 2 V and VBG = 50 V (green d otted line), and VTG = 2 V and VBG = 60 V (solid \nred line). \n \n \n \n \n \n \n \n \n \n 11 \n \n \n \nD \n400 nm \nGraphene \nmonolayer S Oblique \ngate 40 nm \n \n \n \n \n \n \nFig. 1 \n \n \n \n 12 (a. u.)\n1 500 2 000 2 500 3 000\nRaman Shift (cm-1)\n 2651.7 1593.9\n \n(a) \n \nIn tensity (a. u.)\n1 500 2 000 2 500 3 000\nRaman shift (cm-1) 2 645.4 132 4.1\n 15 85.2\n \n \n(b) \n \n Fig. 2 \n 13 \n \n \n \n \n \n \n \n \n \n \n \nFig. 3 \n \n 14 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFig. 4 \n \n \n \n 15 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFig. 5 \n " }, { "title": "2106.13778v1.Direct_microscopic_evidence_of_shear_induced_graphitization_of_ultrananocrystalline_diamond_films.pdf", "content": " Accepted for publication in C arbon Trends (2021) \n Doi : 10.1016/j.cartre.2021.100078 \n License CC BY -NC-ND 4.0 \n \nDirect microscopic evidence of shear induced graphitization of ultrananocrystalline \ndiamond films \nK. Ganesan 1,2,*, Revati Rani 1, Tom Mathews 1,2, S. Dhara 1,2 \n1Surface and Nanoscience Division, Indira Gandhi Centre for Atomic Research, Kalpakkam -603102 , India \n2Homi Bhabha National Institute, Indira Gandhi Centre for Atomic Research, Kalpakkam -603102, India \n \nAbstract \nThe origin of ultralow friction and high wear resistance in ultrananocrystalline diamond \n(UNCD) films is still under active debate because of the perplexed tribochem istry at the sliding \ninterface. Herein, we report a comparative study o n surface topography and nanoscale friction of \ntribofilm s, in wear tracks of two set s of UNCD films having different structural characteristics . \nDespite both the films display ultralow co efficient of friction, t he UNCD films grown under Ar \natmosphere (UNCD Ar) exhibit a high wear resistan ce while the wear rate is high er for the films \ngrown in N2 (UNCD N). Frictional force microscop ic (FFM) investigations clearly reveal the \nmanifestation of shear induced graphitization on both the films . However, the wear track of \nUNCD Ar films have a large network of a few layer graphene (FLG) structures over the amorphous \ncarbon tribofilms while only isolated clus ters of FLG structures are present in the wear track of \nUNCD N films . Here, we demonstrate the direct micro -/nanoscopic evidence for the formation of \nlarge network of ~ 0.8 - 6 nm thick FLG structures , as a consequence of shear induced \ngraphitization and discuss their decisive role in ultralow friction and wear . \nKeywords : Ultrananocrystalline diamond ; tribofilms ; Friction; Wear ; Atomic force microscopy; \nNanotribology \n \n* Corresponding author. Tel : +91 -44-27480500 Extn: 21669. Email : kganesan@igcar.gov.in ( K. Ganesan) 2 \n 1. Introduction \n Friction and wear are one of the major challenges in mechanical assembly systems and \nthey lead to significant energy loss and frequent device failure. The materials with anti -wear and \nultralow friction characteristics are the most desirable in mechanical engineering applications \nwhere the carbon based materials have potentials to play a major role . Since ultralow friction and \nnegligible wear are demonstrated i n ultr ananocrystalline diamond (UNCD ) and diamond -like \ncarbon (DLC) films at ambient conditions, the research interest is ever increasing drastically over \nthe recent past years [1,2,11 –20,3,21 –30,4,31 –34,5–10]. The formation of tribofilm s which occurs \ndue to the shear induced graphitization is one of the crucial factors for achieving ultralow friction \nin carbon materials [5,10,20 –26,35] . Especially when the tribofilm consists of layered structures \ne.g. a few layer graphene (FLG), the friction be comes vanishingly low [7,31 –33,36] . Since the 2 D \nlayered materials have outstanding structural, chemical, physical and mechanical properties due to \nthe strong in -plane interactions and very weak van der Waals out of plane interactions , these \nmaterials become highly attractive for tribological applications, apart from the well demonstrated \nnovel electronic and optoelectronic applications [37,38] . Apart from graphene layers, \ncarbon/graphene quantum dots, graphene oxide, reduced graphene oxide, nanodiamonds and other \n2D materials such as hexagonal BN, MoS 2, NbSe 2 and WS 2 have shown remarkably low friction \nand wear that encourages to use them as solid lubricants in tribology [13,38 –41]. \n There are n umerous reports available in the literature on the factors affecting the ultralow \nfriction and wear of UNCD and DLC films . Among them, the surface roughness of tribo -contacts , \ncontact pressure, tribo -environment, crystalline orientation , structural defects, mechanical \nproperties, and surface chemistry – passi vation by functionalization or the formation of secondary \nphase tribofilms due to tribo -chemical reactions at the sliding interface play significant role on 3 \n tribological properties [14–20]. The chemical and microstructure analysis of the tribofilm can \nprovide invaluable information about the tribochemical reactions at the interface and the wear \nmechanism. Despite significant knowledge gained over the period , the microscopic origin of \nultralow friction and wear in UNCD films are still not well understood . The most acceptable \npostulates on ultralow friction and wear in UNCD and DLC are either due to surface passivation \nor rehybridization . Of them, the surface passivation is reasonably explained on the superlubricity \nin UNCD and DLC films based on tribotest s at different environments [3–5,21,22] . On the other \nhand the surface r ehybridiz ation , which occurs through the shear pressure leading to either \namorphization or graphitization, is a complex phenomenon at atomic -scale with bond break ing \nand re -bonding at the sliding interface . \nThe shear induced graphitization is mostly verified experimentally by observation of sp2 \nand sp1 rich a-C in the tribofilms which are studied by several analytical tools such as Raman \nspectroscopy, high resolution transmission electron microscopy (HRTEM) , electron energy loss \nspectroscopy (EELS) , X-ray phot oelectron spectroscopy (XPS) and near -edge X -ray absorption \nfine structures [10,18,20,22 –26,35] . Recentl y, in-situ Raman tribometry has given tremendous \nsupport in understanding the tribochemical reactions at the sliding interface [10]. However, the \nobtained information is not limited to surface alone but includes a significant contribution from \nbulk and also, the spatial resolution is poor. While XPS is a well-known surface sensitiv e tool , it \noffers average information over a wide area and limits the knowledge at micro -/nano -scopic scale \n[4,18,20,23] . Moreover, surface -enhanced Raman spectroscopy (SERS) and tip -enhanced Raman \nspectroscopy (TERS) are well established as surface sensitive tools with much improved lateral \nresolution as compared to conventional Raman spectroscopy. Despite the SERS and TERS are \nwell-established for detection of single molecular level and have high potential for intensive 4 \n tribological analysis at molecular level , the usage of these techniques are very limited until now \n[42]. \nIn-situ and post wear HRTEM and EELS studies had shown evidence s on the \nrehybridization of UNCD into sp2- and sp1-rich amorphous carbon ( a-C) embedded with small sp2 \ncrystallites of ~ 1 nm , fullerene -like structures and graphene nanoscroll which are attributed to the \nsuperlubricity [2,6,10,23,25 –27,35] . However, there are no direct microscopic evidence of large \nsize two dimensional (2D) graphene structures in the tribo films . Also, m olecular dynamic \nsimulations by different groups had predict ed superlubricity on UNCD and DLC films. \nNevertheless , the superlubricity is achievable only when the formation of 2D graphene layers are \nconsidered at the sliding interface with minimum of two or more layers [8,24,28 –30]. Based on \nthe experimental assumptions and theoretical prediction s, there are several reports on usage of a \nfew layer graphene (FLG) structures as a solid lubricants and it has been demonstrated reduction \nin wear and friction on various types o f tribo -couples including superlubricity on carbon materials \n[7,31 –33,36] . Thus, 2D graphene layers are well proved for their e ffectiveness in protecting the \nsurface and minimizing friction. \nEven though the concept of 2D graphene layer formation on tribofilms through shear \ninduced graphitization is well recognized by both experimental and theoretical simulations, it is \nsurprising to note here that direct micro -/nanoscopic evidences for the formation of layered \ngraphene structures on tribotrack are still lacking in literature [27,34] . This is mainly because of \nthe challenges in distinguish ing the atomically thin layers from rough wear track by the \nconventional microscopic techniques . Interestingly, a tomic force microscop e (AFM) can play a \nmajor role i n studying the tribofilms since it can work from hundred s of micrometer s down to \natomic -scale. In addition, it also has several functional capabilities such as studying friction, elastic 5 \n Table 1. A table of performance comparison of surface analysis by different experimental \ntechniques \nTechnique / \nParameter Raman SERS / TERS XPS HRTEM / EELS AFM based techniques \nLateral resolution ~ 1 m Down to ~ 10 nm ~ 1 m ~ 0.05 nm ~ 0.1 nm \nMax. p robing \nlateral length ~ 1 m to > 100 m ~ 1 m to > 100 m ~ 1 m to > 100 m ~ < 100 nm 1 nm to ~ 100 m \nProbing depth ~ 100 nm to >10 m \nDepends on the nature \nof specimen ~ a few nanometers ~ 4 nm Thickness of the \nspecimen, < 100 nm Surface / subsurface / \nbulk : Depends on the \nmode of operation \n3D imaging Possible under confocal \nconfiguration; Poor z -\nscale resolution Not applicable Possible with depth \nprofiling; Poor z -\nscale resolution Not applicable Yes; With high z -scale \nresolution ~ 0.1 nm \nPrinciple Light scattering Light scattering Photoelectric effect Electron diffraction Van der Waals force \ninteraction \nWorking \nenvironment Ambient / liquid / \nvacuum Ambient / vacuum vacuum vacuum Ambient / liquid / \nvacuum \nSensitivity Depends on Raman \nscattering cross section Depends on the \nnature of plasmonic \nnanostructures Increases with atomic \nnumber Increases with atomic \nnumber Equal for all materials \nProperties \nmeasured Vibrational modes \nwhich provide the \ninformation about \nstructure and chemical \nbonding Vibrational modes \nwhich provide the \ninformation about \nstructure and \nchemical bonding Electronic structure; \ncomposition and \nchemical analysis Crystal structure, \nelectronic structure, \ncomposition and \nchemical analysis Surface topography, \nelectronic, opto -\nelectronic, magnetic , \nfriction and mechanical \nproperties \nEase of operation Easy Easy Difficult Difficult Easy \nOperation cost Moderate Moderate Expensive Expensive Low to Moderate \n \nmodulus, electrical and magnetic properties. Further, the frictional force microscope (FFM) has \nbeen explored in probing friction of carbon based materials and several other layered structures at \nnanometer -scale [36,39,43 –45]. Since the coefficient of friction (CoF) is different for various \ncarboneous materials, a combined mapping of surface topography and friction using FFM would \nprovide information about the tribofilms in the wear track. Table 1 provides the performance \ncomparison of different analytical tech niques for surface analysis of materials. As evident from \nthe T able 1, AFM based techniques have an edge over other techniques for surface analysis, \nespecially for carbon materials. 6 \n In the present study , we report AFM based nanoscale friction analysis and evolution of \nordered graphene layers over the a-C tribo films in the wear track of two different sets of UNCD \nfilms grown under Ar and N 2 atmospheres. These UNCD films were pre-studied with various \nmacroscale measurements such as Raman spectroscopy, HRTEM, EELS and XPS [6]. Herein, our \nFFM study provide s a direct micro -/nanoscopic evidence for the formation of 2D graphene layers \nthrough shear induced graphitization and these second ary phase s have distinct friction behavior \ncompared to the a-C tribofilm matrix . Moreover, t hese layered graphene structures play a decisive \nrole on the ultralow friction and wear in these UNCD films. \n2. Experimental \nTwo sets of UNCD films grown under Ar and N 2 atmosphere s (labeled as UNCD Ar and \nUNCD N, respectively) are considered for the study. The physical and macroscopic tribological \nproperties of the films are reported elsewhere [6]. Herein, i n order to understand the origin of \nultralow friction and wear, a systematic nanoscale friction analysis is performed using FFM inside \nthe wear track that we re run for different sliding distances , viz. 30, 100 and 500 m for UNCD Ar \nand 2.5, 100, and 500 m for UNCD N films. Topography and friction force image s are acquired \nsimultaneously using AFM ( NT -MDT, Russia) with soft Si cantilever s of ~ 350 m length and ~ \n0.05 N/m spring constant . AFM measurements are repeated with typical scan area of ~ 40 x 100 \nm2 over a section across the wear track whose width is about 255 and 310 m for UNCD N and \nUNCD Ar films, respectively . Further, FFM measurements are repeated on several locations in the \nwear track encompassing FLG nanostructures at different AFM tip normal load . In addition, the \nlocal elastic modulus o f unworn and worn out surfaces are estimated by measuring contact \nresonance frequency (CRF) using stiff Si cantilevers in atomic force acoustic microscopy \n(AFAM). The experimental details of AFAM and estimation of elastic modulus can be found 7 \n elsewhere [46–48]. A typical normal load of ~ 1 nN and 1 N is applied on AFM tip for FFM and \nAFAM measurements respectively, unless otherwise specifically mentioned in the text. \n3. Results \nBefore going into the FFM study , a brief summary of previous results is valuable. The \nmacroscale tribometric studies reveal that the UNCD Ar films exhibit an ultrahigh wear resistance \nwith average saturated CoF of ~ 0.08 . Further, it is observed that the CoF is higher ( ~ 0.27 ) during \nthe initial stage of sliding and it gradually decreases to lower value (0.08) after a long run -in \ndistance of about 100 m . On the other hand, UNCD N films have ultralow friction with saturated \nCoF of ~ 0.04 with negligible run -in distance, however, the wear rate is relatively higher compared \nto UNCD Ar films. Also, the hardness (elastic modulus) of pristine UNCD Ar and UNCD N films is \nfound to be ~ 23 (215 GPa) and 14.8 (165 GPa) GPa respectively, as measured by nanoindentation . \nBased on Raman spectroscopy, HRTEM , EELS and XPS analysis, t he pristine UNCD Ar films \nwere found to have higher sp3/sp2 ratio with thin a-C layer at grain boundaries (GBs) while \ndiamond grains are encapsulated with thick nanographitic layers at GBs of pristine UNCD N films \n[6]. In addition, the post wear analysis of the tribo track s by the above mentioned techniques clearly \nreveal ed the presence of tribofilms with excess amount of graphitic phase [6] but they could not \nprovide a c onclusive remark for the difference in the tribo logical properties of these UNCD films . \n3.1 Frictional force microscopy \nFigures 1a (1c) (( 1e)) and 1b (1d) (( 1f)) present the simultaneously acquir ed topography \nand friction force image s respectively , on wear track of UNCD Ar film that undergone macroscopic \nwear test for sliding distance of 30 m (100 m) ((500 m)) . Note that the bright and dark areas in the 8 \n \n \nFig. 1. Simultaneously acqui red AFM topography (a,c,e) and frictional force (b,d,f) images of the wear \ntrack in the UNCD Ar that undergone wear test for the sliding distance of 30, 100 and 500 m, respectively. \nA magnified part of the topography (g) and friction (h) of wear track (100 m sliding distance, marked in \nimage 1c ). The selected area topography (i) and friction (j) of the wear track that ran for 500 m sliding \ndistance . The arrow marks in Fig. 1(e,g,i) represent the presence of FLG structures which are not obviously \nvisible due to a large height variation in the matrix. However, the presence of FLG can be obviously noticed \nin the corresponding friction images of Fig. 1(f,h,j) with much lower friction val ues. The height profiles \nacross a FLG structures marked as P1 and P2 in Fig.1g and 1i are given in Fig. 1k and 1 l, respectively. The \ndouble arrow marks in the images (a, c, e, g, i) indicate the sliding direction. Scale bar = 20 m for the \nimages in 1a-1f. The angle between the graphene edges are found to be ~ 90 and 120o as marked in the \nFig.1j. \n(a)\n(b)\n(d)\n(f)(e)\n20 µm(c)FFM Topo FFM Topo FFM Topograhy\n0.0 0.7 1.4024Height (nm)\nLength ( m)\n10 µm10 µm\n0 2 4024Height (nm)\nLength ( m)\n(l)\n5 µm 5 µm\n(k)(j)(i)(g) (h)\nP1\nP2\nP1 P2\n0\nnm793\n0\nnA9.4\n0\nnA8.7\n0\nnA8.2\n0\nnm191\n0\nnm547\n0\nnA9.1\n0\nnm240\n0\nnA0.6 90o121o9 \n topograph y (FFM) represent the objects with maximum and minimum height (friction force), \nrespectively. The wear track of UNCD Ar film is partially worn out after 30 m run -in distance, as \nshown in Fig. 1a and the wear scratches introduce very high r oot mean square (r ms) roughness of \n22.4 nm, measured over the entire image. The partially worn out surfaces have lower friction as \ncompared to pristine surface , as can be s een in Fig. 1b. After a sliding distance of 100 m, the \nsurface of wear track is slightly smoothened due to the movement of tribofilms (rms roughness = \n16.9 nm) as depicted Fig.1c. The Fig. 1d shows the FFM image of the corresponding area in the \nwear track that displays both low and high friction areas. A careful observation o f the magnified \npart of the topography ( Fig. 1g) reveals the presence of secondary phase, over the tribofilm matrix, \nas isolated bright batches with nearly flat top surface at an eleva ted height of (3.0 ± 1.0) nm and \nfew hundreds of nanometer in lateral size in the wear track . Here, the error value is obtained from \nstatistical mean of height measure d on multiple particles. The corresponding FFM mapping (Fig. \n1h) also displays dark regions (indicat ing lower friction force) wherever secondary phase s are \npresent in the tribofilms and a few such areas are marked as circles in Fig. 1h. The height profile \nof one such c luster labelled as P1 in Fig. 1g is shown in Fig. 1k and it has the height of ~ 2 nm \nwith a flat top surface and sharp edges at the periphery. \nFigures 1e, 1i and 1f , 1j are topograph y and friction images respectively , recorded at two \ndifferent locations in wear track of UNCD Ar films that ran for 500 m sliding distance . The \ntopograph ic images become more texture d due to the wear ball induced scratches along the wear \ndirection and the formation of tribofilms which move normal to the sliding direction, as can be \nevidenced in Fig.1e and 1i. The calculated rms roughness is found to be 24.7 and 7.2 nm for the \nwear track shown in Fig.1e and 1i , respectively . Further, Fig. 1i shows prominent and large \nnetworks of 2D nanoribbon like structures with height of ~ (3 ± 2.0 ) nm and length > 15 µm. The 10 \n width of the nanoribbons varies from ~ 1 to 10 m. A careful look at Fig. 1 e also reveals the \npresence of similar 2D nanoribbon network s and they are indicated by arrow marks as guide to \neye. The presence of such 2D nanoribbons make striking difference in corresponding FFM images \nwith lowest friction values ( dark regions ) as illustrat ed by arrow marks in Fig s. 1f and 1 j. The \nFig.1 l shows the height profile of one such nanoribbon indicated by an arrow in Fig.1 i at position \nP2. The height and width of the nanoribbons are 4 nm and 1.8 m, respectively. In addition, these \n2D nanoribbon structures are mostly oriented along the sliding di rection and a few branches are \nnoticed in normal to the sliding direction. Apart from the large networks of 2D nanoribbon \nstructures , there are also smaller clusters with unique friction characteristics in the wear track that \nwas formed with 500 m sliding distance. On the other hand , such secondary phase nanocluster s or \n2D nanoribbon structures with unique low friction characteristics are not detected on the wear \ntrack of UNCD Ar films for the sliding distance of 30 m, even though UNCD Ar films had undergone \nmild wear at this sliding distance. We note here that the observation of 2D structures with atomic \nstep height and flat top surface suggest the signature of FLG structures [36]. Moreover, the \nobservation of low frict ion on the FLG structures reaffirms the presence of layered graphitic \nstructure which is well known for low friction [36,39,43,49] . Here, the typical thickness of 2 nm \nindicates that the FLG structures have about 5 atomic layers of graphene since the monolayer \ngraphene has the thickness of ~ 0.34 nm . \nFigure 2a (2c) ((2e)) and 2b (2d) ((2f)) depict the topography and friction images \nrespectively, in the wear track of UNCD N films that ran for 2.5 m (100 m) ((500 m)) sliding \ndistances. The rms roughness of the wear track is about 27.9, 27.1 and 86.2 nm for sliding distance \nof 2.5, 100 and 500 m, respectively . The surface of the UNCD N films undergo smoothening even \nafter the sliding distance of 2.5 m (Fig. 2a ). With increasing the sliding distance to 100 m, the 11 \n \n \nFig. 2. AFM topograph ies (a,c,e) and corresponding friction ( b,d,f) images of UNCD N films measured \ninside the wear track that ran for 2.5, 100, and 500 m sliding distance s, respectively. A magnified part of \nthe topography and friction images (marked as square box area on the topographic images in Fig 2 (a,c,e)) \nare given in the images Fig. 2 (g,i,k) and Fig. 2 (h,j,l), respectively . (m) Topography and (n) friction \nmapping recorded at different location in the wear track that undergone 500 m sliding distance . The scale \nbar is 20 and 5 µm for the images 2(a -f) and 2(g -l), respectively. The plots given in Fig 2o an d 2p (2q and \n2r) represent the line profile of height and corresponding friction force measured across graphitic structures \non the wear track of 100 m (500 m) siding distance, at the locations marked as L1, L2, L3 and L4 . \n(a)\n(b)\n(c)(e)\n(f)\n20 µm\n 5 µm(g) (h)\n(j)\nFFM Topo FFM Topo FFM Topograhy\n0\nnA7.8\n0\nnm780\n0\nnA0.7\n0\nnm176\n0\nnA8.4\n0\nnm397\n(d)\n(e)\n(f)\n(g) (h)\n(i) (j)\n(k) (l)\n(m) (n)L1L2\nL3\nL4\n024\n0.2 0.6 1.0 1.4-16-48H ( nm )2.5 nmF ( a. u.)\nLength ( m )\n147\n0.0 0.4 0.8 1.2-7-07H (nm)3 nmF (a. u.)\nLength ( m )\n036\n0.0 0.4 0.8 1.2-11-51H ( nm ) F ( a. u.)\nLength ( m )4 nm\n1234\n0.0 0.4 0.8 1.2-30-142H ( nm ) B\n1.6 nmF ( a. u.)\nLength ( m )(o) (p) (q) (r)12 \n topography becomes much rougher with movement of tribofilms normal to the sliding direction \n(Fig.2c). After 500 m sliding, the wear track appears smooth due to the rearrangement of \ntribofilms. However, the depth of trenches induced by the roughness of the wear bal l is higher \n(Fig.2e) compared to that observed at shorter sliding distance. A magnified part of the topography \nand friction images of the wear tracks are shown in Fig. 2g -2l. There is no signature of FLG \nsecondary phases in the magnified part of the topogr aphy (Fig.2g) and friction mapping (Fig.2h) \nof wear track after 2.5 m sliding distance. After 100 m sliding distance, the friction mapping (Fig. \n2d and 2j) contains large number density of dark spots indicating lower friction areas in the wear \ntrack, that can be attributed to the presence of graphitic nanoclusters which are not obviously seen \nfrom topography (Fig. 2c). However, the magnified part of the topography confirms the presence \nof FLG nanoclusters with large number density in the wear track. Similar ly a large amount of FLG \nnanoclusters are also present in the wear track that ran for 500 m sliding distance (Fig 2k, 2m). \nFigures 2o and 2p (2q and 2r) show the line profile of height and friction force recorded on \nselected FLG structures marked as L1 and L2 ( L3 and L4) in the Fig.2i and Figs. 2 l & 2n, \nrespectively on the wear track of 100 m (500 m) siding distance. Moreover, based on height \nmeasurement s, the average height and lateral size of the nanoclusters are ~ (5.0 ± 3.0 ) nm [(4 ± \n2.5) nm] and (250 ± 50) nm [(600 ± 300) nm] for sliding distance of 100 m [500 m ] , respectively. \nThese FLG nanostructures with uniform diameter are homogeneously distributed over entire \nregion of the wear track after sliding for 100 m while the FLGs are inhomogeneously di stributed \nover entire region of the track after 500 m sliding distance . \nFor nanoscale f riction analysis of these FLG nanostructures , FFM measurement s were \nperform ed on selected area by varying normal load . Sequence of friction images were recorded at \nconstant normal load over a selected area and for each image, the normal load on AFM cantilever 13 \n \nFig. 3. (a,c) Topography and (b,d) friction images on the wear track of UNCD Ar measured at AFM tip \nnormal load of (a,b) 1 and (c,d) 30 nN, respectively. (e-h) Line profile s of height and friction force measured \non respective images of 3(a -d). (i) Histogram s constructed from the friction force images given in Fig. 3 b \n(the spectra in red color) and 3d (the spectra in blue color) . (j) The varia tion of friction force as a function \nof normal load on UNCD Ar film; the two slopes indicate the difference in coefficient of friction in the low \nand high friction areas. \n \nwas increased in steps varying from 0.2 to 30 nN . Typical topography and friction images, recorded \nin the wear tracks of UNCD Ar (500 m) for normal load of 1 and 30 nN respectively, are shown in \nFigs. 3a, 3c and 3b, 3d. The measured height of 0.8 nm indicates the presence of bilayer graphene \nin the selec ted region (Fig. 3e, 3f). We also note here that the friction force signal was collected \ntwice in each specified location viz. during the forward and reverse scan of AFM tip and the net \nfriction force mapping was constructed by subtracting one from the oth er. Subsequently, the \n0\nnm2.8\n150 nm(a) (b)\n(c) (d)\n0\nnm2.8\n0.1\nnA0.6\n0.4\nnA1.5\n0.00.30.60.9\n0.00.30.60.9\n20 100 180 2600.20.30.4\n50100 150 2000.40.60.81.0\nHeight ( nm )\n Height ( nm )\nLength ( nm )0.8 nm\n0.8 nm\n \n \nFriction ( a. u.) Friction ( a. u.) \n \n \n0.2 0.5 0.8 1.1 1.4050100150200LN = 30 nN\nHigh \nfrictionLN = 1 nNn (LF)\nLateral force ( nA ) Low friction\n0 7 14 21 283006009001200Slope = 27.7High friction areaLateral force ( arb. units )\nNormal force ( nN ) Low friction area\nSlope = 10.0(e) (f)\n(g) (h)\n(i)(j)14 \n \n \n \nFig. 4. (a,c) Topography and (b,d) friction images on the wear track of UNCD N measured at AFM tip normal \nload of (a,b) 1 and (c,d) 30 nN, respectively. (e-h) Line profile s of height and friction force measured on \nrespective images of 4(a-d). (i) Histogram s constructed from the friction force images given in Fig. 4b (the \nspectra in red color) and 4d (the spectra in blue color) . (j) The varia tion of friction force as a function of \nnormal load on UNCD N film \ndistribution of friction force in particular area is analyzed by constructing a histogram from each \nfriction image and the typical histograms for the normal loa d of 1 and 30 nN are shown in Fig. 3i. \nFurther, the mean statistical friction force corresponding to the low (FLG structures) and high \nfriction ( matrix) regions are calculated from the histogram. These friction force values are plotted \nas a function of no rmal load, as shown in Fig. 3j and the slope of the plot gives the CoF. As shown \n(a) (b)\n0\nnm8.4\n2 10 18 26 34200300400500\nLow friction region\nSlope = 3.1Lateral force ( arb. units )\nNormal force ( nN ) High friction region\nSlope = 5.4\n0\nnA0.6\n(j)\n0\nnm8.4\n0\nnA0.8\n (c) (d)\n500 nm 500 nm\n0.1 0.3 0.5 0.7080160240\nHigh \nfrictionLN = 32 nNn (LF)\nLateral force ( nA ) LN = 2 nNLow \nfriction\n-3-11\n-4-2024\n0.0 0.3 0.6 0.90.10.30.5\n0.0 0.3 0.60.10.30.5\nHeight ( nm )\n Height ( nm )\nLength ( nm )4 nm\n4 nm\n \n \nFriction ( a. u.) Friction ( a. u.) \n \n (e) (f)\n(g) (h)\n(i)15 \n in Fig. 3j, the friction force increases as a function of normal load for both FLG nanoclusters and \nthe a-C tribofilm matrix. The increase in friction is attributed to the puckering effect which arises \ndue to elastic deformation of the FLG structures with increase in normal load [36,39] . The weak \nVan der Waals force bet ween the graphene layer s allows puckering which results in reduction of \nlateral dimension of the FLG structure s, as can be seen from Fig. 3d . Based on the slope of 10 and \n27.7 (unnormalized), the relative CoF of FLG structure is about three times lower than the matrix \nin the track. A similar analysis is also performed for the wear track of UNCD N (500 m) and the \nplot is shown in Fig. 4. The hei ght of the FLG structure is 4 nm, comprising 10 layers of graphene. \nIn this wear track also the friction force increases with normal load. The slopes of the curves for \nFLG structures (low friction areas) and matrix (high friction areas) are found to be 3.1 and 5.4 \nrespectively. As similar to UNCD Ar films, the relative CoF is lower for FLG structures in UNCD N \nas compared to the matrix. In addition, the relative CoF of FLG structures and the matrix in \nUNCD N films i s ~ 3 and 5 times lower than that of UNCD Ar films, respectively. These results are \nconsistent with macroscopic tribo measurements which shows the average saturated CoF of ~ 0.08 \nand 0.04 for UNCD Ar and UNCD N films [6]. \n Furth er, the layered nature of the newly formed FLG structures and their binding on the \ntribofilms in the wear track are tested by measuring topography and friction mapping at slightly \nhigher normal load on the cantilever . Fig. 5a and 5d show the s imultaneously measured topography \nand friction force mapping on wear track of UNCD Ar (500 m) at a normal load of 4 nN on the \ncantilever . As discussed earlier, t he FLG structures have lower friction (darker area) as compared \nto the tribofilm of the matrix. Also, some part of the FLG structures (arrow mark in Fig. 5 a) have \nalready started peeling off and it is also reflected as bright areas in the FFM mapping (arrow mark \nin Fig. 5d) . Subsequent imaging at higher load of 10 nN on the same location reveals that the FLG 16 \n \nFig. 5. Simultaneously acquired topography and friction mapping on a few layer graphene structures. \nMapping s are recorded at normal load of 2 nN for the images given in 5a,5 c,5d, and 5f, and at 10 nN for \nthe images in 5b an 5e . The rectangle symbol on Fig. 5f indicates the previously AFM measured area at \nhigher load of 10 nN. The line profile of (g) height and (h) lateral force measured along the line marked in \nthe image 5(a) and 5(d), respectively. \nstructures are completely removed from the matrix as can be seen from Fig. 5b. It is also noticed \nthat the previously known low friction area (darker regions) switches into higher friction area \n(bright regions in Fig. 5e) immediately after the removal of FLGs. More over, the bright areas \n(high friction regions) on right side ligament of the Y junction (Fig. 5d) indicate that the partial \nFLG structures are peeled off during the forward scan, which is not reflected in the topography \n(Fig. 5a), but it shows the effect o n friction force image. In order to verify the flipping of friction \nfrom low to high upon removal of FLG structures , an additional FFM measurement at 2 nN normal \nload was performed on larger area encompassing the previously measured area , and the topograph y \nand FFM image are shown in Fig. 5c and 5f , respectively . This measurement confirms the lower \nfriction of FLG when the physical structure is intact (upper part of Fig 5c). Subsequently, after the \nFLG structures are physically removed, the underneath area becomes even higher in friction than \n0123\n0.0 0 .2 0.4 0.6 0.8 1.00 .0 30 .0 80 .1 30 .1 8H eight ( nm )La t. force ( arb . units)\nLeng th ( m )\n2 µm(a) (b) (c) (g)\n(d) (e)\n2 µm2 µm 2 µm2 µm\n2 µm (f)(h)125o\n57o17 \n the matrix. Since the friction measurements were performed immediately after removal of FLGs, \nthe newly exposed area exhibits high friction due to inherent nature of the tribofilms which consist \nof highly disordered a-C with a large amount of dangling bonds that increase the friction . In \ncontrast, the smaller dimension FLG structures that are present on the wear track are not being \ncompletely removed, as compared to large networks of FLG . However, at higher normal load on \nAFM tip, the smaller FLG structures undergo mechanical deformation as can be seen in Fig. 3c \nand Fig. 4c. \nFurther, the adhesion behavior of the newly formed 2D structures are measured on wear \ntrack of UNCD Ar film by varying the tip scan velocity under constant normal load s of 1 and 8 nN . \nFig. 6a and 6b depict the topography and friction mapping respectively, measured in the wear track \n \nFig. 6. Simultaneously acquired topography (a) and friction (b) mapping on a few layer graphene structures, \nmeasured at normal load of 1 nN. The height of the individual structure is ~ 1.6, 0.8, 2.4 and 2.0 nm \nrespectively, from left to right. The variation of lateral force as a function of AFM tip scan velocity at (c) 1 \nnN and (d) 8 nN normal loads indicating the opposite behavior of lateral force on low and high friction \nareas in the wear track. \n(a)\n400 nm 0\nnm4.2\n(b)\n400 nm 0\nnA0.5\n0 1 2 3 4 5225300375450L atera l force ( arb. units )\nS ca n ra te ( m /s ) \n0 1 2 3 4 5300400500600Latera l force ( arb . units )\nS ca n ra te ( m /s ) \n1nN 8nN\nHigh friction area\nLow friction areaHigh friction area\nLow friction areaSlope = 10 \nSlope = -6 Slope = 5.7 \nSlope = -0.7 (d) (c)18 \n at normal load of 1 nN. Here, the friction is lower on the 2D structures as compared to matrix a -C \ntribolayer. Further, sequence of friction images were recorded at constant normal load over a \nselected area and for each image, the AFM tip scan velocity is varied from 0.5 to 5 m/s. Then, \nhistogram is constructed for each friction image to extract the average lateral force on the low and \nfriction areas for different scan velocities. Fig. 6c and 6d show the variation of lateral force as a \nfunction of scan velocity at normal load of 1 and 8 nN, respectively. As can be seen from Fig. 6c \n& 6d , the low and high friction areas display negative and positive slope for friction as a function \nof scan velocity. The negative slope of friction in the FLG nanocluster is attributed to meniscus \nforce which arises due to the condens ation water molecules between tip and sample surface . The \nslower scan rate increases the con tact area leading to higher friction and also, this behavior \nindicates hydrophilic nature of the FLG nanoclusters. On the other hand, the positive friction is \nassociated with the hydrophobic character of a-C matri x which is similar to earlier report [50]. \nThough the friction measurements could not be performed under higher scan velocity due to \nstructural deformation of FLG, this observation clearly reveals the different surface chemistry of \nFLG structures and a -C matrix. In addition, the elastic properties also influence the tribological \nproperties and hence, the elastic properties of these UNCD films and tribolayers are measured \nusing AFAM and are discussed below. \n3.2 Atomic force acoustic microscopy \nAtomic force acoustic microscopy is a versatile technique to probe local elastic properties \nof materials at nanometer -scale. In AFAM measurements , the sample is mounted on a piezoelectric \ntransducer which generate s ultrasound frequencies upto 5 MHz. When the sample is vibrated at a \ndrive frequency, the AFM cantilever, in contact with sample, is also forced to vibrate at contact \nresonance frequency (CRF) which mainly depends on the elastic modulus of the tip and sample, 19 \n and contact load on the AFM tip. By analyzing CRF, the elastic modulus of the samples can be \ncalculated using the equation (1) when the cantilever properties are known [46]. \n \nn\nrefs\nref SkkEE \n\n\n\n\n ………………….. (1) \nwhere, E s and E ref are the elastic modulus of unknown and reference sample, respectively. The \nks\n and \nkref are the effective contact stiffness parameter of unknown and reference samples, \nrespectively; Also , \n2\n02f fCRFk , depends on the contact resonance frequency of tip -sample in \ncollective vibration (\nCRFf ) and free resonance frequency (\n0f) of the cantilever in air. Fig. 7 shows \nthe CRF spectra of the pristine UNCD Ar, UNCD N films and Si (100) wafer (reference). Based on \n \n1550 1650 1750 1850 19500246 Si (100) \n UNCD-Ar\n UNCD-N2Amplitude ( arb. units)\nCantiliver drive frequency (kHz)\n \nFig. 7. The contact resonant frequency spectra measured on the pristine surface of UNCD Ar and UNCD N \nfilms. The CRF spectrum on Si (100) is also given for reference. 20 \n \nFig. 8. Simultaneously acquired topography (a,c) and contact resonance frequency (b,d) mapping on the \nwear track of UNCD Ar (a,b) and UNCD N (c,d) films. (e,f) The line profiles of height and contact resonance \nfrequency measured across graphitic structures on positions A1 and A2. \n \nequation 1 and E ref of 170 GPa for Si (100), the elastic modulus of the UNCD Ar, and UNCD N films \nis estimated to be 184 ± 10 and 163 ± 10 GPa, respectively. The estimated elastic modulus of the \nfilms is almost close to the value of 215 and 165 GPa respectively, measured by nanoindentati on \n[6]. In order to have better understanding on the local elastic modulus of the tribofilms, the matrix \nand FLG structures in the wear track, AFAM CRF mapping was employed and the results are \ndiscussed below. \nFigures 8a and 8b (8c and 8d) display the simultaneously acquired topography and CRF \nmapping respectively, on the wear track of UNCD Ar (UNCD N) film with 500 m sliding distance. \n1.61\nMHz1.74\n0\nnm156\n1.74\nMHz1.80\n0\nnm110\n-0.40.41.22.0\n0.0 0.6 1.2 1.8 2.41.751.771.79 H (nm)1.6 nm\n30 kHzFreq. (MHz)\nLength ( um)\n-202\n0.0 0.5 1.0 1.5 2.01.761.771.78H (nm)\n 2 nmCRF (MHz)\nLength ( um)10 kHz\n2 m(a)\n(b)\n(c)\n(d)A1A2(e)\n(f)\n2 m2 m2 m21 \n Similar to friction mapping , CRF mapping (Fig. 8b) also displays both bright and dark areas \ncorresponding to high and low elastic modulus, respectively. A careful observation o f the \ntopography corresponding to the bright er regions indic ates the presence of atomically thin FLG \nstructures which are well known for their lower elastic modulus as compared to other carbon \nallotropes. The line profile s of height and CRF variation across a FLG structure (marked as A1 \nand A2 in Fig. 8b) are given in Fig. 8e and 8f, respectively. T he CRF decreases down to 30 kHz \n(~ 2 %) on FLG structures ( ~ 2 nm thickness , as shown in Fig. 8e) compared to the tribofilm in \nthe wear track . Note that the observed lower CRF indicates reduction in effective elastic modulus \nof the coupled FLG structures and the a-C tribofilm matrix . Similar AFAM measurements on \nUNCD N reveal that only small clusters having low elastic modulus are present inside the wear \ntrack. The estimated elastic modulus of the tribofilms in the wear track of UNCD Ar is larger than \nthat of the UNCD N films due to higher sp3 content and the inherent mechanical characteristics of \nthe unworn UNCD films. Moreover, a significant amount of mixed low and high elastic modulus \nregions are present in the wear track of UNCD Ar films while a homogeneous distribution of elastic \nmodulus re gions with isolated low elastic modulus are observed in the wear track of UNCD N films. \nThus, the AFAM studies reveal the variation in elastic modulus of the tribofilms and corroborate \nthe FFM studies in terms of distribution of FLG structures in the wear t racks of both the UNCD \nfilms. \n4. Discussion \nBased on the experimental observation, a brief summary of the results are discussed here. \nThe surface topography and friction force mapping unveil that the wear track of UNCD Ar films \nconsists of a large network of 2D FLG nanoribbons with thickness of ~ (3 ± 2) nm, width and \nlength of several micrometers . On the other hand, the wear tracks of UNCD N films only have small 22 \n FLG structures with a large number density, thickness of ~ (4 ± 2.5) nm and lateral size of < 1 m. \nBesides , we observe a mixture of bilayer, trilayer and multilayer graphene nanosheets with < 15 \nlayers on the wear tracks of both these UNCD films as confirmed by the atomic step height \nmeasurements by AFM. Nevertheless, t he statistical distribution of height analysis suggest that the \nFLG structures in the wear track of UNCD Ar films have lower thickness than that of UNCD N films. \nFurther, the local friction is much lower in specific areas in the wear track wherever the FLG \nstructures are present. Further more , the relative nanoscale CoF of the tribofilms on the wear tracks \nof UNCD N is lower than that of the tribofilms in UNCD Ar, as discussed in Fig. 3 and Fig. 4. This \nresult supports the macroscopic tribo measurements which gives the average saturated CoF of 0.08 \nand 0.04 for UNCD Ar and UNCD N films, respectively. The lower CoF in UNCD N films can be \nattributed to the presence of thicker FLG structures than in UNCD Ar films since the CoF is \nthickness dependen t and it is lowest for bulk graphite and increases with decrease in layer thickness \n[38]. \nAnother important observation is that the local friction in the tribofilms increases when the \nprotective FLG structures are removed. This observation endorses that the matrix, the dangling \nbonds in the a-C tribofilms , undergoes surface passivation by termination of –H and –OH \nfunctional groups originated from the dissociation of H 2O molecules in the ambient atmospher e \nbecause of the tribochemical reaction s at the sliding interface [5,18,51,52] . Especially, the \nelectrostatic repulsion offered by H- termination in diamond or DLC can lead to the superlubricity \nbetween the counterfaces [52]. Further, the C -H bonding in sp3-rich matrix is more stable than the \nsp2-rich matrix due to the high binding energy . Moreover, t he presence of higher sp3 content in \ntribofilms of UNCD Ar films is also reflected as higher elastic modulus than that of the UNCD N \nfilms , as estimated by AFAM . Raman spectroscopic studies in the wear track clearly confirm that 23 \n UNCD Ar has higher sp3 content compared to UNCD N films [6]. Hence, p erhaps , the higher sp3 C-\nC bonding in the tribofilms of UNCD Ar films had also facilitated a more efficient surface \npassivation that can result in ultralow friction with a minimum wear rate. In contrast, the higher \nsp2 C=C bonding in the tribofilms of UNCD N films enables the formation of C-OH, C -O or C=O \nbonding that are highly unstable leading to CO or CO 2 molecules and make the surface to wear \nout at higher rate . Moreover, it has been recently reported that the in -plane tensile fracture strength \nand critical compressive strain to failure decrease with increase in layer thickness of graphitic \nstructures [53,54] . Thus, the FLG structures with slightly high thickness in UNCD N films undergo \nfailure at higher rate than that of tribofilms in UNCD Ar films and this makes the wear rate to be \nhigher in UNCD N. \nBased on the above discussion , we propose here that large networks of FLG structures act \nas lubricant as well as protecting layer that results in ultralow friction and high wear resistance in \nUNCD Ar films [7,8,32] . Also, Wijk et al [8] predicted that the FLG act as wear protection of \ndiamond, by preventing further plastic deformation of diamond into a-C. On the other hand, the \nUNCD N films have large number density of FLG nanoclusters which act as efficient lubricant \nresult ing in ultralow friction. However, the high wear rate in UNCD N films can be associated with \ninability to form larger networks of F LG nano structures . Also, the adhesion of newly formed \nlayered FLG structures over the a -C matrix tribofilm is poor. This results in the removal of \ntribofilms in the wear track leading to a significant wear rate on both the films. However , the higher \nwear rate in UNCD N films than that of the UNCD Ar films , can be associated with the tribofilms \nwith higher sp2 content which are easily moved out of the track due to the roughness of the counter \nbody [3,6] . \n 24 \n Despite the above discussion, the question remains that whether the newly formed low \nfriction structures are few layer graphene or not ? or, is it sp2-rich a-C adlayer since there is no \nalternative direct evidence. Here, we reiterate the following evidences revealed by combined AFM \nand FFM measurements . \n1. Atomic step height and low friction behavior of FLG structures as shown in Figs. 1 - 6. \n2. Partial cleavage with sharp edges and variation of friction with layer thickness ( Fig. 5) \n3. Angle between adjacent edges are about 60, 90 and 120o as indicated in Figs. 1 and 5. \nThese angles are characteristic of graphene edge chirality that arises due to a rmchair \nand zigzag edges [55]. \n4. Friction force decreases with increase in AFM tip scan velocity on FLG structures \nwhile an opposite behavior is observed for a -C matrix (Fig. 6) \n \nAll the above mentioned four poin ts are characteristics of layered graphene structures. Suppose if \nwe were to assume the newly formed structure as sp2-rich a -C, it is impossible to cleave the partial \ntop layer with sharp edges and also, the friction does not change with thickness. Moreove r, the \nadhesion / surface chemistry cannot be opposite to the a -C matrix. Based on these facts, we infer \nthat the newly formed tribolayers are indeed layered graphene structures. However, a direct \nmeasurement like TERS can confirm the layered structure wit h distinct Raman characteristics of \nFLG structures. Also, HRTEM, scanning tunneling microscopy and Kelvin probe microscopy can \nshed more light on the layered nature of the FLG. Nevertheless, these techniques do also have \nseveral drawbacks to study of later al tribolayers which are sparsely and randomly present on a \nrough wear track. Yet , AFM is a powerful and relatively simple tool which helps to understand the \ncomplex wear track with the support of well -established characteristics of carbon materials. 25 \n Finally, it is very intuitive to know the driving force for the nucleat ion of ordered graphene \nstructure s over the tribo layers . Here, the rms roughness and wear test environments are almost \nidentical for both the film s, though , the structural characteristics, sp3/sp2 ratio, and hardness are \ndifferent and they might play a significant role on wear and friction . It is mostly accepted that the \nshear force induces sp3-sp2 order – disorder transformation which leads to the formation of sp2-\nrich a-C tribolayers at the sliding interface [19]. Further, the shear localization mechanism suggests \nthat rehybridization occurs at the tribo -interface with structural phase transformation, covalent \nbond reorientation and local structural ordering [28]. Thus, the clusters of ordered crystallites with \n~ 1 nm in size nucleate in the a-C matrix which has already been experimentally evidenced by \nHRTEM [2,6,10,35] . However, it wa s not clear so far that whether these small crystallites g row \ninto large size graphene layers or not. In fact, thi s work by AFM studies provide evidences of the \ngrowth of micro -/nanoclusters with ordered graphene layers or a large network of graphene \nnanoribbon s in the wear track. Moreover , it should be noted here that the shear force induced \nenergy dissipation at the sliding interface is very high for UNCD Ar films due to the initial high \nCoF along with long run -in distance, high hardness and elastic modulus which are leading to the \nformati on of large networked FLG structures. However, the energy dissipation is much lower for \nUNCD N films due to the higher sp2 content which makes negligible run -in distance and lower \nmechanical properties . Thus, the structural and mechanical properties play a significant role on the \ntribological properties of UNCD films. Also, we cannot rule out the role of H - surface passivation \nof the tribofilms which can play a significant role on friction and wear in UNCD films. \n5. Conclusions \n The formation of bilayer, trilayer, and a few layer graphene -like structures which arise due \nto shear induced graphitization are observed over the amorphous carbon ( a-C) tribofilms on two 26 \n different set s of UNCD films using f rictional force microscopy . Since the newly evolved few layer \ngraphene structure s act as lubricant as well as wear protect or, UNCD films with large networked \nfew layer graphene structures exhibit high wear resistance with low friction . In contrast, UNCD \nfilms only with isolated FLG structures display ultralow friction but it could not protect wear . \nHowever, the growth of ordered graphene structures over the tribolayers depends on the structural \nand mechanical characteristics of the UNCD films. In nutshell, th e shear induced graphitization \nand the subsequent formation of ordered graphene layers play a major role on the ultralow friction \nand wear in UNCD films. Further, we cannot rule out the effect of H- surface passivation of the \nsp2-rich a-C tribofilms on fri ction and wear. Carefully designed experiments are required to \nelucidate the driving force behind the formation of a large networks of ordered graphene structures \nsince the tribochemical reactions are complex . Moreover, despite the 2D materials have excellent \nfrictional properties, they are easily affected by surface conditions, resulting in poor tribological \nresults. Intensive study of atomic level friction using emerging 2D materials is need of the hour i n \nthe field of tribologica l research. Overall , the frictional force microcopy, combined with other \nmacro -scale measurements, can serve as an excellent tool for understanding the tribology of carbon \nmaterials. \nAcknowledgement s \nAuthors acknowledge Dr. Niranjan K umar , erstwhile member of SND for originating the \nwork and fruitful discussion on earlier studies with the same sample s. We also thank Prof. I-Nan \nLin, Tamkang University , Taiwan for providing the UNCD films and discussion. One of the \nauthors, K.G., acknowledges Dr. Shaju K. Albert, MSG, IGCAR for his constant support and \nencouragement. \n 27 \n References \n[1] X. Chen, J. Li, Superlubricity of carbon nanostructures, Carbon N. Y. 158 (2020) 1 –23. \nhttps://doi.org/10.1016/j.carbon.2019.11.077. \n[2] R.A. Bernal, R.W. Carpick, Visualization of nanoscale wear mechanisms in \nultrananocrystalline diamond by in -situ TEM tribometry, Carbon N. Y. 154 (2019) 132 –\n139. https://doi.org/10.1016/j.carbon.2019.07.082 . \n[3] P.K. Ajikumar, K. Ganesan, N. Kumar, T.R. Ravindran, S. Kalavathi, M. Kamruddin, \nRole of microstructure and structural disorder on tribological properties of polycrystalline \ndiamond films, Appl. Surf. Sci. 469 (2019) 10 –17. \nhttps://doi.org/10.1016/j. apsusc.2018.10.265. \n[4] R. Rani, K. Panda, N. Kumar, K.J. Sankaran, K. Ganesan, I.N. Lin, Tribological \nProperties of Ultrananocrystalline Diamond Films in Inert and Reactive Tribo -\nAtmospheres: XPS Depth -Resolved Chemical Analysis, J. Phys. Chem. C. 122 (20 18) \n8602 –8613. https://doi.org/10.1021/acs.jpcc.8b00856. \n[5] A.R. Konicek, D.S. Grierson, P.U.P.A. Gilbert, W.G. Sawyer, A. V. Sumant, R.W. \nCarpick, Origin of ultralow friction and wear in ultrananocrystalline diamond, Phys. Rev. \nLett. 100 (2008). https:// doi.org/10.1103/PhysRevLett.100.235502. \n[6] R. Rani, K.J. Sankaran, K. Panda, N. Kumar, K. Ganesan, S. Chakravarty, I.N. Lin, \nTribofilm formation in ultrananocrystalline diamond film, Diam. Relat. Mater. 78 (2017) \n12–23. https://doi.org/10.1016/j.diamond.2 017.07.009. \n[7] D. Berman, S.A. Deshmukh, S.K.R.S. Sankaranarayanan, A. Erdemir, A. V. Sumant, \nMacroscale superlubricity enabled by graphene nanoscroll formation, Science (80 -. ). 348 \n(2015) 1118 –1122. https://doi.org/10.1126/science.1262024. \n[8] M.M. Van Wijk, A. Fasolino, Minimal graphene thickness for wear protection of \ndiamond, AIP Adv. 5 (2015) 1 –7. https://doi.org/10.1063/1.4905942. \n[9] T. Kuwahara, G. Moras, M. Moseler, Friction Regimes of Water -Lubricated Diamond 28 \n (111): Role of Interfacial Ether Gro ups and Tribo -Induced Aromatic Surface \nReconstructions, Phys. Rev. Lett. 119 (2017) 1 –6. \nhttps://doi.org/10.1103/PhysRevLett.119.096101. \n[10] P. Manimunda, A. Al -Azizi, S.H. Kim, R.R. Chromik, Shear -Induced Structural Changes \nand Origin of Ultralow Frictio n of Hydrogenated Diamond -like Carbon (DLC) in Dry \nEnvironment, ACS Appl. Mater. Interfaces. 9 (2017) 16704 –16714. \nhttps://doi.org/10.1021/acsami.7b03360. \n[11] J.M. Martin, A. Erdemir, Superlubricity: Friction’s vanishing act, Phys. Today. 71 (2018) \n40–45. https://doi.org/10.1063/PT.3.3897. \n[12] A. Erdemir, J.M. Martin, Superior wear resistance of diamond and DLC coatings, Curr. \nOpin. Solid State Mater. Sci. 22 (2018) 243 –254. \nhttps://doi.org/10.1016/j.cossms.2018.11.003. \n[13] X. Yin, J. Zhang, T. Luo, B. C ao, J. Xu, X. Chen, J. Luo, Tribochemical mechanism of \nsuperlubricity in graphene quantum dots modified DLC films under high contact pressure, \nCarbon N. Y. 173 (2021) 329 –338. https://doi.org/10.1016/j.carbon.2020.11.034. \n[14] Q. Yu, X. Chen, C. Zhang, J. Luo, Influence Factors on Mechanisms of Superlubricity in \nDLC Films: A Review, Front. Mech. Eng. 6 (2020) 1 –17. \nhttps://doi.org/10.3389/fmech.2020.00065. \n[15] Z. Chen, X. He, C. Xiao, S.H. Kim, Effect of humidity on friction and Wear -A critical \nreview, Lub ricants. 6 (2018) 1 –26. https://doi.org/10.3390/lubricants6030074. \n[16] E.E. Ashkinazi, V.S. Sedov, M.I. Petrzhik, D.N. Sovyk, A.A. Khomich, V.G. Ralchenko, \nD. V. Vinogradov, P.A. Tsygankov, I.N. Ushakova, A. V. Khomich, Effect of crystal \nstructure on the tribological properties of diamond coatings on hard -alloy cutting tools, J. \nFrict. Wear. 38 (2017) 252 –258. https://doi.org/10.3103/S1068366617030047. \n[17] M. Shabani, A. C.S., G. J.R., R.F. Silva, O. F.J., Effect of relative humidity and \ntemperature on th e tribology of multilayer micro/nanocrystalline CVD diamond coatings, \nDiam. Relat. Mater. 73 (2017) 190 –198. https://doi.org/10.1016/j.diamond.2016.09.016. 29 \n [18] F. Mangolini, K.D. Koshigan, M.H. Van Benthem, J.A. Ohlhausen, J.B. McClimon, J. \nHilbert, J. Fo ntaine, R.W. Carpick, How Hydrogen and Oxygen Vapor Affect the \nTribochemistry of Silicon - and Oxygen -Containing Hydrogenated Amorphous Carbon: A \nStudy Combining X -Ray Absorption Spectromicroscopy and Data Science Methods, \nSubmitted. (2020). https://doi.org /10.1021/acsami.1c00090. \n[19] L. Pastewka, S. Moser, P. Gumbsch, M. Moseler, Anisotropic mechanical amorphization \ndrives wear in diamond, Nat. Mater. 10 (2011) 34 –38. https://doi.org/10.1038/nmat2902. \n[20] K. Panda, R. Rani, N. Kumar, K.J. Sankaran, J.Y. P ark, K. Ganesan, I.N. Lin, Dynamic \nfriction behavior of ultrananocrystalline diamond films: A depth -resolved chemical phase \nanalysis, Ceram. Int. 45 (2019) 23418 –23422. \nhttps://doi.org/10.1016/j.ceramint.2019.08.045. \n[21] A.R. Konicek, D.S. Grierson, A. V. Sumant, T.A. Friedmann, J.P. Sullivan, P.U.P.A. \nGilbert, W.G. Sawyer, R.W. Carpick, Influence of surface passivation on the friction and \nwear behavior of ultrananocrystalline diamond and tetrahedral amorphous carbon thin \nfilms, Phys. Rev. B - Condens. Mat ter Mater. Phys. 85 (2012) 1 –13. \nhttps://doi.org/10.1103/PhysRevB.85.155448. \n[22] R. Rani, K. Panda, N. Kumar, A.T. Kozakov, V.I. Kolesnikov, A.V. Sidashov, I.N. Lin, \nTribological Properties of Ultrananocrystalline Diamond Films: Mechanochemical \nTransforma tion of Sliding Interfaces, Sci. Rep. 8 (2018). https://doi.org/10.1038/s41598 -\n017-18425 -4. \n[23] Y. Wang, J. Guo, J. Zhang, Y. Qin, Ultralow friction regime from the in situ production of \na richer fullerene -like nanostructured carbon in sliding contact, RS C Adv. 5 (2015) \n106476 –106484. https://doi.org/10.1039/c5ra20892k. \n[24] T. Kunze, M. Posselt, S. Gemming, G. Seifert, A.R. Konicek, R.W. Carpick, L. Pastewka, \nM. Moseler, Wear, plasticity, and rehybridization in tetrahedral amorphous carbon, Tribol. \nLett. 53 (2014) 119 –126. https://doi.org/10.1007/s11249 -013-0250 -7. \n[25] Y. Liu, L. Chen, B. Jiang, Y. Liu, B. Zhang, C. Xiao, J. Zhang, L. Qian, Origin of low 30 \n friction in hydrogenated diamond -like carbon films due to graphene nanoscroll formation \ndepending on s liding mode: Unidirection and reciprocation, Carbon N. Y. 173 (2021) \n696–704. https://doi.org/10.1016/j.carbon.2020.11.039. \n[26] D. Berman, B. Narayanan, M.J. Cherukara, S.K.R.S. Sankaranarayanan, A. Erdemir, A. \nZinovev, A. V. Sumant, Operando tribochemica l formation of onion -like-carbon leads to \nmacroscale superlubricity, Nat. Commun. 9 (2018). https://doi.org/10.1038/s41467 -018-\n03549 -6. \n[27] X. Chen, C. Zhang, T. Kato, X.A. Yang, S. Wu, R. Wang, M. Nosaka, J. Luo, Evolution \nof tribo -induced interfacial na nostructures governing superlubricity in a -C:H and a -C:H:Si \nfilms, Nat. Commun. 8 (2017). https://doi.org/10.1038/s41467 -017-01717 -8. \n[28] T.B. Ma, L.F. Wang, Y.Z. Hu, X. Li, H. Wang, A shear localization mechanism for \nlubricity of amorphous carbon materia ls, Sci. Rep. 4 (2014) 1 –6. \nhttps://doi.org/10.1038/srep03662. \n[29] L. Pastewka, S. Moser, M. Moseler, Atomistic insights into the running -in, lubrication, \nand failure of hydrogenated diamond -like carbon coatings, Tribol. Lett. 39 (2010) 49 –61. \nhttps://doi .org/10.1007/s11249 -009-9566 -8. \n[30] S. Kajita, M.C. Righi, A fundamental mechanism for carbon -film lubricity identified by \nmeans of ab initio molecular dynamics, Carbon N. Y. 103 (2016) 193 –199. \nhttps://doi.org/10.1016/j.carbon.2016.02.078. \n[31] S. Bhowmi ck, A. Banerji, A.T. Alpas, Friction reduction mechanisms in multilayer \ngraphene sliding against hydrogenated diamond -like carbon, Carbon N. Y. 109 (2016) \n795–804. https://doi.org/10.1016/j.carbon.2016.08.036. \n[32] X. Yin, F. Wu, X. Chen, J. Xu, P. Wu, J. Li, C. Zhang, J. Luo, Graphene -induced \nreconstruction of the sliding interface assisting the improved lubricity of various tribo -\ncouples, Mater. Des. 191 (2020) 1 –9. https://doi.org/10.1016/j.matdes.2020.108661. \n[33] A. Klemenz, L. Pastewka, S.G. Balakrish na, A. Caron, R. Bennewitz, M. Moseler, Atomic \nscale mechanisms of friction reduction and wear protection by graphene, Nano Lett. 14 31 \n (2014) 7145 –7152. https://doi.org/10.1021/nl5037403. \n[34] X. Li, A. Wang, K.R. Lee, Fundamental understanding on low -fricti on mechanisms at \namorphous carbon interface from reactive molecular dynamics simulation, Carbon N. Y. \n170 (2020) 621 –629. https://doi.org/10.1016/j.carbon.2020.08.014. \n[35] Y. Liu, E.I. Meletis, Evidence of graphitization of diamond -like carbon films durin g \nsliding wear, J. Mater. Sci. 32 (1997) 3491 –3495. \nhttps://doi.org/10.1023/A:1018641304944. \n[36] Y. Peng, Z. Wang, K. Zou, Friction and Wear Properties of Different Types of Graphene \nNanosheets as Effective Solid Lubricants, Langmuir. 31 (2015) 7782 –7791. \nhttps://doi.org/10.1021/acs.langmuir.5b00422. \n[37] R. Mas -Ballesté, C. Gómez -Navarro, J. Gómez -Herrero, F. Zamora, 2D materials: To \ngraphene and beyond, Nanoscale. 3 (2011) 20 –30. https://doi.org/10.1039/c0nr00323a. \n[38] C. Lee, Q. Li, W. Kalb, X.Z. Liu, H. Berger, R.W. Carpick, J. Hone, Frictional \ncharacteristics of atomically thin sheets, Science (80 -. ). 328 (2010) 76 –80. \nhttps://doi.org/10.1126/science.1184167. \n[39] J.C. Spear, B.W. Ewers, J.D. Batteas, 2D -nanomaterials for controlling friction and wea r \nat interfaces, Nano Today. 10 (2015) 301 –314. \nhttps://doi.org/10.1016/j.nantod.2015.04.003. \n[40] S. Zhang, T. Ma, A. Erdemir, Q. Li, Tribology of two -dimensional materials: From \nmechanisms to modulating strategies, Mater. Today. 26 (2019) 67 –86. \nhttps:// doi.org/10.1016/j.mattod.2018.12.002. \n[41] J.H. Lee, D.H. Cho, B.H. Park, J.S. Choi, Nanotribology of 2D materials and their \nmacroscopic applications, J. Phys. D. Appl. Phys. 53 (2020). https://doi.org/10.1088/1361 -\n6463/ab9670. \n[42] L.D.A. Prospective, K. Zhang, Z. Xu, A. Rosenkranz, Y. Song, T. Xue, Surface - and Tip -\nEnhanced Raman Scattering in, (2019) 1 –16. 32 \n [43] Q. Li, C. Lee, R.W. Carpick, J. Hone, Substrate effect on thickness -dependent friction on \ngraphene, Phys. Status Solidi Basic Res. 247 (2010) 290 9–2914. \nhttps://doi.org/10.1002/pssb.201000555. \n[44] D.S. Grierson, R.W. Carpick, Nanotribology of carbon -based materials, Nano Today. 2 \n(2007) 12 –21. https://doi.org/10.1016/S1748 -0132(07)70139 -1. \n[45] R.W. Carpick, M. Salmeron, Scratching the surface: Fu ndamental investigations of \ntribology with atomic force microscopy, Chem. Rev. 97 (1997) 1163 –1194. \nhttps://doi.org/10.1021/cr960068q. \n[46] U. Rabe, S. Amelio, M. Kopycinska, S. Hirsekorn, M. Kempf, M. Göken, W. Arnold, \nImaging and measurement of local mec hanical material properties by atomic force \nacoustic microscopy, Surf. Interface Anal. 33 (2002) 65 –70. \nhttps://doi.org/10.1002/sia.1163. \n[47] S.R. Polaki, K. Ganesan, S.K. Srivastava, M. Kamruddin, A.K. Tyagi, The role of \nsubstrate bias and nitrogen doping on the structural evolution and local elastic modulus of \ndiamond -like carbon films, J. Phys. D. Appl. Phys. 50 (2017). \nhttps://doi.org/10.1088/1361 -6463/aa6492. \n[48] S.R. Polaki, N. Kumar, K. Ganesan, K. Madapu, A. Bahuguna, M. Kamruddin, S. Dash, \nA.K. Tyagi, Tribological behavior of hydrogenated DLC film: Chemical and physical \ntransformations at nano -scale, Wear. 338 –339 (2015) 105 –113. \nhttps://doi.org/10.1016/j.wear.2015.05.013. \n[49] L. Liu, M. Zhou, L. Jin, L. Li, Y. Mo, G. Su, X. Li, H. Zhu, Y. T ian, Recent advances in \nfriction and lubrication of graphene and other 2D materials: Mechanisms and applications, \nFriction. 7 (2019) 199 –216. https://doi.org/10.1007/s40544 -019-0268 -4. \n[50] E. Riedo, F. Lévy, H. Brune, Kinetics of capillary condensation in nanoscopic sliding \nfriction, Phys. Rev. Lett. 88 (2002) 1855051 –1855054. \nhttps://doi.org/10.1103/physrevlett.88.185505. \n[51] Z. yang Li, W. jing Yang, Y. ping Wu, S. bo Wu, Z. bing Cai, Role of humidity in 33 \n reducing the friction of graphene layers on textu red surfaces, Appl. Surf. Sci. 403 (2017) \n362–370. https://doi.org/10.1016/j.apsusc.2017.01.226. \n[52] A. V. Sumant, D.S. Grierson, J.E. Gerbi, J. Birrell, U.D. Lanke, O. Auciello, J.A. Carlisle, \nR.W. Carpick, Toward the ultimate tribological interface: Sur face chemistry and \nnanotribology of ultrananocrystalline diamond, Adv. Mater. 17 (2005) 1039 –1045. \nhttps://doi.org/10.1002/adma.200401264. \n[53] X. Wei, Z. Meng, L. Ruiz, W. Xia, C. Lee, J.W. Kysar, J.C. Hone, S. Keten, H.D. \nEspinosa, Recoverable Slippage M echanism in Multilayer Graphene Leads to Repeatable \nEnergy Dissipation, ACS Nano. 10 (2016) 1820 –1828. \nhttps://doi.org/10.1021/acsnano.5b04939. \n[54] C. Androulidakis, E.N. Koukaras, M. Hadjinicolaou, C. Galiotis, Non -Eulerian behavior \nof graphitic material s under compression, Carbon N. Y. 138 (2018) 227 –233. \nhttps://doi.org/10.1016/j.carbon.2018.06.011. \n[55] Y. You, Z. Ni, T. Yu, Z. Shen, Edge chirality determination of graphene by Raman \nspectroscopy, Appl. Phys. Lett. 93 (2008) 91 –94. https://doi.org/10.10 63/1.3005599. \n " }, { "title": "2006.13627v1.Microwave_response_of_interacting_oxide_two_dimensional_electron_systems.pdf", "content": "Microwave response of interacting oxide two-dimensional electron systems\nD. Tabrea,1I. A. Dmitriev,2, 3S. I. Dorozhkin,4B. P. Gorshunov,5A. V. Boris,1\nY. Kozuka,6, 7A. Tsukazaki,8M. Kawasaki,9, 10K. von Klitzing,1and J. Falson1\n1Max-Planck-Institute for Solid State Research, Heisenbergstrasse 1, D-70569 Stuttgart, Germany\n2Department of Physics, University of Regensburg, 93040 Regensburg, Germany\n3Io\u000be Physical Technical Institute, 194021 St. Petersburg, Russia\n4Institute of Solid State Physics RAS, 142432 Chernogolovka, Moscow District, Russia.\n5Moscow Institute of Physics and Technology, Dolgoprudny, Moscow Region 141700, Russia\n6Research Center for Magnetic and Spintronic Materials,\nNational Institute for Materials Science, 1-2-1 Sengen, Tsukuba 305-0047, Japan\n7JST, PRESTO, Kawaguchi, Saitama 332-0012, Japan\n8Institute for Materials Research, Tohoku University, Sendai 980-8577, Japan\n9Department of Applied Physics and Quantum-Phase Electronics Center (QPEC), University of Tokyo, Tokyo 113-8656, Japan\n10RIKEN Center for Emergent Matter Science (CEMS), Wako 351-0198, Japan\nWe present an experimental study on microwave illuminated high mobility MgZnO/ZnO based\ntwo-dimensional electron systems with di\u000berent electron densities and, hence, varying Coulomb inter-\naction strength. The photoresponse of the low-temperature dc resistance in perpendicular magnetic\n\feld is examined in low and high density samples over a broad range of illumination frequencies. In\nlow density samples a response due to cyclotron resonance (CR) absorption dominates, while high-\ndensity samples exhibit pronounced microwave-induced resistance oscillations (MIRO). Microwave\ntransmission experiments serve as a complementary means of detecting the CR over the entire range\nof electron densities and as a reference for the band mass unrenormalized by interactions. Both CR\nand MIRO-associated features in the resistance permit extraction of the e\u000bective mass of electrons\nbut yield two distinct values. The conventional cyclotron mass representing center-of-mass dynamics\nexhibits no change with density and coincides with the band electron mass of bulk ZnO, while MIRO\nmass reveals a systematic increase with lowering electron density consistent with renormalization\nexpected in interacting Fermi liquids.\nI. INTRODUCTION\nTwo-dimensional electron systems (2DES) have been\nthe subject of intense study as they host a remarkably\nrich set of ground states depending on the strength of the\ninter-particle interaction. As the charge carrier density\nnis reduced, the Coulomb energy ( EC/pn) becomes\ncomparable and eventually even exceeds the electronic\nFermi energy ( EF/n). In the limit of high concentra-\ntion, charge carriers interact weakly and the system's pa-\nrameters follow from band theory. At intermediate densi-\nties, a Fermi liquid described by parameters that undergo\na renormalization due to interactions, such as the e\u000bec-\ntive massm\u0003and theg-factor, forms.1,2Finally, in the\ndilute limit, a breakdown of the Fermi-liquid paradigm\nis anticipated. This culminates either in particle local-\nization or, if disorder is su\u000eciently suppressed, in highly\ncorrelated states such as a Wigner crystal.3{5\nThe band e\u000bective mass of a 2DES is commonly mea-\nsured using cyclotron resonance (CR), since in view\nof Kohn's theorem6the resonance frequency is insen-\nsitive to inter-particle correlations at the vanishingly\nsmall momentum of the incident radiation. Estimates\nof the renormalized e\u000bective mass mostly rely on tem-\nperature dependent studies of the Shubnikov-de Haas\noscillations.1Recently, oscillatory magnetotransport fea-\ntures that appear under incident microwave radiation,\nreferred to as microwave induced resistance oscilla-\ntions or MIRO,7have been advanced as an alterna-tive tool for obtaining the interaction-dependent e\u000bective\nmass.8{11This method has mainly been deployed in the\nweakly-interacting regime, where rs=EC=EF<2.8{10\nRecently a di\u000berent region of parameter space where\nCoulomb interactions prevail and rsspans values from\n3 to 6 has been accessed.11This was accomplished with\nMgxZn1\u0000xO/ZnO heterostructures which simultaneously\nposses a low level of disorder.12{14Indeed, state-of-the-\nart Mg xZn1\u0000xO/ZnO samples display electron mobilities\nbeyond 106cm2/Vs as well as quantum lifetimes that are\ncomparable to what the best GaAs heterostructures can\no\u000ber.15,16Accordingly, exotic fractional quantum Hall\nfeatures have been reported in these samples.17,18\nHere we aim to extract the electron mass in these\nheterostructures by performing simultaneous magneto-\ntransmission and magnetotransport measurements under\nmicrowave illumination.19For the entire span of charge\ndensities, the transmission signal displays resonant fea-\ntures at the cyclotron resonance. An analysis of the\ndensity dependence of this signal yields an electron ef-\nfective mass close to the band mass mb\u00190:3m0of bulk\nZnO,20,21wherem0is the free electron mass. In contrast,\nthe resistively detected magnetotransport signal of the\ndevices exhibits qualitatively di\u000berent responses depend-\ning on the charge carrier density. While low carrier den-\nsity samples ( n<3:5\u00021011cm\u00002) exclusively display a\nconventional response due to heating of the electron sys-\ntem during resonant microwave absorption at CR,22{24in\nthe higher density regime the response is dominated by\nthe less common MIRO.25{27No CR related feature wasarXiv:2006.13627v1 [cond-mat.mes-hall] 24 Jun 20202\ndetected in the magnetoresistance of high density sam-\nples. These two signals permit further analysis of the ef-\nfective mass. While the CR-associated feature re\rects a\nsimilar band mass mb\u00190:3m0to that obtained in trans-\nmission studies, the value extracted from MIRO exhibits\na systematic increase with decreasing carrier concentra-\ntion re\recting the renormalization of the Fermi-liquid as\ninteractions augment.28{31Lastly we provide a plausible\nexplanation for the dominance of the CR response in the\nphotoresistance of low-density samples.\nII. EXPERIMENT\nThese studies were performed on a series of\nMgxZn1\u0000xO/ZnO heterostructures each hosting a 2DES\nat their heterointerface, with electron densities in the\nrange of 2\u0014n\u001420\u00021011cm\u00002depending on the\nMg content xof the cap layer (0 :01\u0014x\u00140:15). Wafers\nwere diced into pieces of approximately 3 \u00023 mm2in order\nto prepare samples in the van der Pauw geometry with\nfour or eight contacts. The contacts were made by evap-\norating Ti/Au and/or soldered indium along the perime-\nter of the sample. The experimental setup is shown in\nFig. 1(a). Samples are mounted on ceramic chip carriers\nwith a drilled hole of approximately 5 mm in diameter to\nallow microwave transmission through the sample. A my-\nlar \flm was glued across this opening to provide support\nfor the sample. Metalized mylar was additionally placed\naround the perimeter of the chip to limit the transmission\nof stray radiation. On the backside of the chip carrier, a\n4\u00023 mm2carbon-covered kapton \flm contacted with sil-\nver paint was placed. Its resistance Rtexhibited a strong\nnegative bolometric response \u000eRt(B) =\u0000CTs(B)Pext\nproportional to the microwave power Ts(B)Pexttrans-\nmitted through the sample containing the 2DES. Since\nboth the sensitivity coe\u000ecient, C > 0, and the exter-\nnal microwave power, Pext, areB-independent, variations\nof\u000eRt(B) directly re\rect the B-dependence of the mi-\ncrowave transmission coe\u000ecient Ts(B).\nThe experiments were carried out in a single-shot3He\ncryostat with an axial superconducting coil. The sample\nis submersed in3He liquid and the temperature is var-\nied between 300 mK and 1.4 K by pumping on the3He\nsurface. Monochromatic radiation with a frequency of\nup to 50 GHz was generated using an Agilent 83650 B\nsource. If needed, this signal was additionally ampli\fed\nand frequency-multiplied to access the f= 75\u0000108 GHz\nfrequency range. The multiplication leaves an inacces-\nsible window for f\u001950\u000075 GHz. The microwaves\nwere delivered to the sample with the help of an over-\nsized rigid rectangular waveguide. Their amplitude was\nmodulated at a frequency fmod= 1 kHz. The longitu-\ndinal resistance Rxxof the 2DEG was measured using\nlow-frequency ( fAC\u001910 Hz) lock-in detection at a bias\ncurrent of I= 500 nA. Double modulation using the\ndual-reference detection capability of an SR860 lock-in\nampli\fer as a fraction of the total signal that is modu-\nFIG. 1: (a) Sketch of the experimental setup. (b) Mag-\nnetotransmission data (negated \u000eRt(B) re\recting the B-\ndependence of the transmittance, Ts(B), solid lines) and pho-\ntoresistance \u000eRxx(B) (dashed lines) for three microwave fre-\nquencies (as marked) obtained at T= 1:4 K on a sample with\ndensityn= 2:05\u00021011cm\u00002. Curves are shifted vertically\nfor clarity. Linear scales are used. (c) Positions of the minima\nin the magnetotransmission traces obtained for a number of\navailable microwave frequencies on the sample in panel (b)\n(open squares). A linear \ft crossing the origin for data points\ncorresponding to f\u001575 GHz (solid line) gives the value of\nthe e\u000bective mass m\u0003\nCR= (0:31\u00060:005)m0associated with\nthe CR in transmission.\nlated both at fACand atfmodwas deployed to selectively\nrecord weak microwave-induced changes of the low fre-\nquency resistance, \u000eRxx. To improve the signal-to-noise\nratio we also relied on double modulation detection of the\nmicrowave induced changes of the carbon resistor, \u000eRt.\nIII. RESULTS AND DISCUSSION\nA. Transmission measurements\nSolid lines in Fig.1(b) display typical magnetotrans-\nmission data from the carbon resistor placed below the\nsample hosting a 2DES with an electron density n=\n2:05\u00021011cm\u00002. The change in the carbon resistor\nvalue\u000eRthas been recorded at di\u000berent microwave fre-\nquencies. A strong maximum was found in each trace,\ncorresponding to a minimum of the transmittance Ts(B).\nIt is attributed to the CR. Far from the resonance, the\nsample is nearly transparent to the incoming radiation\nand the carbon resistor heats up and cools down at the\nrate of the amplitude modulation of the incident mi-\ncrowave. This translates into a negative and nearly B-\nindependent o\u000b-resonant signal \u000eRt. Near the CR the\n2DES absorbs and re\rects a larger part of microwaves,\nwhich leads to a lower transmitted power and therefore3\nto a lower heating of the carbon resistor. We note that\nthe external radiation power reaching the sample varies\nsigni\fcantly with the microwave wavelength. This is due\nto \ructuations of the incident microwave power caused\nby the development of standing waves in the waveguide\nand variations in the output power of the microwave\nsource. Therefore, we employ arbitrary units and re-\nfrain from a quantitative comparison of the amplitude\nfor data recorded at di\u000berent microwave frequencies. We\nnote that the asymmetric line shape of the CR likely orig-\ninates from interference e\u000bects within the sample that de-\npends on the wavelength of the radiation. Over a large\nfrequency range the e\u000bect is averaged out.\nPanel (c) demonstrates that the B-positions of the\ntransmission minima are proportional to the microwave\nfrequency in the high frequency range. The slope ob-\ntained from a linear \ft of the data for f\u001575 GHz pass-\ning through the origin (solid line) establishes that these\nminima match the CR condition, f=eB=(2\u0019m\u0003\nCR), for\nan e\u000bective mass m\u0003\nCR= (0:31\u00060:005)m0close to the\nband mass of ZnO. Due to the \fnite size of the sam-\nple, the 2DES supports a con\fned plasmon mode. It\nhybridizes with the cyclotron resonance mode to yield a\nmagnetoplasmon mode of non-zero frequency near B= 0.\nThis causes a deviation of the linear B-dependence of\nthe observed resonance frequency in the low \feld limit.32\nTherefore, data points recorded at frequencies below 50\nGHz have been excluded from the mass analysis. The\nCR is an ubiquitous feature in magnetotransmission for\nthe whole range of electron densities n= (2\u000020)\u00021011\ncm\u00002which we utilize below in gauging the magnitude\nof mass enhancement obtained from the analysis of pho-\ntoresistance.\nB. Photoresistance measurements\nWe now turn our attention to magnetotransport mea-\nsurements utilizing the double-modulation technique to\nextract the microwave-induced variation of the longitu-\ndinal resistance of the 2DES, \u000eRxx. In contrast to the\nmagnetotransmission signal that is dominated by the res-\nonant re\rection and absorption near the CR for the whole\nrange of electron densities, the resistance measurements\nmanifest more complex behavior depending on the charge\ncarrier density. In low-density samples ( n <4:3\u00021011\ncm\u00002), the most prominent feature in \u000eRxxis a broad\npeak, as exempli\fed in Fig. 2(a). At T= 1:4 K the cor-\nresponding change in Rxxdue to resonant absorption is\nof the order of a few Ohm. Simultaneous measurement\nof\u000eRxxand of the magnetotransmission signal reveals\nthat the peak is aligned with the minimum in \u0000\u000eRt. A\ncomparison between the two sets of data is displayed in\nFig. 1(b). It is therefore natural to ascribe this peak to\na conventional response due to resonant microwave ab-\nsorption and associated electron heating near the CR.\nIn contrast, high density samples with n > 4:7\u00021011\ncm\u00002display no detectable resonant features in \u000eRxxat\nFIG. 2: Representative examples for the recorded variation\nof the longitudinal dc resistance, \u000eRxx, induced by incident\nradiation with a frequency f= 96 GHz at T= 1:4 K. The\nresponse di\u000bers in samples with low [ n= 2:3\u00021011cm\u00002,\npanel (a)] and high electron density [ n= 7:5\u00021011cm\u00002,\npanel (b)] plotted on a linear scale. (c) Position of the max-\nima in\u000eRxxfor the low-density sample shown in panel (a)\nin the frequency vs. magnetic \feld plane (open squares) to-\ngether with a linear \ft passing through the origin (solid line).\n(d) Position of selected MIRO extrema as marked in panel (b)\nextracted from data obtained at di\u000berent microwave frequen-\ncies. Solid lines are linear \fts to the data points using the\nequationf= (N\u00061=4)eB=2\u0019m\u0003\nMIRO , withN= 1;2;3 and 4.\nThis yields an average value of m\u0003\nMIRO equal to 0:335m0. (e)\nTemperature dependence of the dark resistance RxxatB= 0\nfor the structures in panels (a) and (b).\nthe CR. Instead, such samples exhibit pronounced 1 =B\nperiodic magnetooscillations which can be identi\fed as\nMIRO. A typical trace for higher nis shown in Fig. 2(b).\nThe extracted positions of the maxima in \u000eRxxfor\nthe low-density sample in Fig. 2(a) obtained for di\u000ber-\nent microwave frequencies (open squares) are plotted in\nFig. 2(c) together with a linear \ft passing the origin\n(solid line). Analogous to the transmission experiment\npresented above, only the high frequency range f\u001575\nGHz was used in the analysis. The slope gives the value\nof the CR e\u000bective mass m\u0003\nCR= (0:32\u00060:01)m0which\nnearly coincides with the value obtained from the min-\nima in the magnetotransmission data of Fig. 1(c). This\n\fnding reinforces our interpretation of the peak of \u000eRxx\nas an e\u000bect of resonant heating of the 2DES in the vicin-\nity of the CR. The extracted value is close to the band\nmassmb\u00190:3m0of bulk ZnO.20,21The dashed line in\nFig. 2(c) illustrates the expected position of the lowest-\norder magnetoplasmon mode in this sample for a wave-\nlength of the dimensional plasmon equal to twice the\nsample size, \u0015mp= 6 mm.32{34It demonstrates that\n\fnite-size e\u000bects are negligible in our large-area samples\nfor frequencies fabove 75 GHz. Hence, it is appropriate\nto describe the observations in terms of the CR in an\nin\fnite 2DES.\nThe period, phase, as well as the damping of the4\n1=B-periodic MIRO oscillations observed in high-density\nsamples are all reproduced well by the conventional\nexpression25\n\u000eRxx/\u0000exp (\u0000\u000b\u000f) sin(2\u0019\u000f): (1)\nHere\u000bdescribes the exponential damping at low B\n[Eq. (1) is valid for \u000b\u000f&1]. The period of the os-\ncillations is determined by the quasi-particle e\u000bective\nmassm\u0003\nMIRO . The latter enters the ratio \u000f=!=! c,\nwhere!= 2\u0019fis the angular microwave frequency and\n!c=eB=m\u0003\nMIRO is the cyclotron frequency determining\nthe distance between neighboring Landau levels for quasi-\nparticles near the Fermi level. The \\bare\" cyclotron mass\nm\u0003\nCRextracted from the microwave transmission exper-\niment or the photoresistance feature represents the cy-\nclotron dynamics of the 2DES probed as a whole in the\nlimitk!0.35,36Its value is una\u000bected by a renormal-\nization of the Fermi liquid in view of momentum conser-\nvation and Kohn's theorem.6In contrast, MIRO involves\nthe scattering of individual quasi-particles at the Fermi\nsurface. The MIRO mass m\u0003\nMIRO is therefore expected\nto be modi\fed due to renormalization by interactions\nin a similar way as other transport properties such as\nShubnikov-de Haas oscillations as well as gap measure-\nments. These probe the electronic system in the opposite\nlimit of large k. When a su\u000ecient number of MIRO har-\nmonics can be resolved in experiment,32the MIRO mass\ncan be determined with high precision by \ftting simulta-\nneously the positions of both MIRO minima and maxima\nto\u000f=N\u00061=4 with integer N(see also Refs. [8], [9], and\n[10]). For the sample in Fig. 2(b) the resulting MIRO ef-\nfective mass is found to be m\u0003\nMIRO = (0:335\u00060:006)m0,\ni.e. more than 10% larger than the cyclotron mass. The\nopen circles in Fig. 2(d) shows the positions of several\nselected extrema of MIRO [as marked in Fig. 2(b)] ex-\ntracted from measurements at di\u000berent microwave fre-\nquencies for illustrative purposes. Solid lines are linear\n\fts using the expression f= (N\u00061=4)eB=2\u0019m\u0003\nMIRO ,\nwhereN= 1;2;3 and 4. An average over the obtained\nvalues of the \ftting parameter m\u0003\nMIRO yields 0:335m0.\nA plausible reason for the drastically di\u000berent response\nto microwave illumination between low [Fig. 2(a)] and\nhigh density samples [Fig. 2(b)] is the much higher tem-\nperature sensitivity of the longitudinal resistance in lower\ndensity samples. Fig. 2(e) displays this temperature\ndependence for these samples in the absence of radia-\ntion and a magnetic \feld. In both cases the behavior\nis metallic with a drop in resistance as Tis reduced.\nHowever, in the higher density sample Rxxbottoms out\nfor temperatures below approximately 1 K, whereas in\nthe low-density sample the longitudinal resistance con-\ntinues to drop down to the lowest accessible tempera-\nture. For low density samples, this strong T-dependence\nin the low temperature regime is highly reproducible.12\nIt can be linked to the Bloch-Gr uneisen regime for acous-\ntic phonon scattering37as well as a higher low- Tmobil-\nity. The Bloch-Gr uneisen regime is entered at a lower\ntemperature in low density samples and alloy or in-terfacial scattering is weaker due to the reduced Mg-\ncontent in the Mg xZn1\u0000xO cap layer. The response of\nthe 2DES to microwave induced heating can be expressed\nas (\u000eRxx=\u000eT)\u0001T,22and is obviously enhanced when Rxx\nshows a higher sensitivity to temperature. The photore-\nsponse is therefore prominent in low density samples, but\nabsent in high density samples.\nFigure 3 presents \u000eRxxdata recorded on a sample with\nan intermediate density n= 4:3\u00021011cm\u00002for di\u000ber-\nent levels of the output power of the microwave generator,\nPout, at a \fxed frequency of 95 GHz and temperature of\nthe surrounding cryogenic \ruid of T= 1:2 K. At the high-\nest incident power, Pout= 6:3 mW, a strong CR peak ap-\npears at the position corresponding to the bare cyclotron\nmassm\u0003\nCR, as would be expected in a sample that still\nexhibits a temperature dependence of Rxx. The CR peak\nis however accompanied by a MIRO signal. The former\ndecays much faster than MIRO as the microwave power\nis lowered and heating is suppressed. Indeed, at about\nan order of magnitude lower power, Pout= 0:78 mW, the\nCR feature has vanished almost entirely, while the MIRO\nsignal remains strong.32Samples with intermediate den-\nsities (4:3\u0014n\u00144:7\u00021011cm\u00002) therefore enable to\nsimultaneously extract the e\u000bective mass unaltered by in-\nteractions as well as the renormalized mass from a single\n\u000eRxxtrace. An additional support for this interpretation\ncomes from independently measured transmission signal\nwhich provides the same position of the CR as the CR\nfeature in\u000eRxx. Figure 3(b) plots \u000eRxxas a function\nof\u000f=!=! cusingm\u0003\nMIRO = 0:375m0obtained from an\nanalysis of the MIRO at T= 600 mK. If the values of\nthe cyclotron and MIRO mass were the same, the CR\npeak would occur at \u000f= 1. However, we see that it co-\nincides with the position of the \frst MIRO minimum at\n\u000f'5=4. We conclude that for this particular density\nthe MIRO mass m\u0003\nMIRO is renormalized by interactions\nand is approximately 25% larger than the bare cyclotron\nmassm\u0003\nCR'0:3m0.\nFigure 4 is a compilation of the e\u000bective masses ob-\ntained via four di\u000berent methods for samples covering\nthe entire available range of carrier densities. In addi-\ntion to the CR mass obtained both from magnetotrans-\nmission (diamonds) and from the photoresistance \u000eRxx\n(triangles) we include the MIRO mass m\u0003\nMIRO (squares)\nand the mass m\u0003\nSdHO (circles) obtained from the tem-\nperature dependence of the Shubnikov-de Haas oscilla-\ntions on a set of samples with similar characteristics\nin previous studies14,15. Within experimental accuracy,\nthe values of the CR mass extracted from the magne-\ntotransmission and from the \u000eRxxcoincide with each\nother and with the band e\u000bective mass mb\u00190:3m0\n(dashed line). The overall mean value for all samples\nyieldsm\u0003\nCR= (0:3\u00060:01)m0. The MIRO mass m\u0003\nMIRO\nwas obtained from the dispersion curves f(B) of MIRO\nextrema, as exempli\fed in Fig. 2(d). Its value displays\nan increase of 42% from 0 :28m0to 0:4m0as the carrier\ndensitynis reduced from 20 \u00021011cm\u00002to 3.6\u00021011\ncm\u00002. In the range of densities where both methods are5\nFIG. 3: Microwave-induced change \u000eRxxof the longitudinal\nresistance recorded on a sample with n= 4:3\u00021011cm\u00002for\ndi\u000berent levels of the output power Pout(as marked) of the\nf= 95 GHz microwave radiation. The same data are plotted\nagainstBin panel (a) and against \u000f=!=! ccalculated using\nthe MIRO mass m\u0003\nMIRO = 0:375. Linear scales are used.\nFIG. 4: The values of e\u000bective mass extracted using MIRO\nperiod (squares), magnetotransmission (diamonds), CR peak\nin\u000eRxx(triangles), and SdHO (circles) versus the carrier den-\nsityn. Dashed line represents the band mass mb\u00190:3m0of\nbulk ZnO, solid lines are guides for the eye.\napplicable, m\u0003\nMIRO agrees fairly well with m\u0003\nSdHO .\nIV. CONCLUSIONS\nIn summary, we have presented a combined study of\nmagnetotransport and magnetotransmission on a series\nof MgZnO/ZnO based 2DES under microwave illumina-\ntion. Across the entire range 2 \u0014n\u001420\u00021011cm\u00002of\ncharge densities the magnetotrasmission displays the CR\nminima at magnetic \feld positions consistent with the\nunrenormalized band mass of the material. The corre-sponding CR-induced features in magnetotransport were\nonly resolved in low density devices. We identi\fed a\nstrong temperature dependence of the zero-\feld resis-\ntance in such dilute samples, which indicates the reason\nfor a stronger CR response in the photoresistance at low\ndensity. MIRO dominate the electrical response in high\ndensity samples and reveal a strong renormalization of\nthe quasi-particle e\u000bective mass. The reduction at high\ncarrier concentrations as well as the enhancement, which\naugments as the electron density is diluted, agree with\nthe expected Fermi-liquid renormalization due to inter-\naction e\u000bects.\nAcknowledgements\nWe thank M. Zudov for useful comments. We acknowl-\nedge the \fnancial support of JST CREST Grant Num-\nber JPMJCR16F1, Japan. J.F. is grateful for support\nfrom the Max Planck-University of British Columbia-\nUniversity of Tokyo Center for Quantum Materials and\nthe Deutsche Forschungsgemeinschaft (FA 1392/2-1).\nY.K. acknowledges JST, PRESTO Grant Number JP-\nMJPR1763, Japan. I.D. acknowledges support from the\nDeutsche Forschungsgemeinschaft (DM 1/4-1).\nAppendix A: Determination of the quasiparticle\nmass from MIRO\nThe procedure of determination of the e\u000bective quasi-\nparticle mass m\u0003\nMIRO from MIRO is illustrated in Fig. 5\nfor a sample with n= 7:5\u00021011cm\u00002. The photore-\nsistance\u000eRxxunderf= 84 GHz microwave illumina-\ntion is shown in Fig. 5(a) as a function of magnetic \feld.\nWe \frst extract the positions Beof MIRO extrema. In\nFig. 5(b), the inverse values 1 =Beare plotted against\n\u000fassuming a\u00071=4 o\u000bset of the MIRO maxima (min-\nima) with respect to the nodes at integer \u000f=N, see\nEq. (1) of the main text. It is seen that within such\na representation the data points fall on a straight line\ngoing through the axes origin.38Utilizing the relation\n\u000f= 2\u0019fm\u0003\nMIRO=eB, a linear \ft with \fxed zero intercept\nyieldsm\u0003\nMIRO = (0:335\u00060:006)m0. To illustrate the ac-\ncuracy of the procedure, in panel (c) we plot full data\nfor\u000eRxxagainst\u000f= 2\u0019fm\u0003\nMIRO=eB calculated from the\nBvalues using the obtained e\u000bective mass. For a better\nvisibility of weak oscillations at high \u000f>6, we multiplied\n\u000eRxxby exp(a=B) witha= 0:4 T. It is seen that all\nmaxima and minima appear precisely at \u000f=N\u00071=4 for\nN > 1. In this analysis we left out the extrema around\nN= 1 where deviations are expected due to a more com-\nplex behavior of the MIRO amplitude near the CR.25\nAs the above example shows, in high-density samples\nthe MIRO e\u000bective mass can be accurately determined\nfrom a single trace due to the large number of oscilla-\ntions detectable in the photoresponse. In the low-density\nregime, however, MIRO are weaker and higher harmon-6\nFIG. 5: Panel (a): Photoresponse \u000eRxxfor a sample with\nn= 7:5\u00021011cm\u00002at microwave frequency f= 84 GHz.\nPanel (b): The inverted B-positions of MIRO maxima and\nminima for the data in panel (a) plotted against N\u00001=4\nandN+ 1=4, respectively. Here, Nis an integer. All points\nfall on a straight line hitting the coordinate origin. Fitting\nthe slope yields the quasiparticle (MIRO) mass m\u0003\nMIRO =\n(0:335\u00060:006)m0. In panel (c) the measured microwave-\ninduced change of resistivity \u000eRxx(multiplied by exp( a=B)\nwitha= 0:4 T for better visibility of high harmonics) is plot-\nted against the inverse of magnetic \feld which is rescaled to\n\u000fusing the obtained value of m\u0003\nMIRO . Linear scales are used.\nics (\u000f>4) are not visible. In order to improve the accu-\nracy of extracted m\u0003\nMIRO in this case, we processed data\nrecorded for a larger set of microwave frequencies. In\nFig. 4 we use the average values and standard deviations\nofm\u0003\nMIRO obtained from the entire collected data set for\na given sample.\nAppendix B: Power dependence of the microwave\nresponse\nIn Fig. 6 we show the power dependence of the MIRO\namplitude [panel (a), sample with n= 7:5\u00021011cm\u00002]\nand of the amplitude of the CR peak in photoresistance\n[panel (b), sample with n= 2:3\u00021011cm\u00002]. Both\nmeasurements were made at a temperature T= 1:2 K\nusingf= 95 GHz radiation. The MIRO amplitude in-\ncreases linearly in the low-power regime P < 1:5 mW.\nAbove this value, a sublinear behavior can be observed.\nFor even higher power radiation ( P > 4 mW), the MIRO\namplitude saturates and eventually starts to decrease.\nImportantly, no change of the MIRO phase is observed,\ni.e. the minima and maxima remain shifted by 1/4 from\nFIG. 6: Power dependence of the MIRO amplitude [panel (a),\nsample with n= 7:5\u00021011cm\u00002] and of the amplitude of the\nCR peak [panel (b), sample with n= 2:3\u00021011cm\u00002]. Solid\nlines in panel (a) are a guide for the eye illustrating a linear\nand square-root power dependence. The analysis suggests a\ntransition from a linear to a sublinear regime of MIRO at the\noutput power between 1 and 2 mW. The magnitude of the\nCR peak in panel (b) shows a monotonic sublinear dependence\nacross the entire power range within which such a signal could\nbe clearly identi\fed.\ninteger values of \u000facross the entire available microwave\npower range. This suggests that both the transition to\nthe sublinear growth and subsequent decay of MIRO with\nincreasing microwave power are due to heating,39and not\ndue to intrinsic nonlinear e\u000bects. The latter would rather\nproduce a signi\fcant reduction of the MIRO phase and it\ncan even lead to the emergence of additional oscillatory\nstructure around integer \u000f.25,40,41The magnitude of the\nCR peak in panel (b) shows sublinear growth for power\nup to the highest available output. At small power, it\nbecomes di\u000ecult to isolate the CR peak from the back-\nground signal, so, unlike MIRO in panel (a), no clear\ntransition to the linear regime could be identi\fed in this\ncase.\nAppendix C: Role of con\fned magnetoplasmons\nFor large microwave frequencies f >75 GHz, used for\nthe analysis in the main text, both the magnetic \feld\nvalues where minima in the magnetotransmission [see\nFig. 1 (c)] and maxima in the magnetoresistance response\n[Fig. 2 (c)] appear were found to be proportional to the\nmicrowave frequency. From the CR condition f= 1=Tc,\nwithTc= 2\u0019m\u0003\nCR=eB, it is possible to extract the CR7\ne\u000bective mass m\u0003\nCR. It is found to be close to the band\nmass of ZnO. At low microwave frequencies f <50 GHz\nwe observe a systematic deviation from the linear rela-\ntionship between the B-\feld at extrema and microwave\nfrequency. Below we show that this deviation is due to a\ncoupling of the cyclotron motion with plasma oscillations\nin a \fnite-size 2DES.\nWithin the simplest model considering a clean 2DES\nand neglecting electrodynamic retardation e\u000bects, the\nspectum of magnetoplasmons is given by42\nf2\nmp=f2\np+T\u00002\nc: (C1)\nHere the square of the 2DES plasmon frequency,\nf2\np=ne2\n8\u00192m\u0003\u0016\u000fq; (C2)\nis proportional to the magnetoplasmon wave vector qand\ninversely proportional to the e\u000bective electron mass m\u0003.\nIn our square-shaped samples with side length L, the low-\nest wave vector corresponding to the fundamental mag-\nnetoplasmon mode is q=\u0019=L. Taking into account that\nthe lateral size L= 3 mm of the samples signi\fcantly\nexceeds their thickness w= 0:3 mm (determined by the\nthickness of the ZnO substrate having dielectric constant\n\u000f1= 8:5\u000f0in units of the vacuum permittivity \u000f0), the\ne\u000bective dielectric constant \u0016 \u000fentering Eq. (C2) can be\napproximated as43\n\u0016\u000f=\u000f0\n2+\u000f1\n2\u0001\u000f1tanh(qw) +\u000f0\n\u000f1+\u000f0tanh(qw)'2:23\u000f0: (C3)\nWith the help of Eqs. (C1)-(C3) the experimental data\ncan be reproduced well, as illustrated in Fig. 7 for a sam-\nple with density n= 2:3\u00021011cm\u00002. Here we take\nthe magnetic \feld values Bcorresponding to the resis-\ntance peaks detected for di\u000berent microwave frequencies\nf, and plotf2againstB2(open circles). In accordance\nwith Eq. (C1), the data points are found to closely follow\na straight line. Within the scale of the main panel (show-\ning data for the entire frequency set) the o\u000bset of this line\naway from the origin [given by f2\npaccording to Eq. (C1)]\nis barely visible. This justi\fes the application of the sim-\nple CR linear relationship between \feld and microwave\nfrequence,f= 1=Tc, forf > 75 GHz to determine the\ncyclotron mass in the main text. The corresponding lin-\near \ft (obtained for f >75 GHz data with fpset to zero)\nis shown as a dashed line in the main panel. The slope\ncorresponds to the cyclotron mass m\u0003\nCR= 0:32m0from\nthe main text.In the inset to Fig. 7 we present a magni\fed portion of\ndata forf <30 GHz. In the chosen representation, f2vs.\nB2, the data points still lie on a straight line, but a posi-\ntive o\u000bset becomes evident. A linear \ft of this portion of\nthe data (with slope \fxed by m\u0003\nCR= 0:32m0) yields the\no\u000bset value f2\np, corresponding to fp= 11:8 GHz. The\nsame value is obtained from calculation using Eqs. (C2)\nand (C3). This good agreement supports the validity of\nour interpretation of the data in terms of the dimensional\nFIG. 7: The magnetic \feld values Bwhere a photoresistance\npeak is detected for di\u000berent microwave frequencies fon a\nsample with n= 2:3\u00021011cm\u00002. The data points are\nplotted using quadratic scales ( f2vs.B2). The main panel\npresents data for the entire frequency set, while the inset only\nincludes data for f <30 GHz. Dashed line in the main plot\nis a linear \ft to the data for f >75 GHz with the additional\nconstraint that it passes through the origin. This corresponds\nto the CR relationship between frequency and \feld, f= 1=Tc,\nwithm\u0003\nCR= 0:32m0. The dashed line in the inset is a linear\n\ft with a non-zero o\u000bset, as in Eq. (C1). It yields the plasmon\nfrequencyfp= 11:8 GHz.\nmagnetoplasmon resonance, although the precise coinci-\ndence between calculated and extracted values of fpcan\nalso be accidential, in particular, in view of the simpli-\n\fed description of magnetoplasmons used for the above\nestimates.\nReferences\n1P. Coleridge, M. Hayne, P. Zawadzki, and A. Sachra-\njda, Surface Science 361-362 , 560 (1996), URL\nhttp://www.sciencedirect.com/science/article/pii/\n0039602896004694 .2S. Anissimova, A. Venkatesan, A. A. Shashkin, M. R. Sakr,\nS. V. Kravchenko, and T. M. Klapwijk, Phys. Rev. Lett.\n96, 046409 (2006), URL https://link.aps.org/doi/10.\n1103/PhysRevLett.96.046409 .8\n3E. Wigner, Phys. Rev. 46, 1002 (1934), URL https://\nlink.aps.org/doi/10.1103/PhysRev.46.1002 .\n4B. Tanatar and D. M. Ceperley, Phys. Rev. B 39,\n5005 (1989), URL https://link.aps.org/doi/10.1103/\nPhysRevB.39.5005 .\n5T. Knighton, Z. Wu, J. Huang, A. Sera\fn, J. S.\nXia, L. N. Pfei\u000ber, and K. W. West, Phys. Rev. B\n97, 085135 (2018), URL https://link.aps.org/doi/10.\n1103/PhysRevB.97.085135 .\n6W. Kohn, Phys. Rev. 123, 1242 (1961).\n7M. A. Zudov, R. R. Du, J. A. Simmons, and J. L. Reno,\nPhys. Rev. B 64, 201311 (2001), URL https://link.aps.\norg/doi/10.1103/PhysRevB.64.201311 .\n8A. T. Hatke, M. A. Zudov, J. D. Watson, M. J. Man-\nfra, L. N. Pfei\u000ber, and K. W. West, Phys. Rev. B\n87, 161307 (2013), URL https://link.aps.org/doi/10.\n1103/PhysRevB.87.161307 .\n9A. V. Shchepetilnikov, D. D. Frolov, Y. A. Nefyo-\ndov, I. V. Kukushkin, and S. Schmult, Phys. Rev. B\n95, 161305 (2017), URL https://link.aps.org/doi/10.\n1103/PhysRevB.95.161305 .\n10X. Fu, Q. A. Ebner, Q. Shi, M. A. Zudov, Q. Qian,\nJ. D. Watson, and M. J. Manfra, Phys. Rev. B\n95, 235415 (2017), URL https://link.aps.org/doi/10.\n1103/PhysRevB.95.235415 .\n11A. V. Shchepetilnikov, Y. A. Nefyodov, A. A. Dremin,\nand I. V. Kukushkin, JETP Letters 107, 770 (2018), URL\nhttps://doi.org/10.1134/S0021364018120135 .\n12J. Falson, D. Maryenko, Y. Kozuka, A. Tsukazaki,\nand M. Kawasaki, Applied Physics Express 4, 091101\n(2011), URL http://stacks.iop.org/1882-0786/4/i=9/\na=091101 .\n13J. Falson, Y. Kozuka, M. Uchida, J. H. Smet, T.-h. Arima,\nA. Tsukazaki, and M. Kawasaki, Sci. Rep. 6, 26598 (2016),\nURL http://www.nature.com/articles/srep26598 .\n14J. Falson and M. Kawasaki, Reports Prog. Phys. Rev. 81,\n056501 (2018).\n15J. Falson, Y. Kozuka, J. H. Smet, T. Arima, A. Tsukazaki,\nand M. Kawasaki, Appl. Phys. Lett. 107, 082102 (2015).\n16V. Umansky, M. Heiblum, Y. Levinson, J. Smet, J. Nbler,\nand M. Dolev, Journal of Crystal Growth 311, 1658\n(2009), URL http://www.sciencedirect.com/science/\narticle/pii/S0022024808009901 .\n17J. Falson, D. Maryenko, B. Friess, D. Zhang, Y. Kozuka,\nA. Tsukazaki, and J. H. Smet, Nat. Phys. 6, 347 (2015),\nURL http://dx.doi.org/10.1038/nphys .\n18J. Falson, D. Tabrea, D. Zhang, I. Sodemann, Y. Kozuka,\nA. Tsukazaki, M. Kawasaki, K. von Klitzing, and J. H.\nSmet, Science Advances 4(2018), URL http://advances.\nsciencemag.org/content/4/9/eaat8742 .\n19S. A. Studenikin, M. Potemski, A. Sachrajda, M. Hilke,\nL. N. Pfei\u000ber, and K. W. West, Phys. Rev. B 71,\n245313 (2005), URL https://link.aps.org/doi/10.\n1103/PhysRevB.71.245313 .\n20W. S. Baer, Phys. Rev. 154, 785 (1967).\n21K. J. Button, D. R. Cohn, M. von Ortenbert, B. Lax,\nE. Mollwo, and R. Helbig, Phys. Rev. Lett. 70, 2499\n(1993).\n22F. Neppl, J. P. Kotthaus, and J. F. Koch, Phys. Rev. B\n19, 5240 (1979).\n23J. C. Maan, T. Englert, D. C. Tsui, and A. C. Gossard,\nApplied Physics Letters 40, 609 (1982), URL https://\ndoi.org/10.1063/1.93197 .\n24K. Hirakawa, K. Yamanaka, Y. Kawaguchi, M. Endo,M. Saeki, and S. Komiyama, Phys. Rev. B 63,\n085320 (2001), URL https://link.aps.org/doi/10.\n1103/PhysRevB.63.085320 .\n25I. A. Dmitriev, A. D. Mirlin, D. G. Polyakov, and M. A.\nZudov, Rev. Mod. Phys. 84, 1709 (2012), URL https:\n//link.aps.org/doi/10.1103/RevModPhys.84.1709 .\n26K archer, D. F. and Shchepetilnikov, A. V. and Nefyodov,\nYu. A. and Falson, J. and Dmitriev, I. A. and Kozuka, Y.\nand Maryenko, D. and Tsukazaki, A. and Dorozhkin, S. I.\nand Kukushkin, I. V. and Kawasaki, M. and Smet, J. H.,\nPhys. Rev. B 93, 041410 (2016), URL https://link.aps.\norg/doi/10.1103/PhysRevB.93.041410 .\n27E. M onch, D. A. Bandurin, I. A. Dmitriev, I. Y. Phinney,\nI. Yahniuk, T. Taniguchi, K. Watanabe, P. Jarillo-Herrero,\nand S. D. Ganichev (2020), arXiv:2005.01118, URL http:\n//arxiv.org/abs/2005.01118 .\n28V. M. Pudalov, M. E. Gershenson, H. Kojima, N. Butch,\nE. M. Dizhur, G. Brunthaler, A. Prinz, and G. Bauer,\nPhys. Rev. Lett. 88, 1964041 (2002).\n29A. A. Shashkin, M. Rahimi, S. Anissimova, S. V.\nKravchenko, V. T. Dolgopolov, and T. M. Klapwijk, Phys.\nRev. Lett. 91, 4 (2003).\n30Y.-W. Tan, J. Zhu, H. L. Stormer, L. N. Pfei\u000ber,\nK. W. Baldwin, and K. W. West, Phys. Rev. Lett.\n94, 016405 (2005), URL https://link.aps.org/doi/10.\n1103/PhysRevLett.94.016405 .\n31Y. Kozuka, A. Tsukazaki, D. Maryenko, J. Falson, C. Bell,\nM. Kim, Y. Hikita, H. Y. Hwang, and M. Kawasaki, Phys.\nRev. B 85, 075302 (2012), URL https://link.aps.org/\ndoi/10.1103/PhysRevB.85.075302 .\n32See Appendix for details on determination of the MIRO\nmass, power dependence of the microwave response in sam-\nples of intermediate density, and role of con\fned magneto-\nplasmons.\n33S. J. Allen, H. L. St ormer, and J. C. M. Hwang, Phys. Rev.\nB28, 4875 (1983), URL https://link.aps.org/doi/10.\n1103/PhysRevB.28.4875 .\n34Y. Kasahara, Y. Oshima, J. Falson, Y. Kozuka,\nA. Tsukazaki, M. Kawasaki, and Y. Iwasa, Phys. Rev.\nLett. 109, 246401 (2012), URL https://link.aps.org/\ndoi/10.1103/PhysRevLett.109.246401 .\n35Here the wave vector ~kcharacterizes the bound state of the\nexcited electron and the resulting hole. In a B-\feld, the\nwave numer kcan be written in terms of the intercharge\nspatial separation land the magnetic length l0ask=l=l2\n0.\n36C. Kallin and B. I. Halperin, Phys. Rev. B 30,\n5655 (1984), URL https://link.aps.org/doi/10.1103/\nPhysRevB.30.5655 .\n37Q. Li, J. Zhang, J. Chong, and X. Hou, Appl. Phys. Ex-\npress 6(2013).\n38For a more precise \ft of the MIRO extrema to \u000f=N\u00071=4\nfor the highest ( N > 6) MIRO harmonics, it was bene\fcial\nto correct for a small instrumental shift Bo\u000bset\u00181 mT\nin the recorded values of B. For instance, for the data\npresented in Fig. 5, we correct all recorded Bvalues by\nBo\u000bset = 2 mT.\n39T. Herrmann, Z. D. Kvon, I. A. Dmitriev, D. A.\nKozlov, B. Jentzsch, M. Schneider, L. Schell, V. V.\nBel'kov, A. Bayer, D. Schuh, et al., Phys. Rev. B\n96, 115449 (2017), URL https://link.aps.org/doi/10.\n1103/PhysRevB.96.115449 .\n40A. T. Hatke, M. Khodas, M. A. Zudov, L. N. Pfei\u000ber, and\nK. W. West, Phys. Rev. B 84, 241302 (2011), URL https:9\n//link.aps.org/doi/10.1103/PhysRevB.84.241302 .\n41Q. Shi, M. A. Zudov, I. A. Dmitriev, K. W. Bald-\nwin, L. N. Pfei\u000ber, and K. W. West, Phys. Rev. B\n95, 041403 (2017), URL https://link.aps.org/doi/10.\n1103/PhysRevB.95.041403 .\n42T. Ando, A. B. Fowler, and F. Stern, Rev. Mod. Phys. 54,\n437 (1982), URL https://link.aps.org/doi/10.1103/\nRevModPhys.54.437 .\n43A. A. Zabolotnykh, Ph.D. thesis, Kotelnikov Institute of\nRadio Engineering and Electronics of the RAS (2018)." }, { "title": "2201.07356v1.In_plane_magnetic_structure_and_exchange_interactions_in_the_high_temperature_antiferromagnet_Cr2Al.pdf", "content": "In-plane magnetic structure and exchange interactions in the high-temperature\nantiferromagnet Cr 2Al\nChengxi Zhao,1Kisung Kang,1Joerg C. Neuefeind,2Andr\u0013 e Schleife,1, 3and Daniel P. Shoemaker1,\u0003\n1Department of Materials Science and Engineering and Materials Research Laboratory,\nUniversity of Illinois at Urbana-Champaign, Urbana, IL 61801, USA\n2Neutron Scattering Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831, USA\n3National Center for Supercomputing Applications,\nUniversity of Illinois at Urbana-Champaign, Urbana, IL 61801, USA\nThe ordered tetragonal intermetallic Cr 2Al forms the same structure type as Mn 2Au, and the\nlatter has been heavily investigated for its potential in antiferromagnetic spintronics due to its\ndegenerate in-plane N\u0013 eel vector. We present the single crystal \rux growth of Cr 2Al and orientation-\ndependent magnetic properties. Powder neutron di\u000braction of Cr 2Al and \frst-principles simulations\nreveal that the magnetic ordering is likely in-plane and therefore identical to Mn 2Au, providing a\nsecond material candidate in the MoSi 2structure type to evaluate the fundamental interactions\nthat govern spintronic e\u000bects. The single ordering transition seen in thermal analysis and resistivity\nindicates that no canting of the moments along the caxis is likely. Magnetometry, resistivity, and\ndi\u000berential scanning calorimetry measurements con\frm the N\u0013 eel temperature to be 634 \u00062 K. First-\nprinciples simulations indicate that the system has a small density of states at the Fermi energy and\ncon\frm the lowest-energy magnetic ground state ordering, while Monte Carlo simulations match\nthe experimental N\u0013 eel temperature.\nI. INTRODUCTION\nAntiferromagnets have attracted considerable atten-\ntion due to fundamentally di\u000berent proposed magneti-\nzation switching mechanisms and optically-probed spin\nrelaxation dynamics in the THz range,1{3compared to\nGHz for ferromagnets and ferrimagnets.4{7Recent stud-\nies have demonstrated partial switching of the N\u0013 eel vec-\ntor of antiferromagnetic CuMnAs and Mn 2Au,8{10per-\nhaps due to current-induced spin-orbit torques.11{15The\nmagnetic-\feld-induced spin rotation and spin-\rop be-\nhavior of epitaxial CuMnAs thin \flms has been probed\nusing X-ray magnetic linear dichroism and signatures\nof the N\u0013 eel vector reorientation have been seen in\nthe anisotropic magnetoresistance with multiterminal\ndevices.11,14,16N\u0013 eel vector reorientations have also been\nobserved in femtosecond pump-probe magneto-optical\nKerr e\u000bect experiments.12\nIntriguingly, Chien et al. have shown that the pur-\nported spin-orbit torque magnetoresistance e\u000bects may\nbe artifacts of excessive current-induced heating through\nmultiterminal devices, thus unequivocal detection of\nthe N\u0013 eel vector before and after SOT switching at\nlow currents is required to e\u000bectively prove magnetic\nswitching.17The in-plane current-pules switching ex-\nperiments on Mn 2Au quanti\fed the anisotropic mag-\nnetoresistance and planar Hall e\u000bect of thin \flms.18A\nspin-orbit-torque-driven antiferromagnetic resonance of\nMn2Au has not been observed to date by time-domain\nTHz spectroscopy, despite early reports.19\nMn2Au remains antiferromagnetic until it forms a\ndisordered (Mn,Au) solid solution at 953 K,20with a\nN\u0013 eel temperature predicted to be above 1600 K, due\nto its large anisotropy energy, calculated based on \frst-\nprinciples calculations.21Such large anisotropy may not\nbe desired in all spintronic applications. In particular,\nFIG. 1. The k=0 magnetic structure of Cr 2Al is shown in (a),\nwith Al light and Cr dark. The reported magnetic structure\nfrom Atoji in (b) has a 65\u000ecanting away from the caxis.23\nNo data in our study point to a reduction in symmetry from\nour in-plane model to that of Atoji.\nthe ability to observe a N\u0013 eel-order-driven e\u000bect vanish\natTN, without excessive current \row and Joule heat-\ning, would be a strong con\frmation of true spintronic\ne\u000bects. To understand the underlying physics and capa-\nbilities, there is a pressing need to expand the library of\nintermetallic antiferromagnets that share features with\nCuMnAs (which itself has not been grown as bulk single\ncrystals, likely due to a competing orthorhombic phase)22\nand Mn 2Au. Single crystals of such materials are needed\nto study the orientation dependence of their magnetic\ndynamics.\nCr2Al is an incongruently-melting intermetallic an-\ntiferromagnet with the MoSi 2structure type, tetrago-\nnal space group I4=mmm , isostructural to Mn 2Au and\nAu2Mn. There is no reported single crystal growth or\nmeasurements of its anisotropic properties. Atoji pre-\nsented variable-temperature powder neutron di\u000bractionarXiv:2201.07356v1 [cond-mat.mtrl-sci] 18 Jan 20222\nof Cr 2Al in 1965.23In that study, the (001) neutron\ndi\u000braction peak intensity was used to determine the\nTN= 598\u00065 K. The magnetic structure was claimed\nto be inclined by an angle 65 \u00062:4\u000etoward the caxis,\nthough no explanation of that determination was given.\nWe show here that the in-plane (zero canting) spin con-\n\fguration is far more plausible.\nIn 1988, Semukhin et al. investigated the magnetic\nphase transition of Cr 2Al by high-temperature X-ray\ndi\u000braction and calorimetry.24Sharp changes in the aand\ncaxis lattice parameters and a heat capacity anomaly oc-\ncurred 655\u00065 K. Variation in the reported TNmay be\ndue to a compositional width of the Cr 2Al phase, which\nhas been reported to be about 10 %.25,26VariableTNcan\nalso arise from further alloying, as when Susner et al.\nshowed that the resistivity anomaly at TNshifts to lower\ntemperatures and is more pronounced with increased Fe\nsubstitution for Cr.27\nHere we show the \frst steps of understanding the po-\ntential of Cr 2Al as a spintronic material, with single crys-\ntal growth via tin \rux and melt decanting. We consider\nthe allowed magnetic orderings and examine the sensi-\ntivity to ac-axis component of the local moments. Mag-\nnetometry measurements with varying temperature and\nexternal \feld show the predicted magnetic susceptibility\nand anisotropy, while resistivity and calorimetry con\frm\nthe presence of a single TN, without a second metam-\nagnetic transition that would be expected in the case of\nspin canting as proposed by Atoji.23First-principles sim-\nulations test multiple magnetic con\fgurations, with the\nexperimental ordering found to be the predicted ground\nstate. Exchange coe\u000ecients and band structures show\nhow Cr 2Al has a small density of states near the Fermi\nenergy, and con\frm the experimental susceptibility and\nTN.\nII. METHODS\nTetragonal single crystals with edge lengths around\n0.5 mm and mass \u00181-2 mg were grown from Sn \rux.\nCr (>99.99% metals basis) and Al (99.9999% metals ba-\nsis) powders were mixed in 2:1.5 molar ratio along with\n95 at% Sn powder (99.98% metals basis) inside an Ar\n\flled glove box and vacuum sealed inside a 13 mm in-\nner diameter quartz tube. A stainless-steel mesh was\ninserted inside the tube just above the powder mixture.\nThe tube was heated to 1173 K at 10 K/min and held\nfor 12 hours, slowly cooled to 933 K at 2 K/hr, and then\nthe tube was taken out, \ripped, and centrifuged to \flter\nthe grown crystals with the inserted mesh, followed by an\nair quench to room temperature. The collected crystals\nwere sonicated in 1 M nitric acid for 2 hours to remove\nresidual Sn. Separately, Cr 2Al powder was prepared by\nannealing a mixture of Cr and Al powders with molar\nratio of 2:1 that was pressed into a pellet and heated at\n1073 K for 72 hours.\nScanning electron microscopy was performed in aJEOL 6060 LV SEM, and X-ray di\u000braction was per-\nformed in a Bruker D8 ADVANCE di\u000bractometer with\nMo K\u000bradiation. Powder neutron di\u000braction was per-\nformed on the NOMAD instrument at the Spallation\nNeutron Source at Oak Ridge National Laboratory.28Nu-\nclear and magnetic structures were re\fned using GSAS-\nII29and structures were visualized using VESTA.30After\nalignment by XRD, single crystal magnetometry was con-\nducted using a standard quartz rod (2 to 400 K) and oven\nattachment (up to 750 K) on a Quantum Design MPMS3\nvibrating sample magnetometer. High-temperature re-\nsistivity up to 773 K was measuring using a two-point\npressed contact con\fguration shown in Figure S1.31A\nseparate thermocouple was placed on the sample stage\nto measure the temperature and the measurement was\nperformed under \rowing nitrogen atmosphere. Di\u000beren-\ntial scanning calorimetry was performed with 2.5 mg of\ncrystals on a TA DSC 2500.\nFirst-principles density functional theory (DFT) sim-\nulations were performed using the Vienna Ab-Initio\nSimulation Package32,33(VASP). In solving the Kohn-\nSham equation, we used the generalized-gradient ap-\nproximation (GGA) formulated by Perdew, Burke, and\nErnzerhof,34to describe exchange and correlation. The\nelectron-ion interaction was described by the projector-\naugmented wave35(PAW) method. For the Brillouin\nzone sampling, 21 \u000221\u00027 Monkhorst-Pack (MP)36k-\npoints were used. The kinetic energy cuto\u000b of the plane-\nwave basis was chosen as 600 eV by convergence test-\ning. Phonon dispersion calculations within the \fnite dis-\nplacement method were performed using the phonopy\npackage,37a supercell of 3\u00023\u00021, and a 4\u00024\u00024\nMPk-point grid. We performed all energy dispersion\ncalculations accounting for noncollinear magnetism and\nspin-orbit coupling.38\nExchange coe\u000ecients are calculated using the spin\npolarized relativistic Korringa-Kohn-Rostoker ( SPR-KKR )\ncode39and the relaxed atomic structure from the DFT\ncalculations described above. To integrate over the Bril-\nlouin zone, 1000 randomly chosen k-points are used in\nour energy convergence test with a criterion of 0 :01\nmeV/atom. Next, the exchange coe\u000ecients are ex-\ntracted by Lichtenstein's approach, as implemented in\nthe SPR-KKR code.40The exchange coe\u000ecients follow\nfrom the isotropic exchange term in a Heisenberg model,\nHex=\u0000X\ni6=jJijeiej: (1)\nThe exchange Hamiltonian ( Hex) consists of isotropic ex-\nchange coe\u000ecients ( Jij) and the unit vector of all mag-\nnetic moments at site iandj(eiandej).Jijis calculated\nup to an relative interaction distance d= 4:0a, wherea\nis theaaxis lattice parameter of Cr 2Al.\nWe calculate atomistic spin dynamics using a Monte\nCarlo approach implemented in UppASD41to estimate the\nN\u0013 eel temperature. To simulate microscopic magnetism\nat \fnite temperature, the stochastic Landau-Lifshitz-3\nFIG. 2. SEM micrographs of Cr 2Al crystals grown from Sn\n\rux, after centrifuging and (a) before and (b) after removal\nof Sn with 1 M nitric acid.\nFIG. 3. X-ray di\u000braction data for Cr 2Al crystals are shown\nfor (a) multiple crystals collected simultaneously, along with\na Rietveld-re\fned model for a powder. The asterisked peak\nis from the para\u000en wax mount. In (b), data are shown for a\nsingle crystal oriented in three orthogonal directions and col-\nlected with the sample stationary. Insets show the measure-\nment con\fgurations with crystals loaded in kapton capillaries.\nGilbert (LLG) equation41is solved,\ndmi\ndt=\u0000\rLmi\u0002(Bi+B\r\ni)\n\u0000\rL\u000b\nmimi\u0002\u0002\nmi\u0002(Bi+B\r\ni)\u0003\n;(2)\nwhere\u000bis an isotropic Gilbert damping constant and\n\rL=\r=(1 +\u000b2) is the renormalized gyromagnetic ratio.\nmiis the magnetic moment at site iand here we use the\nvalue from our DFT ground state simulations. Biis the\ne\u000bective magnetic \feld containing exchange, anisotropy,\nand magnetic dipolar interactions at magnetic site i. The\nstochastic term is introduced by the thermally \ructuat-\ning magnetic \feld term B\r\ni. This term is shaped based\non a central limit theorem as a form of Gaussian distri-\nbution with zero mean and a variance that depends on\ntemperature. All atomistic spin dynamics calculations\nuse a 12\u000212\u00024 supercell.\nIII. RESULTS AND DISCUSSION\nThe crystals obtained from melt centrifuging were\nmetallic silver and had rectangular prismatic shapes,\nwith a typical appearance shown in Figure 2, before and\nafter etching excess Sn in nitric acid. The phase puritywas con\frmed by powder XRD of several aligned crystals\nin a capillary and measured while rotating, which gives\npeaks that more fully reproduce the powder pattern of\nCr2Al in Figure 3(a), with some expected di\u000berence in\npeak intensity due to preferred orientation. Collection of\nXRD data on stationary single crystals was used to de-\ntermine the direction of the caxis, with XRD shown for\na typical crystal measured on three orientations shown in\nFigure 3(b). Energy-dispersive X-ray spectroscopy gave\nan average Cr:Al ratio of 2.0(2), shown in Figure S2.31\nFigures 4(a) and 4(d) show the neutron di\u000braction pat-\ntern of a Cr 2Al powder at 298 K. The nuclear peaks give\na clear \ft to the ordered I4=mmm structure, with the\nmagnetic peak (100) clearly visible at d= 8:65\u0017A. The\nmagnetic propagation vector k= (000) reproduces all\nmagnetic peaks, which con\frms the magnetic unit cell\nexhibits the same dimension as the crystallographic unit\ncell. The magnetic space group of Cr 2Al with the N\u0013 eel\nvector along [100] is PInnm in Belov-Neronova-Smirnova\n(BNS) notation and IPm0m0min Opechowski-Guccione\n(OG) notation, and the re\fnement in Figure 4 is thus\nconstrained with Cr1 moments on the body center sites\nopposite to the Cr2 moments on the edges of the cell.\nThe re\fned magnetic moments on Cr positions are\n1.06(19)\u0016B. The obtained magnetic structure resolved\nis visualized in Figure 1. Powder di\u000braction is not sensi-\ntive to the orientation of the Cr moments within the ab\nplane. The re\fned structure, with the nearest-neighbor\nCr spins antiferromagnetic, which are in turn ferromag-\nnetic across the Al plane, gives a signi\fcantly improved\n\ft over a model where the Cr bilayers have ferromag-\nnetic alignment within the layers, or antiferromagnetic\nalignment from layer to layer. These \fts to the neutron\ndi\u000braction data are shown Figures S4-5.31The latter con-\n\fgurations relax to a nonmagnetic state in \frst-principles\nsimulations. Likewise, a uniaxial con\fguration with spins\nalongcdoes not reproduce the neutron data (Figure S6-\n8).31A small canting of the moments toward c, as sug-\ngested by Atoji,23does not signi\fcantly improve the \ft,\neven with the addition of an extra free parameter, shown\nin Figure S9.31Small canting is, thus, unlikely due to\nthe additional irreducible representation that would be\nrequired to observe it, along with the presence of a sin-\ngle N\u0013 eel transition, which we will discuss subsequently.\nCanting the moment along calso leads to a calculated\nincrease in the total energy, as shown in Figure S10.\nFirst-principles density functional theory simulations\nfor antiferromagnetic Cr 2Al are implemented for ground\nstate calculations, electronic band structure, phonon\ndispersion, magnetic susceptibility, and exchange co-\ne\u000ecients. The calculated ground state con\frms the\nI4=mmm structure with a= 2:98\u0017A andc= 8:63\u0017A,\nin good agreement with the room temperature lattice pa-\nrametersa= 3:00\u0017A andc= 8:65\u0017A from neutron di\u000brac-\ntion in Figure 4. Magnetic moments on Cr sites shown\nin Figure 1 converged to 1.311 \u0016B. The discrepancy of\n23 % likely arises from decrease of the low-temperature\nmoment upon heating to 300 K. Ground state DFT sim-4\n2 4 6 8 10d-spacing (Å)0Intensity (arb. units)(001)d = 8.65 Å0.5 1 1.5 2 2.5 3d-spacing (Å)0Intensity (arb. units)DataFitDifferenceCr2Al nuclear|Cr2Al magnetic|(102), (012), ...d = 2.47 Å(003)d = 2.88 Å(100),(010)d = 3.00 Å(a)(b)\nFIG. 4. Time-of-\right neutron di\u000braction patterns and Rietveld-re\fned patterns from NOMAD detector banks with average\ncollection angles 2 \u0012= 65\u000e(a) and 2\u0012= 15:1\u000e(b). The two banks are optimized for collection on di\u000berent ranges of d-spacings.\nBlue and red tick marks indicate nuclear and magnetic peaks, respectively. Magnetic peaks are indicated by arrows and\nindexing.\n0.00050.0006χv\nZFC\nFC\n400 500 600 700\nT (K)-0.12-0.0600.060.12Heat flow (W/g)505 K634 K634 K(a)\n(b)H || c\nH || a\nFIG. 5. The temperature dependence of (a) Cr 2Al dimension-\nless magnetic susceptibility \u001funder an applied \feld H= 1 T,\nmeasured to 750 K. Zero-\feld-cooled (ZFC) and \feld-cooled\n(FC) measurements, represented as open and \flled circles,\noverlap in both crystal orientations. In (b), DSC measure-\nments of multiple crystals con\frm TN, with a small anomaly\nat the Sn melting temperature 505 K.\nulations for other possible magnetic orderings (see Figure\nS4-5)31conclude that there is no stable state for those.\nThe temperature dependence of the magnetic suscep-\ntibility of an aligned single crystal from 430 to 750 K\nwithH= 1 T is shown in Figure 5(a). A small hump\nis observed at TN= 634 K for measurements with Hjja\nandHjjc. The splitting of the two orientations and the\nlower susceptibility with \feld along aindicates that the\nmagnetic ordering is in the abplane. Further con\frma-\ntion ofTNis seen in calorimetry, shown in Figure 5(b).\nThe small event at T= 505 K is the melting of a small\n-0.100.1M (emu/g)\n-50000 0 50000\nH (Oe)-0.100.1M (emu/g)\n-50000 0 50000\nH (Oe)(d) T = 750 K (c) T = 600 K(b) T = 300 K (a) T = 4 K\nH || c\nH || aFIG. 6. Field-dependent magnetization of Cr 2Al atT= 4,\n300, 600, and 750 K (a-d), shown for \feld along the a(blue)\nandcaxes (red). Anisotropy in the susceptibility vanishes\naboveTN\u0018634K(a) T = 4 K, (b) T = 300 K, (c) T = 600\nK, and (d) T = 750 K, with Halong thea-axis (blue line)\nandHalong thecaxis (red line).\namount of residual Sn. The fraction of residual Sn is es-\ntimated to be 2 wt% based on peak integration of the\nDSC measurement (see Figure S11).31,42\nThe magnetization as a function of applied \feld and\norientation is shown in Figure 6. The anisotropy con-\nsistently shows that the susceptibility along cis greater\nthan along a, which is to be expected for moments lying\nin theabplane. No evidence of a spin \rop transition is\nobserved up to H= 7 T. When the temperature of the\nexperiment is raised to 750 K, the anisotropy between c\nandadirections vanishes, as expected above TN. This5\nis the \frst measurement of the magnetic anisotropy of\na bulk MoSi 2-type antiferromagnet in the vicinity of its\nTN, and understanding the temperature dependence will\nbe a crucial topic of future work.\nThe phenomenon that the measured moment of Cr 2Al\nis greater with Hjjcover the entire \feld and tempera-\nture range can be compared to similar measurements on\nanother in-plane degenerate antiferromagnet Fe 2As.43In\nFe2As, the low-\feld susceptibility mirrors that of Cr 2Al.\nAbove approximately 0.7 T, the susceptibility of Fe 2As\nwithHjjabecomes greater than with Hjjc, indicating\nrotation of the antiferromagnetic domains into a single-\ndomain state with all moments likely along b. This do-\nmain rotation is absent in Cr 2Al for the \felds we are able\nto achieve here. This lack of domain rotation is most\nlikely due to the small intrinsic susceptibility of Cr 2Al\nversus Fe 2As.\nThe magnetic susceptibility of Cr 2Al can be extracted\nfrom total energy calculations within DFT for magnetic\ncon\fgurations with tilted magnetic moments. Since an\nexplicit magnetic \feld is not implemented in our DFT\nsimulations, we use the tilting of magnetic moments to\nmimic the magnetic structure under an applied external\n\feld.44Within this approach, we obtain the lowest energy\nfor a given tilting angle. Constraining the tilting angle of\nmagnetic moments changes the total energy changes due\nto exchange interactions in the tilted state (see Figure\nS1031). We compute the magnetic susceptibility from a\nquadratic \ft to the resulting total-energy curve and the\nequation\n\u001fv=\u00160\n2a\u0000\u00160; (3)\nwhere\u00160is the vacuum permeability and athe quadratic\n\ft coe\u000ecient.44Since DFT implements the calculation at\nT= 0 K,\u001fashould be zero and \u001fcis non-zero for a sin-\ngle crystal with a single domain. Thus, we assume an\nexperiment in which the \feld is oriented along the caxis\nand moments are tilted within the ac-plane. The calcu-\nlated magnetic susceptibility \u001fDFT\nc is 6.88\u000210\u00004, which\nshows good agreement with the scale of measured value of\n1.07\u000210\u00004atT= 4 K, two orders of magnitude smaller\nthan 0.015 for Fe 2As.45\nThe two-point resistivity of Cr 2Al from 298 to 733 K is\nshown in Figure 7. Since contact resistance between the\nAl pads and the Cr 2Al sample is present, this data serves\nas an upper bound for the intrinsic resistivity of Cr 2Al.\nA clear second-order transition can be observed at 634 K\nwhere the slope d\u001a=dT increases, indicating an increase\nin scattering due to the disappearance of AF domains.\nThe calculated electronic band structure shown in Fig-\nure 8 demonstrates the metallicity of Cr 2Al, with a low\nelectronic density of states near the Fermi level, which\nleads to high resistivity, especially when some antisite\ndefects may be present due to the compositional phase\nwidth of Cr 2Al. The number of states at the Fermi level\nof Cr 2Al is 0.0155 states/(eV \u0001\u0017A), smaller than that of\nFe2As (0.0770 states/(eV \u0001\u0017A)).46This explains why Cr 2Al\n300 400 500 600 700 800\nT (K)00.010.020.03ρ (Ω-cm)634 KFIG. 7. Two-point resistivity measurement of an unaligned\nCr2Al polycrystal shows a clear transition at TN, measured\nunder \rowing N 2.\n/s32/s33/s33\n/s34/s35/s36/s37/s36/s38/s39/s40/s38/s41/s42/s43/s44/s45/s36/s37/s46\n/s43/s47/s48/s43/s49/s50\n/s43/s47/s48/s43/s49/s51\n/s43/s52/s46/s43/s53/s51/s54/s32/s33/s54/s55/s54/s56/s54/s57/s54/s53/s33/s53/s57/s58/s59/s38/s48/s60/s61/s43/s34/s38/s41/s42\n/s62/s63/s64/s62/s65/s66/s52/s65\n/s54/s32/s67/s33/s54/s33/s67/s68/s33/s67/s33/s33/s67/s68/s32/s67/s33/s58/s59/s38/s48/s60/s61/s43/s34/s38/s41/s42\n/s62/s63/s64/s62/s65/s66/s52/s65/s32/s33/s33\n/s34/s35/s36/s37/s36/s38/s39/s40/s38/s41/s42/s34/s37/s42\n/s34/s69/s42\nFIG. 8. (a) Electronic band structure and total and projected\ndensity of states of Cr 2Al and (b) magni\fed band structure\nnear the Fermi level.\nshows higher resistivity than Fe 2As. The projected den-\nsity of states shows that a wide energy range of states\noriginates from Cr 3 dorbitals, while sorporbital con-\ntributions from Cr and Al are small.\nThe structural stability of Cr 2Al is con\frmed by the\nphonon dispersion, computed using the \fnite di\u000berence\nmethod including the antiferromagnetic con\fguration\nand the e\u000bect of spin-orbit coupling, shown in Figure6\n/s32/s33\n/s34/s33\n/s35/s33\n/s36/s33\n/s37/s33\n/s33/s38/s39/s40/s41/s42/s43/s44/s45/s46/s40/s47/s48\n/s49/s50/s51/s49/s52/s53/s54/s52/s37/s55/s33/s33/s55/s33\n/s45/s56/s57/s58/s57/s40/s59/s60/s46/s40/s47/s48\nFIG. 9. Phonon bands and density of states of Cr 2Al calcu-\nlated by the \fnite di\u000berence method and DFT.\n9. There are no states with imaginary energy/frequency,\nwhich con\frms the dynamic stability. There are a total\nof 18 phonon bands, spanning the energy up to 50 meV.\nThe phonon density of states shows two peaks around 30\nand 45 meV.\nExchange coe\u000ecients describe the interaction between\nmagnetic moments and can further explain the magnetic\nstructure of Cr 2Al. These exchange coe\u000ecients can be\nutilized for atomistic spin dynamics simulations, as has\nbeen done for Fe 2As.47The exchange coe\u000ecients ex-\ntracted in this work are shown as a function of relative\ndistance (d=a) in Figure 10. Positive and negative ex-\nchange parameters indicate ferromagnetic and antiferro-\nmagnetic couplings, respectively. The nearest-neighbor\nexchange interaction ( J1 =\u000018:5 meV, shown as a yel-\nlow arrow in Figure 10) is the primary driving force for\nthe AF ordering in Cr 2Al. Even though the third-nearest\nneighbor exchange interaction also shows a negative value\n(J3 =\u00003:1 meV), the corresponding moments show par-\nallel alignment. This is because the J3 interaction is\novercompensated by J5 = +2:2 meV, i.e., ferromagnetic\ncoupling, which has a multiplicity four, while J3 has only\none interaction. Interactions along aandbdirections are\nall ferromagnetic ( J2 = +0:9 meV and J4 = +0:9 meV).\nNumerical uncertainties of the exchange integrals mainly\noriginate from k-point sampling and we determined these\nto be lower than 2.7%, except for J4, where due to the\nsmall absolute value the relative error is larger (14.2%).\nBased on the calculated exchange coe\u000ecients, TNwas\nestimated using three thermodynamic observables that\nwere computed from Monte Carlo (MC) simulations, see\ndetails in Ref. 41. The observables, computed using the\nstochastic LLG equation, are shown as a function of Tin\nFigure 11. Sub-lattice magnetization ( Msub, black solid\nline) is not sharp enough to determine the critical tem-\nperature because of \fnite size e\u000bects, but it does repro-\nduce the 23% di\u000berence between the calculated T= 0 K\nMsuband the experimental Msubat 300 K from neu-\ntron scattering. Instead, we use the Binder cumulant\nUL, which indicates TNas a transition from the normal-\nized value of 0.667 to 0.444. The isothermal susceptibility\n\u001fthermal and the heat capacity Cvshow a peak at the crit-\nFIG. 10. Exchange coe\u000ecients Jijas function of the interac-\ntion distance d(in units of the lattice parameter a) between\nlattice sites iandj. First to \ffth-nearest neighbor interac-\ntions (J1, . . . ,J5) are illustrated in the subset \fgure with\ncolored arrows.\n/s32/s33/s34\n/s34/s33/s35\n/s34/s33/s36\n/s34/s33/s37\n/s34/s33/s38\n/s34/s33/s34/s39/s40/s41/s42/s43/s44/s45/s46/s47/s48/s49/s50/s43/s44/s51/s47\n/s52/s34/s34 /s36/s34/s34 /s53/s34/s34 /s34\n/s54/s47/s42/s55/s47/s41/s43/s56/s51/s41/s47/s49/s57/s58/s59/s49/s60/s61/s51/s62/s49/s49/s63/s56/s64/s47/s41/s42/s43/s44\n/s49/s65/s66/s49/s49/s49/s49/s49/s49/s49/s49/s67/s68\n/s49/s69/s70/s55/s33\nFIG. 11. Normalized sublattice magnetization and three ther-\nmodynamic observables for Cr 2Al, computed from Monte\nCarlo simulations of the stochastic Landau-Lifshitz-Gilbert\nequation. The temperature is changed using a step size of\n10 K. Heat capacity Cvmirrors the heat \row while heating\nfrom DSC in Figure 5, while the predicted TNrange is 620 {\n670 K.\nical temperature. This leads to predictions for the tran-\nsition temperature of 660, 650, and 630 K, respectively,\nwhich are all in good agreement with the experimental\nvalue of 634\u00062 K.\nIV. CONCLUSIONS\nNeutron di\u000braction and magnetometry are consistent\nwith an in-plane magnetic structure of Cr 2Al, identical\nto that of Mn 2Au, but with light elements that min-\nimize spin-orbit coupling. Magnetometry, calorimetry,\nand transport all con\frm TNto be 634\u00062 K, in agree-\nment with previous studies. The ability to access this7\nTNwithout decomposing the compound enables a more\nstringent test for evaluating spintronic phenomena that\nshould vanish in the paramagnetic regime. Aligned mea-\nsurements provide some early evidence for anisotropy in\nCr2Al, which will be the focus of further studies. The\nability to engineer the transport and morphology, for ex-\nample by thin \flm deposition, should provide a promising\nplatform for spintronic investigation.\nV. ACKNOWLEDGMENTS\nThis work was undertaken as part of the Illinois Ma-\nterials Research Science and Engineering Center, sup-\nported by the National Science Foundation MRSEC pro-\ngram under NSF Award No. DMR-1720633. The char-\nacterization was carried out in part in the Materials Re-\nsearch Laboratory Central Research Facilities, Univer-sity of Illinois. This work made use of the Illinois Cam-\npus Cluster, a computing resource that is operated by\nthe Illinois Campus Cluster Program (ICCP) in conjunc-\ntion with the National Center for Supercomputing Ap-\nplications (NCSA) and which is supported by funds from\nthe University of Illinois at Urbana-Champaign. This\nresearch is part of the Blue Waters sustained-petascale\ncomputing project, which is supported by the National\nScience Foundation (Awards No. OCI-0725070 and No.\nACI-1238993) and the state of Illinois. Blue Waters is\na joint e\u000bort of the University of Illinois at Urbana-\nChampaign and its National Center for Supercomputing\nApplications. This research used resources of the Spalla-\ntion Neutron Source, a DOE O\u000ece of Science User Fa-\ncility operated by Oak Ridge National Laboratory. The\nauthors thank Jue Liu for additional assistance with the\nneutron scattering experiment.\n\u0003dpshoema@illinois.edu\n1A. V. Kimel, A. Kirilyuk, P. A. Usachev, R. V. Pisarev,\nA. M. Balbashov, and T. Rasing, Nature 435, 655 (2005).\n2A. Little, L. Wu, P. Lampen-Kelley, A. Banerjee,\nS. Patankar, D. Rees, C. A. Bridges, J. Q. Yan, D. Man-\ndrus, S. E. Nagler, and J. Orenstein, Phys. Rev. Lett. 119,\n227201 (2017).\n3T. Kampfrath, A. Sell, G. Klatt, A. Pashkin, S. M ahrlein,\nT. Dekorsy, M. Wolf, M. Fiebig, A. Leitenstorfer, and\nR. Huber, Nature Photonics 5, 31 (2011).\n4B. Viala, G. Visentin, and P. Gaud, IEEE Trans. Magn.\n40, 1996 (2004).\n5S. Lee, S. Grudichak, J. Sklenar, C. C. Tsai, M. Jang,\nQ. Yang, H. Zhang, and J. B. Ketterson, J. Appl. Phys.\n120, 033905 (2016).\n6G. Chai, N. N. Phuoc, and C. K. Ong, Appl. Phys. Lett.\n103, 042412 (2013).\n7V. Sharma, J. Saha, S. Patnaik, and B. K. Kuanr, AIP\nAdvances 7, 056405 (2016).\n8N. Bhattacharjee, A. A. Sapozhnik, S. Y. Bodnar,\nV. Y. Grigorev, S. Y. Agustsson, J. Cao, D. Dominko,\nM. Obergfell, O. Gomonay, J. Sinova, M. Kl aui, H. J.\nElmers, M. Jourdan, and J. Demsar, Phys. Rev. Lett.\n120, 237201 (2018).\n9V. M. T. S. Barthem, C. V. Colin, H. Maya\u000bre, M. H.\nJulien, and D. Givord, Nature Commun. 4, 2892 (2013).\n10M. Jourdan, H. Br auning, A. Sapozhnik, H. J. Elmers,\nH. Zabel, and M. Kl aui, J. Phys. D: Appl. Phys. 48,\n385001 (2015).\n11K. Olejn\u0013 \u0010k, T. Seifert, Z. Ka\u0014 spar, V. Nov\u0013 ak, P. Wadley,\nR. P. Campion, M. Baumgartner, P. Gambardella,\nP. N\u0014 emec, J. Wunderlich, J. Sinova, P. Ku\u0014 zel, M. M uller,\nT. Kampfrath, and T. Jungwirth, Science Advances 4,\neaar3566 (2018).\n12V. Saidl, P. N\u0014 emec, P. Wadley, V. Hills, R. P. Cam-\npion, V. Nov\u0013 ak, K. W. Edmonds, F. Maccherozzi, S. S.\nDhesi, B. L. Gallagher, F. Troj\u0013 anek, J. Kune\u0014 s, J. \u0014Zelezn\u0013 y,\nP. Mal\u0013 y, and T. Jungwirth, Nature Photonics 11, 91\n(2017).13P. Wadley, V. Hills, M. R. Shahedkhah, K. W. Edmonds,\nR. P. Campion, V. Nov\u0013 ak, B. Ouladdiaf, D. Khalyavin,\nS. Langridge, V. Saidl, P. Nemec, A. W. Rushforth, B. L.\nGallagher, S. S. Dhesi, F. Maccherozzi, J. \u0014Zelezn\u0013 y, and\nT. Jungwirth, Scienti\fc Reports 5, 17079 (2015).\n14P. Wadley, B. Howells, J. Zelezny, C. Andrews, V. Hills,\nR. P. Campion, V. Novak, K. Olejnik, F. Maccherozzi,\nS. S. Dhesi, S. Y. Martin, T. Wagner, J. Wunderlich,\nF. Freimuth, Y. Mokrousov, J. Kunes, J. S. Chauhan, M. J.\nGrzybowski, A. W. Rushforth, K. W. Edmonds, B. L. Gal-\nlagher, and T. Jungwirth, Science 351, 587 (2016).\n15P. Wadley, S. Reimers, M. J. Grzybowski, C. Andrews,\nM. Wang, J. S. Chauhan, B. L. Gallagher, R. P. Campion,\nK. W. Edmonds, S. S. Dhesi, F. Maccherozzi, V. Novak,\nJ. Wunderlich, and T. Jungwirth, Nat. Nanotechnol. 13,\n362 (2018).\n16M. Wang, C. Andrews, S. Reimers, O. J. Amin, P. Wadley,\nR. P. Campion, S. F. Poole, J. Felton, K. W. Edmonds,\nB. L. Gallagher, A. W. Rushforth, O. Makarovsky, K. Gas,\nM. Sawicki, D. Kriegner, J. Zub\u0013 a\u0014 c, K. Olejn\u0013 \u0010k, V. Nov\u0013 ak,\nT. Jungwirth, M. Shahrokhvand, U. Zeitler, S. S. Dhesi,\nand F. Maccherozzi, Phys. Rev. B 101, 094429 (2020).\n17C. C. Chiang, S. Y. Huang, D. Qu, P. H. Wu, and C. L.\nChien, Phys. Rev. Lett. 123, 227203 (2019).\n18S. Y. Bodnar, L. \u0014Smejkal, I. Turek, T. Jungwirth,\nO. Gomonay, J. Sinova, A. A. Sapozhnik, H. J. Elmers,\nM. Kl aui, and M. Jourdan, Nature Commun. 9, 348\n(2018).\n19N. Bhattacharjee, A. A. Sapozhnik, S. Y. Bodnar,\nV. Y. Grigorev, S. Y. Agustsson, J. Cao, D. Dominko,\nM. Obergfell, O. Gomonay, J. Sinova, M. Kl aui, H.-J.\nElmers, M. Jourdan, and J. Demsar, Phys. Rev. Lett.\n124, 039901 (2020).\n20R. W. Cahn, Adv. Mater. 3, 628 (1991).\n21S. Khmelevskyi and P. Mohn, Appl. Phys. Lett. 93, 162503\n(2008).\n22K. Uhl\u0013 \u0010\u0014 rov\u0013 a, E. Duverger-N\u0013 edellec, R. H. Colman,\nJ. Voln\u0013 y, B. Vondr\u0013 a\u0014 ckov\u0013 a, and K. Carva, J. Alloys Compd.\n771, 680 (2019).\n23M. Atoji, J. Chem. Phys. 43, 222 (1965).8\n24B. S. Semukhin, V. M. Kushnarenko, and E. V. Kozlov,\nSoviet Phys. J. 31, 267 (1988).\n25K. Mahdouk and J.-C. Gachon, J. Phase Equilibria 21,\n157 (2000).\n26M. Rank, P. Franke, J. Ho\u000bmann, and H. J. Seifert, Cal-\nphad66, 101638 (2019).\n27M. A. Susner, D. S. Parker, and A. S. Sefat, J. Magn.\nMagn. Mater. 392, 68 (2015).\n28S. Calder, K. An, R. Boehler, C. R. Dela Cruz, M. D.\nFrontzek, M. Guthrie, B. Haberl, A. Huq, S. A. J. Kimber,\nJ. Liu, J. J. Molaison, J. Neuefeind, K. Page, A. M. dos\nSantos, K. M. Taddei, C. Tulk, and M. G. Tucker, Rev.\nSci. Instrum. 89, 092701 (2018).\n29B. H. Toby and R. B. Von Dreele, J. Appl. Cryst. 46, 544\n(2013).\n30K. Momma and F. Izumi, J. Appl. Cryst. 41, 653 (2008).\n31Supplementary Material available online.\n32G. Kresse and J. Furthm uller, Phys. Rev. B 54, 11169\n(1996).\n33G. Kresse and D. Joubert, Phys. Rev. B 59, 1758 (1999).\n34J. P. Perdew, K. Burke, and M. Ernzerhof, Phys. Rev.\nLett.77, 3865 (1996).\n35P. E. Bl ochl, Phys. Rev. B 50, 17953 (1994).\n36H. J. Monkhorst and J. D. Pack, Phys. Rev. B 13, 5188\n(1976).37A. Togo and I. Tanaka, Scr. Mater. 108, 1 (2015).\n38S. Steiner, S. Khmelevskyi, M. Marsmann, and G. Kresse,\nPhys. Rev. B 93, 224425 (2016).\n39H. Ebert, D. Kodderitzsch, and J. Minar, Rep. Prog. Phys.\n74, 096501 (2011).\n40A. I. Liechtenstein, M. I. Katsnelson, and V. A. Gubanov,\nJ. Phys. F: Metals Phys. 14, L125 (1984).\n41O. Eriksson, A. Bergman, L. Bergqvist, and J. Hellsvik,\nAtomistic Spin Dynamics: Foundations and Applications\n(Oxford University Press, 2017).\n42F. Gr\u001cnvold, The Journal of Chemical Thermodynamics\n25, 1133 (1993).\n43K. Yang, K. Kang, Z. Diao, M. H. Karigerasi, D. P. Shoe-\nmaker, A. Schleife, and D. G. Cahill, Phys. Rev. B 102,\n064415 (2020).\n44K. Kang, K. Yang, K. Puthalath, D. G. Cahill, and\nA. Schleife, arXiv:2012.02090 (2020).\n45K. Yang, K. Kang, Z. Diao, M. H. Karigerasi, D. P. Shoe-\nmaker, A. Schleife, and D. G. Cahill, Phys. Rev. B 102,\n064415 (2020).\n46K. Yang, K. Kang, Z. Diao, A. Ramanathan, M. H. Karig-\nerasi, D. P. Shoemaker, A. Schleife, and D. G. Cahill,\nPhys. Rev. Mater. 3, 124408 (2019).\n47M. H. Karigerasi, K. Kang, G. E. Granroth, A. Banerjee,\nA. Schleife, and D. P. Shoemaker, Phys. Rev. Mater. 4,\n114416 (2020)." }, { "title": "1510.08629v1.Resistive_superconducting_transition_and_effects_of_atmospheric_exposure_in_the_intercalation_superconductor_Ax_C2H8N2_yFe2_zSe2__A___Li__Na_.pdf", "content": " \n \n \nResistive superconducting transition and e ffect s of \natmospheric exposure in the intercalation \nsuperconductor Ax(C2H8N2)yFe2-zSe2 (A = Li, Na) \nT. Hatakeda, T . Noji, S . Hosono, T . Kawamata, M. Kato, and Y . Koike \nDepartment of Applied Physics, Tohoku Univers ity, 6-6-05 Aoba, Aramaki, Aoba -ku, \nSendai 980 -8579 , Japan \nnoji@teion.apph.tohoku.ac.jp \nAbstract . We have succeeded in observing zero -resistivity in newly discovered intercalation \nsuperconductors Ax(C2H8N2)yFe2-zSe2 (A = Li, Na) with Tc = 45 K , using the s intered pellet samples. \nThe electrical resistivity, ρ, in the normal state is metallic and Tconset, defined in the ρ measurem ents, \nis as high as ~ 57 K. W e have also investigated effects of the atmospheric exposure in \nLix(C2H8N2)yFe2-zSe2. It has been found that both the crystal structure and superconductivity are \nmaintained at least up to several days, indicating this mater ial is comparatively resist ant to the \natmospheric exposure. \n1. Introduction \nRecently, it has been found that the superconducting transition temperature, Tc, of the iron -based \nsuperconductor FeSe increase s from 8 K to 40 - 46 K via intercalation of alkaline or alkaline -earth \nmetal s and ammonia or pyridine [1-5]. Moreover , we have succeeded in synthesizing new \nsuperconductors Ax(C2H8N2)yFe2-zSe2 (A = Li, Na) with Tc = 45 K via intercalation of alkaline metal s \nand ethylenediamine (EDA) , C2H8N2 [6,7]. The drastic increase in Tc via the intercalation has attracted \ngreat interest in relation to the mechanism and the application of the intercalation superconductors. For \napplication s, zero -resistivity is important, but zero -resistivity is observed only in Lix(C2H8N2)yFe2-zSe2 \n[6]. Moreover, Tczero, defined as the temperature where the electrical resistivity , ρ, reach zero, is much \nlower than Tc obtained in the measurements of the magnetic susceptibility, χ. For application s, the \nstability of the intercalation superconductors at the atmosphere is also important, but generally \nsuperconductors including alkali ne metals are not so stable at the atmosphere [1]. \nIn this paper , we have investigated the resistive superconducting transition of alkaline metals - and \nEDA -intercalated Ax(C2H8N2)yFe2-zSe2 (A = Li, Na) and their stability at the atmosphere. \n2. Experi mental \nPolycrystalline samples of FeSe were prepared by the solid -state reaction method. Starting materials \nwere powders of Fe and Se, which were weighted stoichiometrically, mixed thoroughly and pressed \ninto pellets. The pellets were sealed in an evacuated quar tz tube and heated at 800 ℃ for 40 h. The \nobtained pellets of FeSe were pulverized into powder to be used as a host of the intercalation. \nDissolved alkaline metal (Li or Na) in EDA was intercalated into the powdery FeSe as follows. An \nappropriate amount of the powdery FeSe was placed in a beaker filled wit h 0.2 M solution of pure \nalkaline metal dissolved in EDA. The reaction was carried out at 45 ℃ for 7 days. The product was \nwashed with fresh EDA. All the process was performed in an argon -filled glove box. B oth FeSe and \nthe intercalated samples were charac terized by powder x-ray diffraction using Cu Kα radiation . For the \nintercalated samples, an airtight sample -holder was us ed. In order to detect the superconducting \ntransition, χ was measured using a supercond ucting quantum interference device (SQUID) \nmagnetome ter. Measurements of ρ were also carried out by the standard dc four -probe method. For the \nρ measurements, as -intercalated powdery samples were pressed into pellets. Then, the pellets were \nsintered at 170 or 200℃ for 20 - 30 h in an evacuated or argon -filled glass tube . In order to investigate \n \n \nas-intercalated\n[Nax(C2H8N2)yFe2-zSe2 + FeSe]\nas-intercalated\n[Lix(C2H8N2)yFe2-zSe2 + FeSe]\n200*112*001*\n101*\n111*002*004\n006\n103\n2θ(deg.)10 20 30 40 50 60Intensity (arb. units)\n002\n004002\n111*\n112*\n200*\n345678\n0 10 20 30 40 50 60 (10-3 emu/g )Lix(C2H8N2)yFe2-zSe2 + FeSe\nT (K)FC\nZFCTc = 45 K\n-10123456\n (10-3 emu/g )Nax(C2H8N2)yFe2-zSe2 + FeSe\nFC\nZFCTc = 45 K\n(a)(b)\neffect s of exposure to the atmosphere , as-intercalated samples, pelletized at room temperature, and \nsintered pellet sample s were exposed to the atmosphere up to 7 da ys. \n3. Result s and discussion \nFigure 1 shows powder x-ray diffraction patterns of as -intercalated sample s of Ax(C2H8N2)yFe2-zSe2 (A \n= Li, Na). The broad peak around 2 θ = 20 ° is due to the airtight sample -holder. Although there are \nunknown peaks, most of sharp Bragg peaks are due to the intercalation compound of Ax(C2H8N2)yFe2-\nzSe2 (A = Li, Na) and the host compound of FeSe, so that they are able to be indexed based on the \nThCr 2Si2-type and PbO -type structures, respectively. Therefore, it is found that alkaline metal and \nEDA are intercalated into FeSe, while there remains a non -intercalated region of FeSe in the sa mples. \nThe lattice constants of Lix(C2H8N2)yFe2-zSe2 are calculated to be a = 3.440(3) Å and c = 20.81(3) Å. \nThe c-axis length of Na x(C2H8N2)yFe2-zSe2 is 21.9(1) Å. Taking into account our previous results that \nthe intercalation of only lithium into Fe(Se,Te) has neither effect on the supercond uctivity nor crystal \nstructure[ 8], it is concluded that not only lithium or sodium but also EDA has been inter calated . \nFigure 2 displays the temperature dependence of χ in a magnetic field of 10 Oe on zero -field \ncooling (ZFC) and on field cooling (FC) for as -intercalated powdery samples consisting of \nAx(C2H8N2)yFe2-zSe2 (A = Li, Na) and FeSe. The superconducting transition is obser ved at 45 K . \nTaking into account the powder x -ray diffraction results , it is concluded that the superconducting \ntransition is due to bulk superconductivity of Ax(C2H8N2)yFe2-zSe2 (A = Li, Na) . The positive value of χ \nwill be due to magnetic impurities taken into the sample. It is noted that th e second superconducting \ntransition due to FeSe observed at 8 K in our previous paper [ 6] is not observed clearly in the present \nlithium -intercalated sample. This is reasonable, because powder x -ray diffraction peaks due to FeSe \nare strongly suppressed in the present lithium -intercalated sample, as shown in Fig. 1. \n \nFig. 1. Powder x -ray diffraction patterns of as -\nintercalated samples consisting of Ax(C2H8N2)yFe2-\nzSe2 (A = Li, Na) and FeSe , using Cu Kα radiation. \nIndexes without and with asterisk are based on the \nThCr 2Si2-type and PbO -type structure s, respectively. \nPeaks marked by ▼ are unknown. Fig. 2. Temperature dependence of the magnetic \nsusceptibility, χ, in a magnetic field of 10 Oe on \nzero-field cooling (ZFC) and field cooling (FC) \nfor as -intercalated powdery samples consisting \nof Ax(C2H8N2)yFe2-zSe2 ((a) A = Li, (b) A = Na) \nand FeSe. \nFigure 3 displays the temperature dependence of ρ for several pellet samples. As shown in Fig. 3 \n(a), the as -intercalated sample consisting of Lix(C2H8N2)yFe2-zSe2 and FeSe, pelletized at room \ntemperature, exhibits the onset of the superconducting transition at 44 K, but zero -resistivity is not \nobserved probably due to the insulating grain -boundary [6 ]. Sintered p ellet samples consisting of \nAx(C2H8N2)yFe2-zSe2 (A = Li, Na) and FeSe , on the other hand , exhibit zero -resistivity. It is found that \nthe lithium -intercalate d pellet sample sintered at 170 ℃ shows a metallic temperature -dependence of ρ \n \n \n00.10.20.30.40.5\n0 10 20 30 40 50 60 70 (cm)\n00.20.40.60.8\n0 50 100 150 200 250 (cm)Lix(C2H8N2)yFe2-zSe2 + FeSe\nsintered\n(200 ℃, 20 h, vacuum)\nas-intercalatedT(K)Tc = 43 Konset\nTc = 44 Konset Tc = 18 Kzero\nT(K)00.020.040.060.080.10.120.14\n0 50 100 150 200 250 (cm)\nT (K)Lix(C2H8N2)yFe2-zSe2 + FeSe\nsintered\n(170 ℃, 30 h, vacuum)00.010.020.030.040.05\n10 20 30 40 50 60 70 80 (cm)\nT (K)Tc ~ 57 Konset\nTc ~ 32 Kzero\nTc ~ 42 Kmid\n00.10.20.30.40.50.60.7\n0 50 100 150 200 250 (cm)\nT (K)sintered\n(170 ℃, 20 h, vacuum)Nax(C2H8N2)yFe2-zSe2 + FeSe\n00.050.10.150.2\n10 20 30 40 50 60 70 80 (cm)\nT (K)Tc ~ 55Konset\nTc ~ 12 Kzero\nTc ~ 42 Kmid\n(a) (b) (c)\nand that the value of ρ in the normal state is much smaller and Tczero is much higher than those of the \nlithium -intercalate d pellet sample sintered at 200 ℃, respectively. These results are understood to be \ndue to the progress of the deintercalation of EDA with increasing sintering -temperature [7 ]. It is also \nfound that Tcmid, defined as the temperature where ρ shows a half of the no rmal-state value, is ~ 42 K \nfor both lithium - and sodium -intercalated pellet sa mples sintered at 170 ℃ and almost the same as Tc = \n45 K obtained from the χ measurements shown in Fig. 2. It is remarkable that Tconset, defined as the \ntemperature where ρ starts to decrease with decreasing temperature due to the superconducting \ntransition , obtained from the ρ measurements is as high as ~ 57 K and ~ 55 K for the lithium - and \nsodium -intercalated samples, respectively . \n \nFig. 3. Temperature dependence of the electrical resistivity, ρ, for (a) as-intercalated (pelletized at room \ntemperature) and sintered (200 ℃, 20 h , vacuum ) pellet samples consisting of Li x(C2H8N2)yFe2-zSe2 and \nFeSe [6], (b) the s intered ( 170℃, 30 h, vacuum ) pellet sample consisting of Li x(C2H8N2)yFe2-zSe2 and \nFeSe , and (c) the s intered ( 170℃, 20 h, vacuum ) pellet sample consi sting of Nax(C2H8N2)yFe2-zSe2 and \nFeSe. Insets show the temperature dependence of ρ around Tc. \nFigure 4 (a) shows the change of the x -ray diffraction pattern of the as-intercalated sample \npelletized at room temperature , consisting of Lix(C2H8N2)yFe2-zSe2 and FeSe , by exposure to the \natmosphere. It is found that the diffraction peaks do not change so much even for the sample exposed \nfor 7 days, indicating that the crystal structure of Li x(C2H8N2)yFe2-zSe2 is maintained at the atmosphere \nat least up to 7days . Observing the enlarge d diffraction patterns shown in Fig. 4 (b), it is found that the \nc-axis length s of the sample s exposed for 2 - 7 days are a little larger than that of the as -intercalated \nsample , as listed in Table I. The intercalants (lithium and ED A) may be relaxed structurally in the \nsample or lithium in the sample may react with something at the beginning of the exposure to the \natmosphere . The change of the superconductivity of the as -intercalated sample pelletized at room \ntemperature , consisting of Lix(C2H8N2)yFe2-zSe2 and FeSe , by exposure to the atmosphere has been \nobserved in the χ measurements , as shown in Fig. 5. It is found that both Tc and the superconducting \nvolume fraction gradually decrease by the atmospheric exposure. Furthermore, changes of ρ and the \nresistive superconducting transition of the sintered (170 ℃, 30 h , Ar) pel let sample consisting of \nLix(C2H8N2)yFe2-zSe2 and FeSe by the atmospheric exposure have been observed, as shown in Fig. 6. It \nis found that the value of ρ in the normal state gradually increases by the atmospheric exposure , while \nTcmid = 34 - 36 K for all the samples . These result s indicate that the superconductivity of \nLix(C2H8N2)yFe2-zSe2 is maintained at the atmosphere at least up to several days, though the \nsuperconducting vol ume fraction gradually decreases and the disorder at the grain boundary is \ngradually enhanced. Accordingly, it is concluded that Li x(C2H8N2)yFe2-zSe2 is more resist ant to the \natmospheric exposure than the other intercalation superconductors such as Ax(NH 3)yFe2-zSe2 (A = \nalkaline and alkaline -earth metals) [1], so that Li x(C2H8N2)yFe2-zSe2 is more suitable for application s. \n \n \n0 10 20 30 40 50 60 (arb. units )\nT (K)as-intercalated2 days7 days\n4 days\nFCLix(C2H8N2)yFe2-zSe2 + FeSe\nTc=43 KTc=43 KTc= 42 KTc= 32 K\n00.020.040.060.080.10.120.14\n0 50 100 150 200 250 300as-sintered1 days2 days5 days\n (cm)\nT (K)Lix(C2H8N2)yFe2-zSe2 + FeSe\nsintered (170 ℃, 30h, Ar) \n10 20 30 40 50 60\n2 (deg.)Intensity (arb. units)\nas-intercalated2 days4 days7 daysLix(C2H8N2)yFe2-zSe2 + FeSe (a)\n002\n004\n103001*\n101*\n111*\n112*\n200*111*101*103101*103101*103\n111* 111*002 002 002\n200*112* 112* 112*\n200*001*004001*004001*004\n200*\n8 10 12 14 16 18\n2 (deg.)Intensity (arb. units)\nas-intercalated2 days4 days7 daysLix(C2H8N2)yFe2-zSe2 + FeSe (b)\n002\n004001*002 002 002\n001*\n004001*\n004001*\n004\n \nFig. 4. (a) X-ray diffraction patterns of the as-intercalated sample pelletized at room temperature , consisting \nof Li x(C2H8N2)yFe2-zSe2 and FeSe, and pellet samples exposed to the atmosphere for 2, 4 and 7 days using \nCuKα radiation. (b) Enlarged x -ray diffraction patterns of (a) at 2 θ = 7 - 18°. Indexes without and with \nasterisk are based on the ThCr 2Si2-type and PbO -type structures, respectively. Peaks marked by ▼ are \nunknown. \n \nTable 1. Lattice constants a and c of Li x(C2H8N2)yFe2-zSe2 for the as -intercalated \nsample pelletized at room temperature, consisting of Li x(C2H8N2)yFe2-zSe2 and FeSe , \nand pellet samples exposed to the atmosphere for 2, 4 and 7 days . \n a (Å) c (Å) \nLix(C2H8N2)yFe2-zSe2 (as-intercal ated) 3.447(3) 20.85(4) \nLix(C2H8N2)yFe2-zSe2 (2 days ) 3.426(2) 21.33(3) \nLix(C2H8N2)yFe2-zSe2 (4 days) 3.435(2) 21.32(2) \nLix(C2H8N2)yFe2-zSe2 (7 days) 3.433(2) 21.30(3) \n \n \nFig. 5. Temperature dependence of the magnetic \nsusceptibility, χ, in a magnet ic field of 10 Oe on \nfield cooling (FC) for the as-intercalated sample \npelletized at room temperature, consisting of \nLix(C2H8N2)yFe2-zSe2 and FeSe, and pellet sample s \nexposed to the atmosphere for 2, 4 and 7 days . Fig. 6. Temperature dependence of the ele ctrical \nresistivity, ρ, for the as -sintered (170 ℃, 30 h , Ar) \npellet sample , consisting of Li x(C2H8N2)yFe2-zSe2 \nand FeSe, and sintered (170℃, 30 h, Ar) pellet \nsample s exposed to the atmosphere for 1, 2 and 5 \ndays. \n \n \n4. Summ ary \nWe have succeeded in observing zer o-resistivity in our newly discovered intercalation \nsuperconductors Ax(C2H8N2)yFe2-zSe2 (A = Li, Na) with Tc = 45 K, using pellet samples sintered at \n170℃ in an evacuated or argon -filled glass tube. It has been found that the sintered pellet samples \nshow a metallic temperature -dependence of ρ and that Tczero is ~ 32 K and ~ 12 K for A = Li and Na, \nrespectively. For both A = Li and Na, Tcmid is ~ 42 K and almost the same as Tc obtained from the χ \nmeasurements. Moreover, Tconset is as high as ~ 57 K and ~ 55 K for A = Li and Na, respectively. \nIn order to investigate the stability of the lithium - and EDA -intercalated pellet samples at the \natmosphere, they have been exposed to the atmosphere up to 7 days. From the x -ray diffraction \nanalysis, it has been found t hat the crystal structure of Li x(C2H8N2)yFe2-zSe2 is maintained at the \natmosphere at least up to 7 days, though the c-axis lengths of the samples exposed for 2 - 7 days are a \nlittle larger than that of the as -intercalated sample. From the χ measurements, it has been found that \nthe superconductivity is maintained at least up to 7 days, though both Tc and the superconducting \nvolume fraction gradually decrease. From the ρ measurement s of the sintered pellet samples, moreover, \nit has been found that zero -resistivity is maintained at least up to 5 days, though the value of ρ in the \nnormal state gradually increases. In conclusion, Li x(C2H8N2)yFe2-zSe2 is comparatively resist ant to the \natmospher ic exposure and comparatively suitable for application s. \n \nAcknowledgments \nOne of the authors (T. H.) is indebted to the Motizu ki Foundation for the support of his travel \nexpenses to Buenos Aires to present this work at the 27th International Conference on Low \nTemperature Physics . \nReferences \n[1] T. P. Ying, X. L. Chen, G. Wang, S. F. Jin, T. T. Zhou, X. F. Lai, H. Zhang, W. Y. Wang: \nSci. Rep. 2 (2012) 426. \n[2] E.-W. Scheidt , V. R. Hathwar, D. Schmitz, A. Dunbar, W. Scherer, F. Mayr, V. Tsurkan, J. \nDeisenhofer, A. Loidl: Eur. Phys. J. B 85 (2012) 279. \n[3] M. Burrard -Lucas, D. G. Free, S. J. Sedlmaier, J. D. Wright, S. J. Cassidy, Y. Hara, A. J. \nCorkett, T. Lancaster, P. J. Baker, S. J . Blundell, S. J. Clarke: Nat . Mater. 12 (2013) 15. \n[4] A. Krzton -Maziopa, E. V. Pomjakushina, V. Y. Pomjakushin, F. Rohr, A. Schil ling, K. \nConder: J. Phys.: Condens. Matter 24 (2012) 382202. \n[5] L. Zheng, M. Izumi, Y. Sakai, R. Eguchi, H. Goto, Y. Takabayashi, T. Kambe, T. Onji, S. Araki, \nT. C. Kobayashi, J. Kim, A. Fujiwara and Y. Kubozono : Phys. Rev. B 88 (2013) 094521. \n[6] T. Hatakeda, T. Noji, T. Kawamata, M. Kato and Y. Koike: J. Phys. Soc. Jpn. 82 (2013) 123705. \n[7] T. Noji, T. Hatakeda, S. Hosono, T. Kawamata, M. Kato, Y. Koike: Physica C (in press) \n[8] H. Abe, T. Noji, M. Kato, Y. Koike: Physica C 470 (2010) S487 . " }, { "title": "0711.2747v1.Development_of_New_Hole_Type_Avalanche_Detectors_and_the_First_Results_of_their_Applications.pdf", "content": " \n \n Abstract -- We have developed a new detector of photons and \ncharged particles- a hole-type structure with electrodes made of a \ndouble layered resistive material: a thin low resistive layer coated with a layer having a much higher resistivity. One of the unique features of this detector is its capability to operate at high gas \ngains (up to 10\n4) in air or in gas mixtures with air. They can also \noperate in a cascaded mode or be combined with other detectors, for example with GEM. This opens new avenues in their applications. Several prototypes of these devices based on new detectors and oriented on practical applications were developed and successfully tested: a detector of soft X-rays and alpha particles, a flame sensor, a detector of dangerous gases. All of these detectors could operate stably even in humid air and/or in dusty conditions. The main advan tages of these detectors are \ntheir simplicity, low cost and high sensitivity. For example, due to \nthe avalanche multiplication, th e detectors of flames and \ndangerous gases have a sensitiv ity of 10-100 times higher than \ncommercial devices. We therefore believe that new detectors will have a great future. \n \nI. INTRODUCTION \n \nHole-type gaseous detectors of photons and charged \nparticles (capillary plate [1],GEM [2]) are very attractive in some applications due to their capability to operate at high gain in poorly quenched gases ( for example, in pure noble gases) and the capability to operate in cascade mode which allows to boost the overall gain. However, some of these devices, in particular GEM, are very fragile in handling and operation. For the last several years we were focused on developing more robust version of hole- type electron amplifiers. Our first attempt was to develop so called a Thick GEM (TGEM) [3, 4]. Further studies and developments of this promising device were performed later by Breskin group [5]. \n \n \nManuscript received November 16, 2007. \n G. Charpak, P. Benaben, P. Breuil are with Ecole Superior des Mines in St. Etienne, 158 cours Fauriel, 42023 St-Etienne cedex 2, France; e-mails: charpak@emse.fr ; benaben@emse.fr ; pbreuil@emse.fr . \n A. Di Mauro, P. Martinengo, V. Peskov are with the PH Div., CERN, Geneva-23 , Switzerland CH-1211; e-mails: Antonio.Di.Mauro@cern.ch ; \nPaolo.Martinengo@cern.ch ; vladimir.peskov@cern.ch \n At the previous IEEE Nuclear Science Symposium we \npresented a new design: a TGEM, having electrodes coated with a resistive layer or fully made from a resistive material (for example Kapton 100XC10E5 [6]). We named this detector Resistive Electrode Thick GEM or RETGEM. This detector can operate at gain close to 10\n5 even in pure Ar and \nNe and discharges at higher gain , due to the high resistivity of \nthe electrodes, do not damage either the detector or the front-end electronics. Unfortunately, it turned out that it is not easy to obtain resistive Kapton from DuPont, due to some restrictions imposed to European users. For this reason we recently developed an altern ative RETGEM manufacturing \nusing the screen printing technology [7] which is used in microelectronics to produce patterns of different shape and resistivity and hence is widely available in many Labs and Companies . In all these previous RETGEM designs the HV to \nthe detector electrode was applied via a Cu frame manufactured in the peripheral region of the detector (see Fig.1). This approach may cause problems in the case of \nlarge-area devices since the avalanche current should flow along the surface to the Cu fra me thus produc ing undesirable \nvoltage drop. A better solution for large-area detectors has been obtained developing a double resistive electrode RETGEM. In this paper we will present first results of tests of this new device. In particular, the main focus of this report will be on a study of RETGEM operation in badly quenched gases, including ambient air, and new applications which such device offers. \nII. RETGEM\nS WITH DOUBLE LAYERED RESISTVE ELECTRODES \nA. A New RETGEM Design \n As was already mentioned above, in the earlier RETGEM \ndesigns the HV to the detector electrodes was applied via the Cu frame manufactured in the pe ripheral area of the detector \nand the potential drop along th e resistive surface created \nsurface streamers [8]. In this work, to minimi ze the voltage drop along the surface \nwe developed a double layered RETGEM prototype. The first step of its manufacturing was the same as described in [7]: a Cu frame was manufactured on the G-10 surface (the thickness of the G-10 plate was 0.5 or 1mm). The area inside the Cu Development of New Hole-Type Avalanche \nDetectors and the First Results of their \nApplications \nG. Charpak, P. Benaben, P. Breuil, A. Di Mauro, P. Martinengo, V. Peskov \n \nframe (30x30 mm2) was then coated by a vacuum evaporation \ntechnique with a 15 nm thick layer of Cr via a mask preventing the coating of 2D rows of circles, 0.8 mm in diameter - see Fig.2. The surface resistivity of this layer was ~50 K Ω/□. \nAfterwards, a resistive paste, Encre MINICO, was applied to \nthe top and the bottom surfaces of the G-10 plate using screen \nprinting technology. The paste is cured in air at 200° C for one hour. After the curing process is complete, the resistive layer was 50 μm thick. \n Holes with diameters of 0.3 or 0.5 mm were then drilled at even intervals (using a CNC m achine) in the center of the \ncircles free of Cr. The surface resi stivity of the top layer was~ \n0.5 M Ω/□. The schematic drawing of this double layered \nRETHGEM is shown in Fig. 3. \nB. Experimental Set up for the RETGEM Operation Study \n \n Our experimental set up is schematically shown in Fig. 4. It consists of a gas chamber inside which a singe or double RETGEM can be installed, as well as the gas system and readout electronics. The distance between the drift mesh and the RETGEM can be varied from 1 to 4 cm. In some tests the \nvoltage feeding of the RETGEM’s electrodes was done using the resistive divider shown in Fig, 5. The gases used for initial tests were Ne, Ar, Ar+CO\n2, however the main studies were \ndone with pure air or mixtures of Ar with air. These tests were oriented towards new applications , described in the section III. \nThe ionization inside the gas chamber was produced by alpha particles and 60 keV x-rays from \n241Am and in some cases by \n6 keV photons from 55Fe. If necessary, the active parts of the \nradioactive sources can be clos ed by shutters preventing the \nradiation to penetrate inside the chamber. The signals from the RETGEM’s anode were detected by a CAEN charge-sensitive \namplifier, and if necessary, fu rther amplified by a research \namplifier, treated by a LabView program and stored and on a PC. \n \n \nFig. 1. A photo of the RETGEM (5x5cm2) manufactured by the screen printing \ntechnology \n \n Fig. 2. A schematic drawing explaini ng four consequent steps in the double \nlayered RETGEM manufacturing \n \n \n \nFig. 3. A schematic drawing of the double layered RETGEM \n \n \n \nFig. 4. A schematic drawing of the expe rimental set up for the study of single \nand double RETGEMs \n \n \n \nFig. 5. A schematic drawing of the re sistors divider chain used in some \nmeasurements with double RETGEMs. \n \n C. Results of RETGEM Tests \n \n Fig. 6 shows a print out from the computer screen with a LabView image of results obtained with the RETGEM \noperating in Ar at 1 atm and detecting signals produced by alpha particles. The top screen shows the analogue signals from the RETGEM while the two screens on the bottom show the counting rate vs. time during these measurements (lower left screen) and their pulse -height spectrum (lower right \nscreen). The activity of our Am source was measured with two \nindependent dosimeters (Automess 6150 AD-k and BaF\n2 \nscintillator coupled to a PM), the value of the alpha particles \ncounting rate was N d=100-110 c/s. As shown in Fig. 6, the \nalpha particles counting rate measured in Ar was 120c/s. It is slightly higher than those measured with the dosimeters, presumably due to the better collection of alpha track in the gas chamber compared to dosim eters where the tracks parallel \nto the detector surface were not detected. Hence, one can \nassume that ~100% efficiency was achieved in Ar. Figs. 7 and 8 show signal amplitudes from the research amplifier (raw data obtained with alpha particles and with x-ray photons) vs. the voltage applied across the 1mm thick RETGEM -one with holes of 0.3 mm in diameter (Fig. 7) and the other one with holes of 0.5 mm in diameters operating in Ar or Ar+CO\n2. From the data one can calculate the gas gain \n(our amplifiers were calibrated) . For example, in the case of \nthe Ar an Ar+CO 2 a 1V signal created by 6 keV photons \ncorresponds to a gas gain of 103. \n During the study of the RETGEMs operation in badly quenched gases we have discove red that they can operate \nstably and at high gains not only in mixtures of Ar with air, but even in pure air. One should note that there were earlier attempts of various authors to investigate the operating in air of such “classical” detectors as wi re -type or parallel plate type \nchambers, however it turned out that these detectors could not \noperate stably at gains larger than10-100 [9]. There are several reasons for this, but the main one being the strong photon feedback preventing the achievement of higher gains. \n \n \n Fig. 6. A LabView screen showing the results obtained with RETGEM operating in Ar at a gain of 1. \n \n0.1110100\n0 1000 2000 3000 4000 5000\nVoltage (V)Signal amplitude (V)12\n3 4 5\n \n \nFig. 7. Signal amplitude vs. voltage applied across RETGEM (1mm thick, \nholes 0.3 mm) operating in Ar (1, 2), Ar+CO 2 (3) and in air ( 4,5).1,4-signals \nwere produced by alpha particles, 2,3-6keV, 5-60 keV \n0.1110100\n0 1000 2000 3000 4000\nVoltage (V)Signal amplitude (V)12\n3\n \nFig. 8. Signal amplitude vs. voltage applied across RETGEM (1mm thick, \nholes 0.5mm) operating in Ar (1), Ar+CO 2 (2) and in air (3).1,2-6-keV, 3-alpha \nparticles \n \n One should add to this that in the case of discharges in the “classical” gaseous detectors, th e energy released in the \nsparks depends on the gas, and it is very high in air which \n \nleads to the ordinary detectors and front end electronics to be \ndamaged. So why RETGEM can operate s t a b l y i n a i r ? I n R E T G E M , \ndue to its hole -type geometry, the photon feedback is strongly suppressed (the cathode’s electrode is geometrically shielded form the light emitted by the avalanches) and this allows to reach higher gains. Moreover, at high gain, like in resistive \nplate chambers (RPC) due to the charging up effect of the \nresistive surface around the holes, the electric field inside the \nholes diminishes for some short period of time, preventing the development of the successor av alanches according to the ion \nfeedback mechanism. Thus at high gain both photon and ion feedbacks are strongly suppressed in the RETGEM. Finally, in case of the occasional discha rges at very high gains, the \nRETGEM, like RPC, is spark-pr otected due to its dielectric \nelectrodes. In Fig. 9 are shown some results obtained with the RETGEM operating in pure air at high gas gain. The same counting rate was measured in air N\nair as was previously the case in Ar \n(NAr). \n \n \n \n \nFig. 9 A LabView screen showing the results obtained with the RETGEM \noperating in ambient air at humidity of 30%. The estimated gas gain ~103. \n \n \nThus the absolute efficiency for alpha detection in air is: \nε=N air/NAr=100%. (1) \n In Figs. 7 and 8 in addition to the curves described above, are also presented the signal amplitudes vs. the voltage applied across the RETGEM operating in ai r. One can see that signals \nproduced by alpha particles or 60 keV x-rays appeared in air at V\nRETGEM >3500V and V RETGEM >4500 V respectively. \n In the case of air from the m easured amplitude of signals it is \nnot easy to reliably calculate th e gas gain because the number \nof primary electrons n 0 triggering the avalanches is not known. \nIndeed in air, most of the pr imary electrons produced by alpha \nparticles or by X-ray photons are almost immediately attached to electronegative molecules a nd form electronegative ions. \nThese ions drift to the detector’s holes in which, in a strong electric filed, some experience the electron disattachment [10]. \nTo our best knowledge it is not easy to calculate what fraction of electronegative ions lose th eir electrons. One can try to \nevaluate the n\n0 from the efficiency measurements or from the \nenergy resolution measurements, however this gives only a very rough estimation: n\n0~10-100 - leading to gains being 104-\n103, respectively. \n The direct gain measuremen ts were performed only with a \nphotosensitive RETGEM (see section III-b) which allows us to independently confirm that at an applied voltage of ~3.5 kV across the RETGEM (0.5mm thick) the gain in air was ~ 10\n4. \nOne should note that a single stage RETGEM operated stably only in dry air or when the humidity was below 30%. At a higher level of humidity it may exhibit some spurious noise pulses. On the other hands, double RETGEMs operate stably in air with humidity up to 80%. As an example, Fig. 10 shows results obtained with a double RETGEM in air at a humidity of 70%. An efficiency for alpha particle detection close to 100% was achieved and we thus consider this detector as more appropriate for practical applications. \n \n \nFig. 10 A Labview screen showing the results obtained with double RETGEM \noperating in air at a humidity of 70%. \n \nIII. APPLICATIONS \n RETGEMs operating in air in a cascaded mode may find \nwide range of applications. As examples, we will describe below prototypes of some practical devices which we have developed and tested recently: an alpha detector, a detector of \nflames and a detector of dangerous gases. \nA. Detection of Alpha Particles in Air \n \nThe fact that double RETGEMs can detect alpha particles with ~ 100% efficiency makes them suitable as alpha particle background monitors which, due to their low cost can be used not only in houses, but in public areas. \n A prototype of such a detector is shown in Fig. 11. It is an \nopen-end metallic chamber insi de which a double RETGEM is \ninstalled. Being placed ~5 mm apart from the surface \ncontaining an Am source it detects pulses produced by alpha particles (with counting rate n\nair). To evaluate the detector \nefficiency the alpha particle counting rate emitted by the surface (N\ndos) was measured with two standard dosimeters \nmentioned in the previous section: Automess 6150 AD-k and with a BaF\n2 scintillator coupled to the PM. \nThe detection effici ency, defined as \nε=nair/Ndos (2) \nwas ~100%. Because the mean free path of alpha particles in air is ~4 cm, the detector c ould scan the surface containing \nalpha emitting elements on a distance larger than 0.5 cm, up to of 1-2 cm. The detection efficiency in this condition was 80-60% respectively. During these tests we have discovered that if the voltages V\ndr, V 1, V 2, V 3 and V 4 are kept positively ( for \nexample V dr=2kv, V 1=4kV,V 3=5.5 , V 4=9kV ) it is possible to \ndetect alpha particles with 60- 70% efficiency even if the \ndetector is placed 3-4 cm apar t from the emitting surface. This \nis due to the fact that electr onegative ions created near the \ngrounded surface can drift towa rds the positively charged \nmesh and then at a proper voltage setting on the drift mesh and on the RETGEM’s electrodes (as for example was mentioned above) a considerable fraction of them can be farther drifted towards the holes and trigger av alanches there. This feature \nmakes our detector very different from any other existing alpha detectors (for example the dosimeters we used) in which \nalpha particles should hit the detector’s sensitive area in order to be detected. In practice this limits the distance at which they can detect alpha particles to less than 2 cm. Of course, the energy resolution of our present prototype is much lower the best commercial devices, but due to its estimated low cost it can be massively used as stationary sensors in some areas which may require continuous monitoring of alpha particle contamination (for example Po): airports, railway stations and so on. In the present version, the RETGEM can be used as a \ntrigger of the “first level” al arm in these areas, assuming that \nmore refined analysis can be done a few minutes later with a more powerful and expensive portable alpha analyzer. \nB. Photosensitive RETGEM \n We have already reported that RETGEMs coated with CsI \nphotosensitive layers gain a hi gh sensitivity to UV light [11]. \nIndependent to these studies we also discovered that gaseous \ndetectors combined with CsI photocathodes are very sensitive \nto the UV emission of flames and thus can be used as efficient \nflame sensors [12]. CsI photocathodes are rather robust, for example they can be exposed to ambient air for 10 min or so without destroying them. The main reason why the quantum efficiency (QE) degrades if CsI is exposed to ambient air for a longer period is the \n \n \nFig. 11. A schematic drawing of a Po detector \n \naccumulation of water on the CsI surface, which changes its \nphotoemission characteristics [13]. Thus one can assume that in dry air the CsI photocathode will be much more stable and if this is confirmed it opens the possibility to build cheap flame detectors operating in dry air. \n \nFig. 12. A schematic drawing of the expe rimental set up for study of operation \nof RETGEMs combined with CsI photocathodes in air \nTo investigate the property of the CsI photocathode in air we \nused an experimental set up shown in Fig. 12. It contains a Hg UV lamp and a gas chamber with a CaF\n2 window inside which \na RETGEM was installed. The upper electrode of the RETGEM was coated be a vacuum evaporation technique with 0.35μm thick CsI layer. One cm above the RETGEM a drift \nmesh was installed. One could apply HV to the drift mesh or to the top electrode of the RETGEM and measure (with the help \nof a Kethley picoampermenter) the photocurrent produced by the Hg lamp either from the drift mesh (I\n1) or from the \nRETGEM bottom electrode (I 2)-see Fig. 12. \n \n \n0.11101001000\n0 1000 2000 3000 4000\nVoltage (V)Current (pA)I1I2 (0.5)\nI2 (1)\n \nFig.13. The photocurrent produced by an Hg lamp vs. the applied voltage \nmeasured from the drift mesh (the mesh was grounded via the picoampermeter and the negative voltage was applied to the RETGEM top electrode) and from \nthe bottom electrode of the RETGEM (as function of the negative voltage \napplied to the top RETGEM electrode; in this measurement the voltage on the \ndrift’s electrode was kept constant and e qual to -1 kV). The values in brackets \nindicate the RETGEM thickness \n \nSome results are shown in Fig.13. The purple curve represents results of measurements of the photocurrent I\n1 from the drift \nmesh produced by the Hg UV lamp as a function of the negative voltage applied to the top RETGEM’s electrode V\ntop. \nOne can see that this current firs t increases as a function of the \nvoltage and then reaches a clear plateau, I sat at V top> 500V \nindicating that full collection of positive and electronegative ions is achieved. The blue curve represents the current I\n2 \nmeasured from the bottom elect rode of the RETGEM as a \nfunction of the negative voltage V top applied to the top \nelectrode. The voltage at th e drift’s electrode in the \nmeasurements was V drift=1 kV. One can see that at V top>1750V \nthe current I g becomes higher than I sat indicating that \nRETGEM begin operating in a gas gain mode. One can define the gas gain A as: \nA=I\ng/Isat (3) \nand plot it as a function of the voltage applied across the RETGEM –see Fig. 14. If one extr apolates the gain curve to \nthe voltages values obtained in the experiments described above with alpha particles and 60 keV x-ray photons in air (see section II-C) then we can c onclude that the gain achieved \nin these measurements was ~ 10\n2 -103 respectively. \nFrom the absolute value of the I g (see Fig. 13) one can also \nestimate the CsI QE. To do this we performed additional calibration measurements with a reference detector - a single–wire counter filled with Ar+10%CO\n2+TMAE, the QE of \nwhich is well known (see [14]). The depth of the active part of this detector was ~4 cm which ensures almost full absorption of the UV light from the Hg lamp. Fig. 15 shows the photocurrent vs. the applied voltage measured at wavelength λ=185 nm, with the reference detector and with the \nphotosensitive RETGEM (s ee Fig. 12) operating 1.00E+001.00E+011.00E+021.00E+031.00E+041.00E+05\n0 2000 4000 6000\nVoltage (V)Gain0.5 mm\n1 mm\n \nFig. 14. Gain vs. voltage across RETGEM calculated from the data presented \nin Fig. 13. \n \nin dry air. The RETGEM QE Q RETGEM can be calculated from \nthe following equation: \nQRETGEM =Q TMAEIg/I TMAE, (4), \nwhere Q TMAE is TMAE QE at 185 nm (Q TMAE=33%) and I TMAE \nis the saturated value of the photocurrent measured with the reference detector. As follows from Fig. 15, Ig=16 nA and I\nTMAE=44 nA which give the value of Q RETGEM ~12%. \n \n01020304050\n0 200 400 600 800 1000\nVoltage (V)Current (nA)\n \nFig. 15. Photocurrents vs. the voltage m easured in the case of the reference \ndetector (blue) and in the case of the photosensitive RETGEM with CsI \nphotocathode operating in air (rose) \n \n Fig. 16 shows Q RETGEM vs. time curves measured in ambient \nair and in dry air. It can be clearly seen that the QE in dry air is \nrather stable and this offers the possibility to build a rather cheap detector filled with dry air. The prototype of such a detector built and tested is shown in Fig 17. It is a three-stage detector operating in dry air. It contains a double GEM combined with a RETGEM. The cathode of the upper GEM was coated with a CsI layer 0.4 μm \nthick. The use of the GEMs in the first two stages of multiplication allows to minimize the effect of the photoelectron capturing by the electronegative molecules because the pitch of the hol es in the GEM was only 140 μm \nand the distance between GEMs 0.5mm, so free primary \nelectrons drift only short distances. The total multiplication in the double GEMs was ~100. The final stage is a robust spark-protected \n05101520\n0 1 02 03 04 0\nTime (days)QE (%) at 185 nmOrdinary \nairDry ai r\n \nFig.16. Stability measurements of CsI photocathodes in dry and ambient air. \n \n \n \nFig.17. A schematic drawing of a UV flame detector operating in dry air \n \nHamamatsu R2868 Our detector \n \nDistance (m) Mean \nnumber of counts per 10sec: N\nH Mean \nnumber of counts per 10sec: N\nO Ratio \nNO/NH \n \n3 76 2034 26 \n10 6 1820 30 \n15 2 73 ~35 \n30 0.1 14 N/A \n \nTable 1. Counting rate measured with R2868 and our detector both detecting a \nflame from candle placed \nRETGEM- operated at estimated gain of 103. This detector \nwas able to detect single photoelectrons with a rather high efficiency. Table 1 shows counting rates measured with our detector and with a commercia l UV flame detector Hamamatsu \nR2868, both detecting the same flame from a candle placed at \ndifferent distances from the detector. From the presented data one can see that our detector is 25-30 times more sensitive than the Hamamatsu R2868 one. We are testing now a detector with larger sensitive area (10x10cm\n2) and preliminary results \nindicate that its sensitivity is almost 85 times higher than Hamamatsu R2868. One should note that strictly speaking there is no need to build a detector filled by dry air. This was just an extreme case to demonstrate the capability of our RETGEMs. In practice it is sufficient to manufacture a cheap,” badly made” sealed \ndetector (containing a water absorbing getter) filled with Ar or any other cheap gas and our result demonstrated that this in principle can be done. \nC. Detector of Dangerous Gases \n \n \n Ionization chambers operating in air are widely used in \nvarious practical devices, for example as detectors of smoke [15] or dangerous gases (see for example [16]). Certainly, in many applications a detector operating with gas gain may offer much higher sensitivity than ionization chambers. One of our projects was to develop a detector of dangerous gases based on the RETGEM. A set up to study of a prototype of the RETGEM-based sensor of dangerous gases is shown in Fig. 18. It consist of a UV lamp, a testing gas chamber inside which a RETGEM detector was installed (a single- stage RETGEM and a drift mesh ~1cm above it), a pump system and a vessel filled with a liquid, the vapours of which the RETGEM is supposed to detect. The vessel was installed in a cryostat allowing to cool it in the range 300- 78K. This allows to introduce into the pumped testing chamber vapours of various liquids (ethylferrocene, benzene, tolu ene and so on) at a given \ntemperature. For most of the liquids, the vapour pressure vs. the temperature is well known and thus we could introduce into the chamber a well known partial pressure of these liquids. \n \n \nFig. 18 . A schematic drawing of the experimental set up for comparative \nstudies of a conventional photoionization detector (i onization chamber) with a \nRETGEM- based detector of dangerous gases \n \n \n \n-8-6-4-20246\n-1000 -500 0 500 1000\nVoltage (V)Current (pA)\n \nFig.19. Photocurrent vs. the voltage between the drift mesh and the top \nRETGEM electrode in the case of the pr esence in air 1.3 ppm of ethylferrocene \nvapours \n0.11101001000\n0 500 1000 1500 2000 2500\nVoltage (V)Current (pA)1\n23\n \n \nFig. 20. Current measured from the RETGEM bottom electrode as function of \nthe voltage across the RETGEM (The voltage drop between the drift mesh and the RETGEM top electrode was kept1kV) in the vase of 1.3 ppm (curve 1) and \n0.1 ppm of ethylferrocene in air. Curve 3 shows results of measurements in ionization chamber mode (see Fig. 19) \n0.1110100\n0 1000 2000 3000 4000\nVoltage (V)Signal amplitude (V)20 ppm2 ppm\n0.1 ppm\n \n \nFig. 21.Signal amplitudes from the R ETGEM operating in pulse detection \nmode (Pulsed Ar lamp) as function of the voltage drop across the RETGEM for various concentrations of benzene in air \n \nThe testing chamber was then filled with ambient air. The UV light from the lamp, entering into the gap between the drift mesh and the RETGEM ionize the vapours and create a photocurrent. The RETGEM detector can operate in two modes: as a conventional ionization chamber and as a multiplication structure. In the first case the photocurrent was \nmeasured as a function of the voltage applied between the drift mesh and the top electrode of the RETGEM. As an example \nFig. 19 shows the current-voltage (V-I) characteristics measured in air containing 1.3 ppm of ethylferrocene vapours. One can see that for both polarities of the voltage applied to the drift mesh the V-I curves are symmetrical and have clear \ncurrent plateaus (I\nsat) at high voltage. These results are very \ntypical for photoionization detectors operating in air in the ionization chamber mode. The limit of their sensitivity to the UV source is determined by the minimum value of the photocurrent which can be reliably detected with a compact microelectronic circuit (usually ~pA). In the second case we measured the current from th e bottom electrode of the \nRERGEM as a function of the voltage applied across its electrodes ΔV\nRETGEM at a constant voltage drop between the \ndrift mesh and the top electrode of the RETGEM. Some typical results obtained in this mode of operation are presented in the Fig. 20. One can see that the current incr eased with the \nΔV\nRETGEM and can finally exceed by one-two orders of \nmagnitude I sat. This allows to increase the sensitivity of the \nphotodetector. Indeed, as shown in Fig. 20, with multiplication \nit is possible to detect 0.1ppm of ethylferrocene vapours, a level difficult to detect in the ionization chamber mode. We also performed some tests with a pulsed UV lamp. The advantage of the pulsed mode of operation is its much higher sensitivity than in a current mode because of the short current \npulses which can be detected by a charge-sensitive amplifier. As an example Fig. 21 shows pulse amplitudes from the RETGEM (as a function of ΔV\nRETGEM ) for various partial \npressures of benzene down to 0.1 ppm. Note that this level of sensitivity is much higher than the limit typical limit of the commercially available photoionization detectors. We thus we consider this development very promising \nIV. \n CONCLUSIONS \n \n Ionization chambers working in ambient air in current detection mode are widely used in several applications such as smoke detection, dosimetry, therapeutic beam monitoring, dangerous gases detection and cetera [15-18]. In this work we demonstrated that hole-type stru ctures can operate in air with \ngas gains. High gain and reliability can be achieved with RETGEMs which suppress not only photon feedback, but ion feedback as well and are spark- protected. In this paper we \npresented a new improved design of the RETGEM having double layer resistive electrodes which gives the possibility to \nbuilding large-area, cost effectiv e detectors. We also described \nprototypes and results of successful tests of several practical devices exploiting the gas multiplication in air: alpha detector, detector of flames and detector of dangerous gases. The main advantage of the alpha detect or compared to commercial \ndevices is its long-range capability: the alpha tracks can be detected 4-6 cm (and may be even more in improved designs) apart from the surfaces emitting alpha sources. The last two \ndetectors, due to gas multiplication, have superior sensitivities to UV light than presently available commercial devices. \nV. ACKNOWLEDGMENTS \nWe would like to thank A. Braem, R. Oliveira, J. Van Beelen, \nand M. Van Stenis for their help through out this work \nVI. R\nEFERENCES \n \n[1] H. Sakurai, T. Tamura, S. Gunji, M. Noma, “A new type of proportional \ncounter using a capillary plate, “Nuc l. Instr. Meth., vol. A374, pp. 341-\n344, Febr. 1996. \n[2] F. Sauli,”GEM: a new concept for electron multiplication in gas \ndetectors”, Nucl. Instrum. and Methods, vol. A386, pp. 531-534, 1997 \n[3] L. Periale, V. Peskov, P. Carlson et al, “Detection of the primary \nscintillation light from dense Ar, Kr and Xe with novel photosensitive \ngaseous detectors, ” Nucl. Instru m. and Meth., vol. A478, pp. 377-383, \n2002. \n[4] J. Ostling, A. Brahme, M. Danilesson et al., “Study of hole-type gas \nmultiplication structures for portal imaging and other high count rate \napplications“, IEEE Trans. Nucl . Sci., vol. 50 (4), pp.809-819, Aug. \n2003. \n[5] C. Shalem, R. Chechik, A. Breskin et al., ”Advances in Thick GEM-like \ngaseous electron multiplier-Part I: atmospheric pressure operation”, Nucl. Instrum. and Meth., vol. A558, pp. 475-489, 2006. \n[6] V. Peskov, B. Baibussinove, S. Centro, A. Di Mauro et al., \n“Development and first test of GE M-like detectors with resistive \nelectrodes”, IEEE Trans. Nucl. Sci., vol. 54 (5), pp.1784-1791, Oct. \n2007. \n[7] B. Clark, A. Agocs, R. Oliveira, V. Peskov et al., ”Developments and \npreliminary tests of resisitive GEM manufactured by a screen printing \ntechnology”, arXiv:0708.2344,pp.1-14, Aug.2007 \n[8] R. Oliveira, V. Peskov, F. Pietropaolo, P. Picchi, ”First tests of thick \nGEM with electrodes made of resisitive kapton”, arXiv:physocs/0701154, pp.1-10, Jan. 2007 \n[9] H. Raether, “Electron avalan ches and breakdown in gases”, \nButterworths, pp.1-200, 1964 \n[10] H. Massey, “Negative ions,” Cambridge Univ. Press,pp.1-324 , 1976 \n[11] A. Di Mauro, B. Lund-Jensen, P. Martinengo, E. Nappi et al., \n“Development of innovative micropa ttern gaseous detectors with \nresistive electrodes and first results of their applications”, Nucl. Instr. \nMeth., vol. A581, pp. 225-231, Oct. 2007. \n[12] P. Carlson, T. Francke, B. Lund-Jensen, V. Peskov, “Gaseous \nphotomultipliers with solid photocathode s for the detection of sparks, \nflames and dangerous gases”, Nucl. Instr. and Meth., vol. A505, pp. 207-\n210, June 2003. \n[13] A. Braem, G. De Cataldo, M. Davenport, A. Di Mauro et al., “The \ncurrent progress of the ALICE Ring Imaging Cherenkov Detector,” Nucl. Instr. and Meth., vol. A580, pp. 1144-1147, Oct. 2007. \n[14] V. Peskov et al., Presentation at the International RICH Conf., Trieste, \nOct.2007; http://indico.cern.ch/getFile.py/ access?contribId=52& sessionId=17&resI\nd=3&materialId=slides&confId=15518 \n[15] http://www.uic.com.au/nip35.htm \n[16] http://www.shsu.edu/~chemistry/PID/PID.html \n[17] L. Buermann, G. Hilgers, „Significant discrepancies in air kerma rates \nmeasured with free-air and cavity i onization chamber, Nucl. Instr. and \nMeth., vol. A580, pp. 477-480, Sept. 2007. \n[18]\n S.I. Potashev, S.V. Akulinichev, Y.M. Burmistov et al., “A thin-walled \nmultichannel air ionization chamber,” Instr. and Exper. Techn., 47(4), \npp.516-520, Apr. 2004 \n " }, { "title": "1811.04128v1.A_Detailed_Model_of_the_Irish_High_Voltage_Power_Network_for_Simulating_GICs.pdf", "content": "DRAFT\nSPACE WEATHER, VOL. ???, XXXX, DOI:10.1002/,\nA Detailed Model of the Irish High Voltage Power Network for\nSimulating GICs\nSe\u0013 an P. Blake,1,2*Peter T. Gallagher,1y, Joan Campany\u0012 a,1yColin Hogg2, Ciar\u0013 an\nD. Beggan3, Alan W.P. Thomson3, Gemma S. Richardson3, David Bell4\nKey Points.\n\u000eA detailed model of the Irish 400, 275, 220 and 110 kV power network was developed\nfor GIC simulations.\n\u000eThe impact of assumptions used to construct a power network model were evaluated\nfor GIC simulations.\n\u000eHeavy rainfall before a 2015 geomagnetic storm may have decreased the grounding\nresistance at a substation, leading to larger GICs.\nAbstract.\nConstructing a power network model for geomagnetically induced current (GIC) calcu-\nlations requires information on the DC resistances of elements within a network. This\ninformation is often not known, and power network models are simpli\fed as a result, with\nassumptions used for network element resistances. Ireland's relatively small, isolated net-\nwork presents an opportunity to model a complete power network in detail, using as much\nreal-world information as possible. A complete model of the Irish 400, 275, 220 and 110\nkV network was made for GIC calculations, with detailed information on the number,\ntype and DC resistances of transformers. The measured grounding resistances at a num-\nber of substations were also included in the model, which represents a considerable im-\nprovement on previous models of the Irish power network for GIC calculations. Sensi-\ntivity tests were performed to show how calculated GIC amplitudes are a\u000bected by dif-\nferent aspects of the model. These tests investigated: (1) How the orientation of a uni-\nform electric \feld a\u000bects GICs. (2) The e\u000bect of including/omitting lower-voltage ele-\nments of the power network. (3) How the substation grounding resistances assumptions\na\u000bected GIC values. It was found that changing the grounding resistance value had a\nconsiderable e\u000bect on calculated GICs at some substations, and no discernible e\u000bect at\nothers. Finally, \fve recent geomagnetic storm events were simulated in the network. It\nwas found that heavy rainfall prior to the 26-28 August 2015 geomagnetic storm event\nmay have had a measurable impact on measured GIC amplitudes at a 400/220 kV trans-\nformer ground. Accepted for publication in AGU Space Weather. Copyright 2018\nAmerican Geophysical Union. DOI:10.1029/2018SW001926\n1. Introduction\nGeomagnetically induced currents (GICs) are one of the\nmost disruptive and damaging space weather hazards. Vari-\nations in the Earth's magnetic \feld induce these electrical\ncurrents in grounded conductors such as railways [ Eroshenko\n1School of Physics, Trinity College Dublin, Dublin 2,\nIreland\n2Dublin Institute for Advanced Studies, 5 Merrion\nSquare, Dublin 2, Ireland\n3British Geological Survey, Lyell Centre, Riccarton,\nEdinburgh, EH14 4AP, UK\n4EirGrid Plc., The Oval, 160 Shelbourne Rd, Ballsbridge,\nDublin 4, Ireland\n*Current address: NASA Goddard Space Flight Center,\nSpace Weather Laboratory, Greenbelt, Maryland, USA\nyCurrent address: Astronomy and Astrophysics Section,\nSchool of Cosmic Physics, Dublin Institute for Advanced\nStudies, Dublin 2, Ireland\nCopyright 2022 by the American Geophysical Union.et al. , 2010], pipelines [ Pulkkinen et al. , 2001], and particu-\nlarly in power networks [ Pirjola , 2000]. GICs that arise dur-\ning geomagnetic storm events can lead to transformer dam-\nage and widespread disruption to the network. The most\nfamous example of the threat posed by GICs to power net-\nworks is the March 1989 geomagnetic storm, when GICs and\ntheir e\u000bects precipitated a blackout in the Hydro-Qu\u0013 ebec\ntransmission [ Bolduc , 2002].\nThe potential for damage to power networks has\nprompted studies of GICs around the world. It has long been\nrecognised that the larger magnetic variations at higher lat-\nitudes drive larger GIC events, and studies have been been\nconducted in countries such as Finland [ Viljanen & Pirjola ,\n1994], Sweden [ Wik et al. , 2008], Norway [ Myllys et al. , 2014]\nand Canada [ Boteler et al. , 1989]. It is now known that\nGICs can contribute to the failure of transformers in low-\nlatitude and mid-latitude countries through repeated heat-\ning of transformer insulation [ Koen & Gaunt , 2003; Gaunt\n& Coetzee , 2007]. GICs can cause wear on transformers,\nleading to reduced e\u000eciency and possible failure months af-\nter geomagnetic events, even if typical geomagnetic varia-\ntions are small. GICs have been studied in power networks\nin lower latitude countries such as Austria [ Bailey et al. ,\n2017], Spain [ Torta et al. , 2014, 2017], China [ Zhang et al. ,\n1arXiv:1811.04128v1 [physics.space-ph] 9 Nov 2018DRAFT\nX - 2 BLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL\n2015; Guo et al. , 2015], New Zealand, Australia [ Marshall et\nal., 2013], South Africa [ Ngwira et al. , 2011], Ireland [ Blake\net al. , 2016], the UK [ Beggan et al. , 2013; Kelly et al. , 2017],\nand Brazil [ Barbosa et al. , 2017], among others.\nThe simplest way to study GICs in a network is to mea-\nsure GICs as they \row to and from transformer grounds.\nThis can be achieved using a Hall e\u000bect probe attached to a\ntransformer ground. Ideally, every grounded point in a net-\nwork would have a Hall e\u000bect probe for full GIC resolution.\nIn practice, Hall e\u000bect probes can be expensive and disrup-\ntive to install on a transformer, and many countries have a\nlimited number of Hall e\u000bect probes to measure GICs. A\nnotable exception to this is New Zealand, which has tens of\nmeasurements which have been recording for several years\n[MacManus et al. , 2017]. GICs can also be measured by uti-\nlizing the di\u000berential magnetometer method [ Matandirotya\net al. , 2016], where magnetometers measure the magnetic\nsignal of GICs beneath transmission lines. Another indi-\nrect measure of GICs is to examine the chemical composi-\ntion of gas in transformer housing [ Gaunt , 2014]. As the\ntransformer is repeatedly heated from GICs, this chemical\ncomposition will change with time.\nWhere GIC measurements are limited to a few transform-\ners (or none at all), GICs can be simulated in a network.\nThese estimations are commonly separated into two distinct\nparts: the geophysical step, and the engineering step [ Pir-\njola, 2000]. The geophysical step involves calculating surface\nhorizontal electric \felds induced by the varying geomag-\nnetic \feld. The magnitude of the induced surface electric\n\felds depends on the resistivity of the subsurface geology\n[Wei et al. , 2013; Pulkkinen et al. , 2012]. Di\u000berent methods\nof calculating surface electric \felds are used for GIC cal-\nculation, including the simple plane-wave method [ Pirjola ,\n2001], the multi-dimensional magnetotelluric (MT) method\n[Bedrosian & Love , 2015; Love et al. , 2015; Torta et al. ,\n2017] and the thin-sheet method [ Bailey et al. , 2017; Thom-\nson et al. , 2005].\nOnce the surface electric \feld is calculated, GICs in a\ngrounded power network can be calculated (the engineer-\ning step). Accurately modelling a power network for GIC\ncalculations requires knowledge of di\u000berent components of\nthe network. These include the transformer types in sub-\nstations, the DC resistances of the windings in these trans-\nformers, the DC resistance of connections between substa-\ntions, and substation grounding resistances [ Boteler & Pir-\njola, 2016]. Using this information, a model of a power net-\nwork can be constructed. This can then be imposed upon\nsurface electric \feld values, and GICs can be calculated for\neach grounded point.\nFrequently, researchers do not have access to information\non the elements of a power network for GIC modelling. As\nsuch, estimations of substation grounding and transformer\nwinding resistances are often made for some or all of a power\nnetwork [ Myllys et al. , 2014; Torta et al. , 2014; Blake et al. ,\n2016]. In addition, studies often focus on the power network\nof a particular country in isolation, whereas in reality, most\ncountries have interconnected power networks. The points\nof interconnection provide a route for GIC to \row between\npower networks, and so must be modelled for GIC calcu-\nlations. Equivalent circuits can be constructed to approxi-\nmate the connection between two di\u000berent power networks\n[Boteler & Pirjola , 2016]. Finally, when a power network\nis modelled, lower voltage regimes within the network are\noften omitted. High voltage transmission lines tend to be\nlonger and have lower resistances than lower voltage lines,\nmeaning they are more likely to experience the largest GIC\nvalues. Studies have shown that neglecting the lower voltage\nportion of a network can signi\fcantly change the calculated\nGIC in a network [ Torta et al. , 2014; Guo et al. , 2015].\nIreland's relatively small network presents an opportunity\nto model a stand-alone power network while making mini-\nmal assumptions about the DC characteristics of its compo-\nnents. Apart from two high voltage DC (HVDC) lines which\nconnect it to Wales and Scotland, the integrated power net-\nwork in Ireland and Northern Ireland is isolated from otherpower networks, and can be modelled without approximat-\ning adjacent power networks. In this paper, we present a\ndetailed model of the Irish power grid for GIC calculations.\nThis improved model includes 400, 275, 220 and 110 kV lines\nand substations, and models the number and type of trans-\nformers in substations that operate at 220 kV or higher.\nInformation on the grounding resistances was provided by\nEirGrid (Ireland's power network operator) for a limited\nnumber of substations, and Tee-junction connections were\nused to more accurately model GICs. A number of di\u000berent\nsensitivity tests were performed on the power network model\nto investigate how the assumptions used in its construction\na\u000bect calculated GIC values. Finally, GICs were calculated\nin the network for \fve minor geomagnetic events, and the\nvalues were compared to GIC values measured at a single\ntransformer in Ireland.\n2. Modelling GICs in a Power Network\nWhile power network operators often use commercially\navailable power system analysis tools to analyse GICs,\nthe most commonly used approach to modelling GICs in\nacademia is the Lehtinen-Pirjola (LP) method [ Lehtinen &\nPirjola , 1985]. This approach treats a subject power grid\nas a discretely earthed network, and applies Ohm and Kir-\ncho\u000b's Laws in order to calculate induced currents. As\nFigure 1. A 220/110 kV substation created by the\npower network model generator. This substation has one\nauto-transformer, and three YY-transformers connected\nin parallel. The autotransformer has one internal connec-\ntion which has the resistance of the HV winding. Each\nof the YY-transformers has two connections with resis-\ntances set to the HV and LV winding resistances. The re-\nsistances of the substation lines between buses and nodes\nare set to be in\fnitesimally small.DRAFT\nBLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL X - 3\nGICs are driven by magnetic \feld variations with frequen-\ncies<1 Hz, it is appropriate to treat GICs as DC [ Boteler\n& Pirjola , 2016]. The LP method allows for the modelling\nof any power network, so long as the following information\nabout the network is known (or estimated): positions of sub-\nstations, types and numbers of transformers in substations,\ntransformer winding resistances, substation grounding resis-\ntances, connections between substations and the resistances\nof these connections.\nThis information can be used to solve the following for\nGICs in a network:\nI= (1+YZ)\u00001J (1)\nwhere Iis the matrix of GIC values \rowing through\nearthed nodes (transformers), 1is the unit matrix, Yis\nthe network admittance matrix (de\fned by the resistances of\nthe conductors of the network), Zis the earthing impedance\nmatrix and Jis the `perfect earthing' current, de\fned as:\nJi=X\nj6=iVij\nRij(2)\nwhere VijandRijrefer to the geo-voltages and line resis-\ntances between two nodes iandj[Beggan , 2015]. AC power\nnetworks utilize three phase power lines. In order to sim-\nplify the calculation of GICs in these lines, the parallel paths\nof each phase can be used to calculate an equivalent circuit\nfor GIC calculation [ Boteler & Pirjola , 2016]. In practical\nterms, this involves dividing line and transformer winding\nresistances by three. In most transmission networks, there\nare di\u000berent voltage levels which can be modelled. The \row\nof GICs in a network of multiple voltages will be through the\nwindings of the transformers at each substation. The type\nof transformer determines that nature of the path for \row of\nGICs. Boteler & Pirjola [2014] outlines how two-winding\n(or `YY') and auto-transformers can be treated in a power\nnetwork model in order to more accurately simulate GICs\nwith the LP method.\nBy including virtual nodes (nodes with in\fnitely large\ngrounding resistances) at the neutral points of transform-\ners, YY and auto-transformers can be modelled without\nintroducing non-zero o\u000b-diagonal elements in the earthing\nimpedance matrix Z[Pirjola , 2005]. For auto-transformers,\na single virtual node is placed at the high-voltage connection\npoint of the transformer. For a YY-transformer, a node is\nplaced at both the high and low-voltage connection points\nof the transformer.\nThere are two challenging aspects to modelling a power\nnetwork for GIC calculations when utilizing the LP method.\nThe \frst is collating the information regarding the power\nnetwork. As power networks typically have hundreds of sub-\nstations, this can be a time-consuming task to gather DC\ncharacteristics of a power network (particularly if this in-\nformation is not digitised). Assuming one can collect this\ninformation, the second challenging task is constructing the\nnetwork model so that it can be used to calculate GICs\nwith the LP method in the manner outlined above. This\nprocess was automated using an open-source Python pro-\ngram (https://doi.org/10.5281/zenodo.1252432). This pro-\ngram takes as inputs the collated information on a power\nnetwork, and outputs a model that can be used with the\nLP method of GIC calculation. The program can take into\naccount the di\u000berent transformer types listed above, mul-\ntiple transformers per substation and dual-circuit connec-\ntions between substations. An example of how the program\nhandles a substation with multiple transformers is shown in\nFigure 1. This shows a 220/110 kV substation with four\ntransformers connected in parallel (one auto and three YY-\ntransformers). They are each connected to high and lowvoltage buses, which connect to other 220 and 110 kV sub-\nstations respectively. The \flled circles are connected to a\ncommon ground, and it is here that the GIC are calculated.\nEach of the un\flled circles are the virtual nodes with in\f-\nnite grounding resistances. The connections between nodes\nwere given resistances equal to the winding resistances of\nthe transformers.\nFigure 2. GIC values given in Horton et al. [2012] com-\npared to GIC values calculated using the power network\nmodel generator.\nFigure 3. Model of the Irish HV power network. This\nmodel includes 400, 275, 220 and 110 kV substations and\nlines. Ireland's only Hall E\u000bect GIC monitor is located\nat the 400/220 kV Woodland substation.DRAFT\nX - 4 BLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL\nIn order to verify that the program was accurately cre-\nating power network models for given transformer and con-\nnection information, the test-case 500 and 345 kV power\nnetwork featured in Horton et al. [2012] was used as an in-\nput to the network model generator program. This network\nconsists of eight substations with a total of 15 auto and YY-\ntransformers. Also included in this model is a GIC blocking\ndevice at one substation, and some instances of multiple\nparallel connections between substations. This information\nwas fed into the model generator, and the output model\nwas subjected to a 1 V km\u00001electric \feld. The resulting\nGICs calculated at each of the substations were compared\nto those values calculated in Horton et al. [2012]. Figure 2\nshows the comparison between the GIC values given in the\npaper and those calculated using the power network model\ngenerator. The di\u000berences between the calculated GIC and\ntheHorton et al. [2012] model are on the order of 0.01 A. As\nthe di\u000berences in calculated GIC values were small given the\namplitude of modelled GICs, the power network model gen-\nerator was used to create a model of the Irish power network\nusing all available information provided by EirGrid.\n3. Constructing a Detailed Model of The\nIrish Power Network\nThe Irish power network consists of approximately 270\nsubstations and 6,400 km of 400, 275, 220 and 110 kV trans-\nmission lines in both countries of Ireland and Northern Ire-\nland. The all-island power network is isolated from other\npower networks (except via two HVDC connections), so it\ncan therefore be considered as a whole without approximat-\ning peripheral power networks. Ireland's small size (approx-\nimately 500 \u0002300 km) and population means that it re-\nquires fewer high-voltage lines and substations than other\nlarger countries. As such, Ireland has only four 400 kV\nsubstations, and three 400 kV transmission lines, running\nroughly West-East. The next highest voltage lines (275 kV)\noperate only in Northern Ireland, and 220 kV substations\noperate only in the Republic of Ireland. 110 kV substations\nand lines operate on all parts of the island of Ireland, and in\nlow population density areas (such as the North West and\nWest), 110 kV lines are the only high voltage (HV) trans-\nmission lines in operation. The power network can be seen\nin Figure 3.\nThe Irish power network model used in Blake et al. [2016]\ndid not include 110 kV substations and transmission lines.\nIn addition, it assumed a single transformer per substation,\nas well as resistance values for both transformer windings\nand substation grounds (0.5 \n and 0.1 \n respectively). This\nmodel has been improved upon to include the following: (1)\nThe correct number and type of transformers in substations\nwhich operated at 220 kV or higher. (2) The DC resistances\nof the high and low voltage windings in these transformers.\nThese values ranged from 0.04 to 0.68 \n. (3) The substa-\ntion grounding resistance measured at 33 substations across\nIreland. These values ranged from 0.25 to 6.35 \n. The re-\nmaining 237 substations were given grounding resistances\n\fxed at 1 \n. This value was chosen as it is the value that\nEirGrid aim to maintain at their substations for operational\nsafety. It is worth noting that these values are consider-\nably larger than the 0.1 \n typically used in studies when\ntrue grounding resistances are unknown. (4) DC resistances\nfor all transmission lines (including instances where multiple\nconnections exist between substations). (5) A number of T-\njunctions in the network (modelled as nodes with in\fnitely\nlarge grounding resistances).\nEach substation that operates at 110 kV was assumed\nto have a single transformer with a winding resistance of\n0.087 \n. This value was chosen as it was a representativeresistance value of the LV windings in the 220/110 kV trans-\nformers. All of this information was used as inputs for the\npower network model generator, and the output model was\nused for GIC calculations. Of all of the substations in the\nnetwork, Louth is the only substation with transformers op-\nerating at three di\u000berent voltages(275, 220 and 110 kV).\nThis was therefore modelled as two separate substations\n(275/220 and 220/110 kV) with a shared grounding resis-\ntance value. For the purpose of analysis, GICs calculated at\nthe two substations were summed.\nFigure 4. Response of the Irish power network model to\nuniform 1 V km\u00001electric \felds pointing North and East.\nThe model saw peak GICs of 113 A in the 400/220 kV\nMoneypoint substation in the West of Ireland. The bot-\ntom plot shows the calculated GIC for each substation.\nThe dashed lines separate (from left to right) the 400,\n275, 220 and 110 kV substations. These are ordered al-\nphabetically within each voltage division.\nFigure 5. Maximum positive GIC calculated for each\nsubstation when a uniform 1 V km\u00001electric \feld is ro-\ntated 360\u000efrom North. In each sub\fgure, angle corre-\nsponds to direction of electric \feld, and length of each\nsegment is the maximum current. The red, blue, green\nand grey segments correspond to the 400, 275, 220 and\n110 kV substations respectively. GICs of 122 A were cal-\nculated at the Moneypoint substation.DRAFT\nBLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL X - 5\n4. Sensitivity Tests\nOnce a network model has been created, it is informative\nto subject it to idealised geoelectric \felds and subsequently\ncalculate GIC values at the model nodes. This will give an\nindication as to which substations will see larger GIC am-\nplitudes due solely to the orientation of a network. This\nexercise has been used on a number of di\u000berent network\nmodels in di\u000berent studies [ Horton et al. , 2012; Myllys et\nal., 2014; Torta et al. , 2014; Blake et al. , 2016].\n4.1. Electric Field Orientation\nUniform 1 V km\u00001electric \felds oriented North and East\nwere applied to the model network, and resulting GICs were\ncalculated at each of the nodes. The calculated GICs for\neach substation can be found in Figure 4. The Moneypoint\nsubstation (substation number 2 in \fgures below) had the\nlargest calculated GICs, with a maximum of 114 A for an\neastward directed electric \feld. The large GIC values in this\nsubstation are to be expected, given that it is connected to\ntwo of the largest transmission lines in the country. The\nsubstation that experienced the next largest GIC was the\n275/220 kV Louth substation, which connects the HV net-\nworks in the Republic and Northern Ireland. GICs were cal-\nculated at 38 A when the uniform electric \feld was oriented\nnorthward. Only a single 110 kV substation had calculated\nGIC values greater than 25 A. This was Tralee in the South-\nWest, with calculated GICs of 28 A for an eastward directed\n\feld.\nFigure 5 shows the maximum positive calculated GIC\nvalue at each substation when the 1 V km\u00001is rotated 360\u000e.\nMoneypoint again had the largest calculated GIC values,\nwith 122 A when the electric \feld points 69\u000eclockwise from\nNorth. With the exception of Tralee, it can be seen that the\n275 and 220 kV substations experience marginally larger\nGICs than the 110 kV substations. With the exception of\nMoneypoint, Ireland has relatively low calculated GIC val-\nues for a 1 V km\u00001electric \feld when compared to other\ncountries [ Myllys et al. , 2014; Torta et al. , 2014]. This is\nlikely due to a combination of factors. The network's small\nFigure 6. Calculated GICs for di\u000berent voltage levels\nin the Irish network. The top and bottom plots are for\nnorthward and eastward electric \felds respectively. The\ndashed lines separate (from left to right) the 400, 275 and\n220 kV substations.size limits the length of the largest transmission lines in Ire-\nland to less than 200 km. With the addition of the 110 kV\nsubstations, Ireland has approximately one grounded trans-\nformer for every 220 km2, providing many grounded points\nin the network for GICs to \row to and from the ground.\nAdditionally, as noted above, the grounding resistance val-\nues used in the model are larger than those used in other\nstudies. This will also limit calculated GIC amplitudes.\n4.2. Including Lower Voltage Elements\nAs shown in Guo et al. [2015] and Torta et al. [2014],\nneglecting lower-voltage elements in a power network can\nFigure 7. Proportional di\u000berence in calculated GICs\nwhen the 110 kV elements are added to the Irish power\nnetwork model. The top and bottom plots are for north-\nward and eastward directed electric \felds respectively.\nThe dashed lines separate (from left to right) the 400,\n275 and 220 kV substations.\nFigure 8. Locations of substations with measured\ngrounding resistances. These values range from 0.25{\n6.35 \n. The remaining substations were set at 1 \n.DRAFT\nX - 6 BLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL\nFigure 9. The GIC response of substations due to a uniform 1 V km\u00001electric \feld when the grounding\nresistance assumption of 1 \n is altered. Sub\fgure (A) shows the response of those substations whose\ngrounding resistances are unknown, sub\fgure (B) shows the response of the 33 substations with known\nand \fxed grounding resistances. The bottom plots show the average GIC per substation as the grounding\nresistance assumption is altered.\nFigure 10. Maximum variations in calculated GICs for di\u000berent grounding resistance assumption. The\ngrounding resistances were varied from 0.25{7 \n. Red circles are for substations with known grounds,\nand black are for those with assumed grounding resistances.\nhave a signi\fcant impact on calculated GICs, although this\nis highly dependent on the makeup of an individual network.In order to investigate the e\u000bect including lower voltage ele-DRAFT\nBLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL X - 7\nments have on GIC calculations in the Irish power network,\nthree calculations were made for northward and eastward-\ndirected uniform electric \felds. In the \frst calculation, only\nthe 400 kV substations and lines were included in the power\nnetwork. After this, the model was altered to include the\n275 and 220 kV elements. Finally, the 110 kV substations\nand lines were included to complete the HV network model.\nFigure 6 shows the calculated GICs for each of these three\nnetwork models when a uniform 1 V km\u00001electric \feld is\nimposed on the network. When the 400 kV only model is\ncompared to the 400, 275 and 220 kV model, each of the\nthe 400 kV substations have smaller calculated GICs. The\nexception to this is the Moneypoint substation when the uni-\nform electric \feld is eastward-oriented. In this case, GICs at\nMoneypoint increased from 105 A to 127 A with the addition\nof the 275 and 220 kV elements of the network. Generally,\nfor each of the 400, 275 and 220 kV networks, the addition\nof the 110 kV network decreases the calculated GICs, as the\ncurrents are directed to and from the lower voltage subsa-\ntions. The ten substations which had the largest change in\nGICs amplitudes each saw reduced GICs. Each of these ten\nsubstations were connected to at least three 110 kV substa-\ntions (an exception to this is Moneypoint, which is connected\nonly to a single 220 kV substation). It is to be expected that\nsubstations most a\u000bected by the addition of the lower volt-\nages are connected to lower voltage substations.\nThe proportional changes in calculated GICs when the\n110 kV substations are added are shown in Figure 7. This\nshows that there was a general decrease in GIC although\nthere were increased GIC amplitudes in 17 substations. The\nlargest proportional increase occurs in the 220 kV Tarbert\nsubstation, increasing by 178% with a northward-directed\n\feld. Whilst this is quite a large proportional increase, in\nabsolute terms, the GICs at this substation increased from\n3.01 to 8.36 A. In the case of the Irish power network, with\nsome exceptions, omitting the lower voltage elements in the\nnetwork model would lead to overestimating the `true' GIC\nvalues in higher voltage substations, particularly at those\nsubstations with direct connections to the lower voltage el-\nements.\n4.3. Grounding Resistances\nThe locations of the 33 substations which have known\ngrounding resistances are shown in Figure 8. All other\nsubstations in the power network model were assumed to\nhave a grounding resistance of 1 \n. When compared to\nthe mean three-phase resistances for the transmission lines\nand individual transformer windings in the network (0.73 \nand 0.062 \n respectively), this large grounding resistance\nassumption will be an important factor in determining the\ndistribution of GICs in the power network. In order to in-\nvestigate how the assumed grounding resistance value a\u000bects\nGIC calculations, the 1 \n assumption was varied from 0.25\nto 7 \n in 0.25 \n increments. For each grounding resistance\nvalue chosen, a uniform 1 V km\u00001electric \feld was applied\nto the network, and resulting GICs were calculated. Figure 9\nshows the calculated GICs at the substations with assumed\nand varying (sub\fgure A) and known and \fxed (sub\fgure\nB) grounding resistances.\nFigure 9 shows from top to bottom: the GIC calculated\nat each substation for a varying grounding resistance value,\nthe variation in GIC for each substation (maximum calcu-\nlated GIC minus minimum calculated GIC) for the simula-\ntions and assumed grounding resistance value against aver-\nage GIC per substation. As can be expected, varying the\ngrounding resistance assumption from 0.25 \n up to 7 \ngives greatly di\u000berent GIC values at many of the substa-\ntions. This is not true for all substations however, with GIC\namplitudes varying by only a small amount at some sub-\nstations. The Moneypoint substation is an example of one\nof the substations with a known grounding resistance value\nof 0.25 \n. Varying the grounding resistance of the other\nsubstations in the network changed the calculated GIC in\nMoneypoint by only 0.7 A.The variations in GIC amplitudes ranged from approxi-\nmately 0 to 30 A for both groups of substations. This shows\nthat the grounding resistance at a substation can be an im-\nportant factor in GIC calculation, but the impact it has\ndepends on the substation in question, and its connections\nto other substations. Generally, the larger the grounding\nresistance assumption, the smaller the GICs in the substa-\ntions with unknown grounds. The inverse of this is true at\nthe 33 substations with \fxed grounds. By assuming large\ngrounding resistances for the majority of the network, those\nsubstations with \fxed values become more viable routes for\nGICs to \row to and from the ground.\nFigure 10 shows the the maximum calculated variation for\neach substation for northward and eastward directed elec-\ntric \felds. The red circles indicate substations with known,\n\fxed grounding resistances, and the black circles indicate\nthose substations whose grounding resistance was varied.\nFigure 10 shows that the orientation of the electric \feld will\nalso determine how much of an e\u000bect varying the grounding\nresistance will have on calculated GICs: some substations\nshow large variations with northerly electric \felds, but not\nwith easterly electric \felds, and vice versa.\n5. Modelling GICs During Recent Geomagnetic\nStorms\nIn mid-2015, a Hall E\u000bect probe was installed on a trans-\nformer ground in the 400/220 kV Woodland substation in\nthe East of Ireland. Since then, the device has been record-\ning continuously, and has been operational for a number of\nminor geomagnetic storm events. Five of these events were\nused to simulate GICs in the complete HV power network\ndescribed above. These events are the 26-28 August 2015,\n07-08 September 2015, 07-08 October 2015, 20-21 December\n2015, and the 06-07 March 2016 storms. The planetary K\n(Kp) and disturbed storm time (Dst) indices for each event\nare given in Table 1.\nFor each event, magnetic data were collected from the IN-\nTERMAGNET and MagIE [ Blake et al. , 2016] observatory\nnetworks around Ireland, Britain and Europe. These data\nwere interpolated across Ireland using the spherical elemen-\ntary current system method [ Amm & Viljanen , 1999]. A\nuniform 400 \nm ground resistivity model was used with the\nmagnetotelluric method to calculate surface electric \felds\nacross Ireland. Finally, the model of the complete power net-\nwork was overlaid on the calculated surface electric \felds,\nand GICs were calculated. The calculated values at the\nWoodland transformer were then compared to the measured\nGIC values. The measured and calculated GIC values at the\nWoodland transformer for each of the \fve events are shown\nin Figure 11.\nThree goodness-of-\ft measures were selected to quantify\nthe \ft of the calculated GIC to the measured GICs. These\nare the root mean squared error (RMSD), the Torta et al.\n[2014] de\fned performance parameter ( P), and Pearson's\ncorrelation coe\u000ecient ( R). The goodness-of-\ft measures for\neach of the events are shown in Table 1. Generally, the mea-\nsured GICs during each of the storm events were modelled\nreasonably well using the 400 \nm resistivity model and the\ndetailed power network model, although di\u000berent events are\nmodelled to a di\u000berent degree of accuracy. Of the \fve events,\nthe worst-\ft was the 07-08 September 2015 event, with the\nhighest RMSD and lowest Rvalue. The other four events\nhad correlation coe\u000ecients which ranged from 0.59 to 0.68.\nWhile the detailed power network model was able to repli-\ncate GICs with a reasonable level of accuracy for \fve smallDRAFT\nX - 8 BLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL\nFigure 11. Measured and calculated GIC at a transformer in the 400/220 kV Woodland substation\nfor \fve recent storm events. The GICs were driven by electric \felds calculated using a uniform 400 \nm\nresistivity model.\nTable 1. Goodness of \ft measures for the calculated and measured GICs at Woodland for \fve recent geomagnetic\nstorm events. These are the root-mean-square-di\u000berence (RMSD), the Torta et al. [2014] de\fned performance pa-\nrameter ( P), and Pearson's correlation coe\u000ecient ( R), where subscripts oandcrefer to the observed and calculated\nGIC values. Kp and Dst values for each storm were taken from http://wdc.kugi.kyoto-u.ac.jp/.\nStorm Event Kp Dst (nT) RMSD oc(A) Poc Roc\n26-28 Aug. 2015 6+\u000090 0.127 0.224 0.685\n07-08 Sep. 2015 6+\u000070 0.128 0.090 0.425\n07-08 Oct. 2015 7+\u0000124 0.100 0.239 0.676\n20-21 Dec. 2015 7\u0000\u0000155 0.101 0.201 0.639\n06-07 Mar. 2016 6+\u000098 0.071 0.044 0.599\nevents, there are a number of caveats which must be taken\ninto account. Firstly, Ireland has only a single GIC probe at\na single transformer. Uncertainty exists around the calcu-\nlated GIC values in the rest of the power network. Secondly,\nthe GICs seen in Woodland since 2015 are all quite small.\nNo GIC values larger than 2 A have yet been measured in\nIreland. It is worth noting that the low measured GIC am-\nplitudes in the \fve events are approximately an order of\nmagnitude larger than the noise levels in the Hall E\u000bect\nprobe ( \u00060.1 A). The accuracy of the model for larger or his-\ntorical events (pre-2015) can only be estimated. Finally, the\n\fve geomagnetic events in this paper occur over a period of\neight months. During this time, it is possible that the net-\nwork may have had elements added or removed as part of\nits normal operation (either for repairs/maintenance or load\nbalancing), altering the \row of GICs in the network. Thisinformation was not available for modelling however, and\nthe same network model was used for all \fve events. This\nmay explain why some events are better modelled than oth-\ners.\n5.1. Soil Moisture Variation and GIC Amplitudes\nThe August 2015 event is of particular interest, as unlike\nthe other four simulated events, it has a high correlation co-\ne\u000ecient (0.68), but it underestimates the amplitude of GICs\nby a factor of approximately 2.5. The di\u000berence between\nthis event and the other four may be due to the variability\nof Woodland's ground resistance due to terrestrial weather.\nIf the sediment beneath a substation becomes sodden due\nto rainfall, it will become more conductive. This will reduce\nthe grounding resistance between the transformer neutrals\nand the ground, allowing larger GICs to enter the network.DRAFT\nBLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL X - 9\nFigure 12. Rainfall (top) and soil moisture de\fcit for a moderately drained soil sample (bottom) at the\nMet \u0013Eireann operated meteorological station 7 km from the Woodland substation. The times highlighted\nin red are the \fve geomagnetic storm events modelled in this paper. 60 mm of rain fell in the three days\nbefore the August 2015 geomagnetic storm event, and the soil sample at Dunsany was saturated for the\n\frst day of the geomagnetic storm event.\nFigure 13. Measured and calculated GIC at the Woodland transformer for di\u000berent grounding resis-\ntances (GR) for the August 2015 geomagnetic storm. Changing this value from 0.5 to 0.125 \n increases\nthe amplitude of the calculated GICs to better match the measured GICs.\nIn the \fve simulations, the Woodland substation was given\na grounding resistance of 0.5 \n. In reality, this may have\ndi\u000bered for each event, depending on the conductivity of the\nsubsurface at the time of the storm.\nMet \u0013Eireann, Ireland's meteorological service, operates\nthe Dunsany weather station 7 km from the Woodland sub-\nstation. At this weather station, rainfall measurements are\ntaken. In addition, daily soil moisture de\fcit (SMD) mea-\nsurements are taken for soil samples with di\u000berent drainage\ncharacteristics (classed as well, moderately or poorly drainedsoils). This measures the amount of rain needed to bring the\nsoil moisture content back to capacity. When the SMD is\nat a minimum measured value of \u000010 mm, the soil is con-\nsidered saturated. The measured daily rainfall and SMD\nin a moderately drained soil sample for the 5 events are\nshown in Figure 12. Of all of the periods of interest, August\n2015 had the most rainfall immediately before a geomag-\nnetic storm, with 60.2 mm of rain falling in the three days\nprior to the event. On average, Ireland experiences between\n2 and 2.7 mm of rainfall per day, and the heavy rainfall sat-DRAFT\nX - 10 BLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL\nurated the soil samples at Dunsany on 20 August 2015. The\nevent with the next largest amount of rainfall was the Oc-\ntober 2015 event, with 8.6 mm of rainfall in the three days\nbefore this event.\nIt is possible that heavy rainfall prior to the August 2015\nevent had a measurable e\u000bect on the galvanic connection be-\ntween the transformer grounds and the Earth. By reducing\nthe grounding resistance at Woodland from 0.5 \n to 0.125 \nin the power network model, the calculated GICs better\nmatch the larger peaks in the measured GIC timeseries for\nthe August 2015 event. This can be seen in Figure 13. De-\nspite better \ftting the larger peaks, the RMSD actually de-\ncreased from at 0.127 to 0.122 with the lower grounding\nresistance. This is due to the overestimation of GIC ampli-\ntudes towards the end of the event event. This may corre-\nspond to the ground draining by 21 August 2015 (Figure 12),\nincreasing the substation ground resistance value.\nWhile the above exercise suggests that rainfall may have\nhad some in\ruence on the magnitude of GICs in Woodland\nfor this event, a number of uncertainties exist. The mod-\nerately drained soil sample at the Dunsany weather station\nwas saturated at the start of the August event. The SMD\nof the soil at and around the Woodland substation is un-\nknown however, as is how the grounding resistance value\nmay change over time. For this simulation, only the ground-\ning resistance at the Woodland substation was changed. If\nrainfall had an e\u000bect on the grounding resistance at Wood-\nland, it is likely that it had an e\u000bect on other substations.\nThis was not factored in to the simulations, as GIC mea-\nsurements are limited only to Woodland. There may also\nhave been changes in the makeup of the network which could\naccount for the di\u000berences in the \fve di\u000berent storm simula-\ntions, and the rainfall may simply be coincidental. Whether\nor not the rainfall is the cause of the mismatch between the\nsimulated and measured GICs in this paper, it is reasonable\nto assume that grounding resistances at substations do not\nremain constant with varying soil moisture.\n6. Discussion and Conclusion\nThe entire HV power network operating in Ireland and\nNorthern Ireland was modelled for studying GICs. This\nmodel includes 400, 275, 220 and 110 kV substations and\ntransmission lines. Substations operating at 220 kV or\nhigher had the correct number, type and DC winding\nresistances of transformers modelled. Measured ground-\ning resistances were also used for 33 of the substations\nacross Ireland, with the remainder having assigned ground-\ning resistances of 1 \n. An open-source Python program\n(https://doi.org/10.5281/zenodo.1252432) was written to\nuse all of the available power network information and con-\nstruct a network model consistent with the Lehtinen & Pir-\njola [1985] method for calculating GICs. The resulting\npower network model for Ireland is an improvement on the\nsimpler model seen in Blake et al. [2016].\nThe improved power network model was subjected to uni-\nform 1 V km\u00001electric \felds in a number of sensitivity tests.\nIt was found that apart from a single 400 kV substation in\nthe West of Ireland (Moneypoint), no substation had calcu-\nlated GICs greater than 40 A. These are lower GIC ampli-\ntudes than seen for the same test in other European grids.\nThis is likely due to the network's small size and the ground\nresistance assumption of 1 \n.\nThis 1 \n assumption for substation grounding resistance\nwas then investigated using uniform electric \felds. It was\nfound that di\u000berent substations were a\u000bected to di\u000bering\ndegrees by the grounding resistance assumption. For some\nsubstations, calculated GIC amplitudes were barely a\u000bected\nwhen the grounding resistance assumption was changed. Inother substations, the grounding resistance was an impor-\ntant factor for calculated GIC amplitudes. Interestingly,\nthis was found to be true even for some substations that\nhad known, \fxed grounding resistance values. One such\nsubstation, Moneypoint, had calculated GIC that changed\nby only 0.7 A when the grounding resistances were changed\nin the rest of the network. In contrast, the calculated GIC\nat Rafeen, (another substation with a known grounding re-\nsistance) changed by 30 A with the grounding resistance\nassumption. The calculated GIC at Rafeen could be said\nto depend on the grounding resistance of the rest of the\nnetwork, whereas this is not the case for Moneypoint.\nIt is not immediately clear why this dependence on\ngrounding resistance exists for some substations in the net-\nwork but not for others. No simple relation was found be-\ntween the variability in GIC amplitudes (middle row, Fig-\nure 9) and the characteristics of the substation elements\nor connections to the rest of the network. By \fnding this\nrelation, or alternatively by performing the ground resis-\ntance simulation described in Setion 4.3 for a network, one\ncan identify substations for which the ground resistivity is\na particularly important factor for GIC generation. This\ncould help prioritise which substations should have empiri-\ncal ground resistances measured in the future.\nIt was also found that omitting lower-voltage elements of\nthe power network model (in this case 110 kV substations\nand lines) led to general overestimation of GIC amplitudes\nin the network model. Those substations which were most\na\u000bected by including the lower voltage elements were them-\nselves connected to lower voltage substations and lines.\nA comparison between the power network model in this\npaper and the model that appears in Blake et al. [2016] can\nbe made, allowing us to reassess the vulnerability of indi-\nvidual substations. In Blake et al. [2016], Moneypoint was\nassigned a grounding resistance of 0.1 \n, the same assumed\nvalue for every substation in that model. In the new network\nmodel, Moneypoint now has a grounding resistance value of\n0.25 \n, the lowest in the network. This gives a computed\nGIC of 114 A for an eastward 1 V km\u00001test electric \feld, a\nlarge increase compared to 41 A reported for Moneypoint in\nBlake et al. [2016]. As Moneypoint is Ireland's largest elec-\ntricity generating substation, these larger GIC estimates are\nnoteworthy.\nThe 275/220 kV Louth substation is an example of an-\nother substation which has to be reassessed with regards\nto GIC estimates. Previously, modest GICs were calcu-\nlated for the Louth substation, with a peak of 9 A for a\nnorthward-oriented 1 V km\u00001test electric \feld. With the\nlatest network model, the GIC calculated at the Louth sub-\nstation is now 38 A, second only to Moneypoint. Louth is\ntreated di\u000berently in a number of ways in the new network\nmodel. As the Louth substation is now known to operate\nwith three di\u000berent voltages, it is modelled as two separate\nbut spatially close substations (275/220 and 220/110 kV),\nwith a total of seven transformers. These transformers have\na lower average resistance than the single transformer used\nin the previous network model (0.17 \n versus 0.5 \n), which\nmay have contributed to the larger GICs, despite the larger\nground resistance value of 1 \n used in the new model. An-\nother di\u000berence is the number of connections to the Louth\nsubstation. With the addition of the 110 kV substations\nand lines, Louth now has 10 transmission line connections\nto other substations, whereas before it had only four. For\nan eastward directed \feld, the addition of the 110 kV ele-\nments in the network reduces the calculated GIC at Louth\nsigni\fcantly (see Figure 6), as is the general trend in the net-\nwork. For the case of a northward directed \feld however, the\nlower voltage elements slightly increase the calculated GIC\nat Louth. In terms of GICs, Louth is an important substa-\ntion as it is the only connection between 275 and 220 kV\nelements, and sees the second largest GICs in the network.DRAFT\nBLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL X - 11\nAlong with Moneypoint, Louth should be prioritised in fu-\nture studies on the Irish power network.\nThe updated model of the Irish power network was used\nto simulate GICs in the 400/220 kV Woodland substation\nfor \fve geomagnetic storm events that occurred between\n2015 and 2016. The measured GIC at Woodland was repli-\ncated with correlation coe\u000ecients ranging from 0.43 to 0.68\nfor the di\u000berent events. GICs simulated for the August 2015\nevent underestimated measured GICs at the Woodland sub-\nstation by a factor of 2.5, despite having the highest correla-\ntion coe\u000ecient of the events. In the three days prior to the\nAugust 2015 event, 60 mm of rain fell near to this substa-\ntion, saturating the ground. This heavy rainfall may have\nreduced the substation grounding resistance. A grounding\nresistance change from 0.5 \n to approximately 0.125 \n at\nWoodland would account for much of the discrepancy be-\ntween simulated and measured GIC peaks for this event.\nThis event indicates that terrestrial weather may be an ad-\nditional factor which can be taken into account for more\ncomplete GIC simulations.\nWhile the power network model has been greatly im-\nproved by incorporating as much information as was avail-\nable, assumptions were still made in order to make the model\ncomplete. Further information is required to make com-\nprehensive GIC calculations in the future. This includes\ndetails on the transformer resistances in the 110 kV sub-\nstations, and realtime information on the makeup of the\npower network (to account for elements being powered on\nand o\u000b due to maintenance). Substation grounding informa-\ntion is only known for the 33 of the 274 substations, and as\ndemonstrated, the assumed grounding resistance value can\ngreatly a\u000bect GIC calculations at individual substations. If\n(or when) this information becomes available in the future,\nit may be the case that our understanding of the vulnerabil-\nity of di\u000berent substations will change, as our understanding\nof the Louth and Moneypoint substations has changed with\nthe latest iteration of the network model.\nIn addition, the uniform 400 \nm Earth model used for the\ngeomagnetic storm event simulations is a signi\fcant simpli-\n\fcation. As shown in this study, this model was su\u000ecient\nfor replicating measured GIC values in the Woodland sub-\nstation. However, a uniform Earth model neglects both spa-\ntial variations in the surface electric \feld due to conductive\nvariation, as well as the sea or coastal e\u000bect in areas close\nto shorelines. These can each a\u000bect GIC values at substa-\ntions, and future GIC studies will take advantage of a full\n3D Earth model for Ireland from long-period MT measure-\nments. This future model is currently being developed as\npart of the Space Weather Electromagnetic Database for\nIreland (SWEMDI) project, funded by the Geological Sur-\nvey of Ireland.DRAFT\nX - 12 BLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL\nAcknowledgments. The results presented in this paper rely\non data collected at magnetic observatories. We thank the\nnational institutes that support them and INTERMAGNET\nfor promoting high standards of magnetic observatory practice\n(www.intermagnet.org). We also acknowledge Armagh Obser-\nvatory for hosting a magnetometer which contributed to this\nwork. Historical rainfall and soil moisture de\fcit data for the\nDunsany weather station was taken from Met \u0013Eireann's website\n(www.met.ie). Calculated and measured GICs for the events\nin this paper are given as supporting information. This re-\nsearch was funded by the Irish Research Council's Enterprise\nPartnership Scheme between Trinity College Dublin and Eir-\nGrid Plc. We thank the Irish Research Council for support for\nSe\u0013 an Blake and Joan Campany\u0012 a. This research bene\fted from a\nRoyal Society/Royal Irish Academy International Exchange grant\n(IE150685). Ciar\u0013 an Beggan, Gemma Richardson and Alan Thom-\nson were supported by Natural Environment Research Council\ngrant NE/P017231/1 'Space Weather Impacts on Ground-based\nSystems (SWIGS)'.\nReferences\nAmm, O.,Viljanen, A., (1999), Ionospheric disturbance magnetic\n\feld continuation from the ground to the ionosphere using\nspherical elementary current systems, Earth Planets Space ,51,\n431{440, https://doi.org/10.1186/BF03352247\nBailey, R.L., Halbedl, T.S., Schattauer, I., Romer, A., Achleit-\nner, G., Beggan, C.D., Wesztergom, V., Egli, R. and Leon-\nhardt, R., (2017), Modelling geomagnetically induced currents\nin midlatitude Central Europe using a thin-sheet approach,\nAnn. Geophys. ,35, 751{761, https://doi.org/10.5194/angeo-\n35-751-2017\nBarbosa, C.S., Caraballo, R., Alves, L.R., Hartmann, G.A., Beg-\ngan, C.D, Viljanen, A., Ngwira, C.M., Papa, A.R.R. and Pir-\njola, R.J., (2017), The Tsallis statistical distribution applied to\ngeomagnetically induced currents, Space Weather ,15, 1542{\n7390, https://doi.org/10.1002/2017SW001631\nBedrosian, P. and Love, J., (2015), Mapping geoelectric \felds\nduring magnetic storms: Synthetic analysis of empirical\nUnited States impedances, Space Weather ,42, 10,160{10,170,\nhttps://doi.org/10.1002/2015GL066636\nBeggan, C. D., Beamish, D., Richards, A., Kelly, G. S. and\nThomson, A. W. P, (2013), Prediction of extreme geomagnet-\nically induced currents in the UK high-voltage network, Space\nWeather ,11, 407{419, https://doi.org/10.1002/swe.20065\nBeggan, C. D.(2015), Sensitivity of geomagnetically in-\nduced currents to varying auroral electrojet and con-\nductivity models, Earth, Planets and Space ,67,\nhttps://doi.org/10.1186/s40623-014-0168-9\nBlake, S. P., Gallagher, P. T, McCauley, J., Jones, A. G.,\nHogg, C., Campany\u0012 a, J., Beggan, C. D., Thomson,\nA. W. P., Kelly, G. S. and Bell, D., (2016), Geomagnet-\nically Induced Currents in the Irish Power Network Dur-\ning Geomagnetic Storms, Space Weather ,14, 1136{1154\nhttps://doi.org/10.1002/2016SW001534\nBolduc, L., (2002), GIC observations and studies in the Hydro-\nQubec power system, J. Atmos. Sol.-Terr. Phys. ,64, 1793{\n1802, https://doi.org/10.1016/S1364-6826(02)00128-1\nBoteler, D. H., Shier, R.M., Watanabe, T. and Horita, R.E.,\n(1989), E\u000bects of Geomagnetically Induced Currents in the\nB.C. Hydro 500 kV System, IEEE Trans. Power Delivery ,4,\n818{823, https://doi.org/10.1109/61.19275\nBoteler, D. H.,Pirjola, R. J., (2014), Comparison of methods for\nmodelling geomagnetically induced currents, Ann. Geophys. ,\n32, 1177{1187, https://doi.org/10.5194/angeo-32-1177-2014\nBoteler, D.H. and Pirjola, R. (2016), Modeling Geo-\nmagnetically Induced Currents, Space Weather ,15,\nhttps://doi.org/10.1002/2016SW001499\nEroshenko, E.A., Belov, A.V., Boteler, D., Gaidash, S.P.,\nLobkov, S.L., Pirjola, R. and Trichtchenko, L., (2010),\nE\u000bects of strong geomagnetic storms on Northern rail-\nways in Russia, Adv. in Space Res. ,46, 1102{1110,\nhttps://doi.org/10.1016/j.asr.2010.05.017\nGaunt, C. T. and Coetzee, G, (2007), Transformer failures in re-\ngions incorrectly considered to have low GIC-risk, IEEE, Pow-\nerTech ,4, 27, https://doi.org/10.1109/PCT.2007.4538419Gaunt, C. T, (2014), Reducing uncertainty - responses for elec-\ntricity utilities to severe solar storms, J. Space Weather Space\nClim. ,4, 27, https://doi.org/10.1109/PCT.2007.4538419\nGuo, S.-X., Liu, L.-G., Pirjola, R.J., Wang, K.-R. and\nDong, B., (2015), Impact of the EHV Power System\non Geomagnetically Induced Currents in the UHV Power\nSystem, IEEE Trans. Power Delivery ,30, 2163{2170,\nhttps://doi.org/10.1109/TPWRD.2014.2381248\nHorton, R.,Boteler, D.,Overbye, T.J.,Pirjola, R.,Dugan, R.C.,\n(2012), A test case for the calculation of geomagnetically\ninduced currents, IEEE Trans. Power Del. ,27, 2368{2373,\nhttps://doi.org/10.1109/TPWRD.2012.2206407\nKelly, G., Viljanen, A., Beggan, C.D. and Thomson, A.W.P,\n(2017), Understanding GIC in the UK and French high-voltage\ntransmission systems during severe magnetic storms, Space\nWeather ,15, 99{114, https://doi.org/10.1002/2016SW001469\nKoen, J. and Gaunt, T., (2003), Geomagnetically In-\nduced Currents in the Southern African Electricity Trans-\nmission Network, IEEE Bologna PowerTech Conference ,\nhttps://doi.org/10.1109/PTC.2003.1304165\nLehtinen, M. and Pirjola, R. (1985), Currents produced in earthed\nconductor networks by geomagnetically-induced electric \felds,\nAnn. Geophys. ,3, 479{484\nLove, J., Joshua Rigler, E., Pulkkinen, A., and Riley, P.,\n(2015), On the lognormality of historical magnetic storm\nintensity statistics: Implications for extreme-event prob-\nabilities, Geophysical Research Letters ,42, 6544{6553 ,\nhttps://doi.org/10.1002/2015GL064842\nMacManus D. H., Rodger, C.J., Dalzell, M., Thomson, A.W.P,\nClilverd, M.A., Petersen, T., Wolf, M.M., Thomson, N.R.\nand T. Divett (2017), Long-term geomagnetically induced cur-\nrent observations in New Zealand: Earth return corrections\nand geomagnetic \feld driver, Space Weather ,15, 1542-7390 ,\nhttps://doi.org/10.1002/2017SW001635\nMarshall, R.A., Gorniak, H., Van Der Walt, T., Wa-\nters, C.L., Sci\u000ber, M.D, Miller, M., Dalzell, M., Daly,\nT., Pouferis, G., Hesse, G. and Wilkinson, P., (2013),\nObservations of geomagnetically induced currents in the\nAustralian power network, Space Weather ,11, 6{16 ,\nhttps://doi.org/10.1029/2012SW000849\nMatandirotya, E.,Cilliers, P. J.,Van Zyl, R. R.,Oyedokun, D.T.\nand de Villiers, J., (2016), Di\u000berential magnetometer method\napplied to measurement of geomagnetically induced currents\nin Southern African power networks, Space Weather ,14, 221-\n232, https://doi.org/10.1029/2012SW000849\nMyllys, M., Viljanen, A., Rui, O. A. and Magne Ohn-\nstad, T, (2014), Geomagnetically induced currents in\nNorway: the northernmost high-voltage power grid in\nthe world, J. Space Weather Space Clim. ,4, 27 ,\nhttps://doi.org/10.1051/swsc/2014007\nNgwira, C. M., McKinnell, L. and Cilliers, P. J., (2011), Geo-\nmagnetic activity indicators for geomagnetically induced cur-\nrent studies in South Africa, Adv. Space Res. ,48, 529{534 ,\nhttps://doi.org/10.1016/j.asr.2011.03.042\nPirjola, R. (2000), Geomagnetically induced currents during\nmagnetic storms, IEEE Trans. Plasma Sci ,28, 1867{1873 ,\nhttps://doi.org/10.1109/27.902215\nPirjola, R. (2001), Review on the calculation of surface electric\nand magnetic \felds and of geomagnetically induced currents\nin ground-based technological systems, Surveys in Geophysics ,\n23, 71{90 , https://doi.org/10.1023/A:1014816009303\nPirjola, R, (2005), E\u000bects of space weather on high-\nlatitude ground systems, Adv. Space Res. ,36, 2231{2240 ,\nhttps://doi.org/10.1109/27.902215\nPulkkinen, A., Viljanen, A., Pajunp a a, K. and Pirjola, R.,\n(2001), Recordings and occurrence of geomagnetically in-\nduced currents in the Finnish natural gas pipeline network, J.\nAppl. Geophys. ,48, 219{231 , https://doi.org/10.1016/S0926-\n9851(01)00108-2\nPulkkinen, A.,Bernabeu, E.,Eichner, J.,Beggan, C.,Thomson,\nA.W.P., (2012), Generation of 100-year geomagneti-\ncally induced current scenarios, Space Weather ,10,\nhttps://doi.org/10.1029/2011SW000750\nThomson, A. W. P.,McKay, A. J.,Clarke, E.,Reay and\nS. J, (2005), Surface electric \felds and geomagnetically\ninduced currents in the Scottish Power grid during the\n30 October 2003 geomagnetic storm, Space Weather ,3,\nhttps://doi.org/10.1029/2005SW000156DRAFT\nBLAKE S.P. ET AL.: GICS IN DETAILED IRISH POWER NETWORK MODEL X - 13\nTorta, J.M., Marsal, S. and Quintana, M., (2014), Assessing\nthe hazard from geomagnetically induced currents to the en-\ntire high-voltage power network in Spain, Earth, Planets and\nSpace ,66, 87 https://doi.org/10.1186/1880-5981-66-87\nTorta, J.M., Marcuello, A., Campany\u0012 a, J., Marsal, S., Queralt, P.\nand Ledo, J., (2017), Improving the modeling of geomagneti-\ncally induced currents in Spain, Space Weather ,15, 691{703 ,\nhttps://doi.org/10.1002/2017SW001628\nViljanen, A. and Pirjola, R, (1994), Geomagnetically induced cur-\nrents in the Finnish high-voltage power system, Surv. Geo-\nphys. ,15, 383{408 , https://doi.org/10.1007/BF00665999\nWei, L. H., Homeier, N. and Gannon, L., (2013), Sur-\nface electric \felds for North America during histori-\ncal geomagnetic storms, Space Weather ,11, 451-462 ,\nhttps://doi.org/10.1002/swe.20073\nWik, M., Viljanen, A., Pirjola, R., Pulkkinen, A., Wintoft, P. and\nLundstedt, H, (2008), Calculation of geomagnetically induced\ncurrents in the 400 kV power grid in southern Sweden, Space\nWeather ,6, 7005 , https://doi.org/10.1029/2007SW000343\nZhang, J.J., Wang, C., Sun, T. R., Liu, C.M. and Wang,\nK. R, (2015), GIC due to storm sudden commencement\nin low-latitude high-voltage power network in China: Ob-\nservation and Simulation, Space Weather ,13, 643-655 ,\nhttps://doi.org/10.1029/2007SW000343\nCorresponding author: Se\u0013 an P. Blake, School of Physics, Trin-\nity College Dublin, Dublin 2, Ireland (blakese@tcd.ie)" }, { "title": "1912.08407v1.A_theory_of_resistivity_in_Kondo_lattice_materials__the_memory_function_approach.pdf", "content": "arXiv:1912.08407v1 [cond-mat.str-el] 18 Dec 2019A theory of resistivity in Kondo lattice materials: the\nmemory function approach\nKomal Kumari∗, Raman Sharma∗and Navinder Singh∗∗\n∗Department of Physics, Himachal Pradesh University,\nShimla, India, Pin:171005.\n∗∗Physical Research Laboratory, Ahmedabad,\nIndia, Pin: 380009.∗†‡\n17/12/2019\nAbstract\nWe theoretically analyse D.C. resistivity( ρ) in the Kondo-lattice model using the pow-\nerful memory function approach. The complete temperature e volution of ρis investi-\ngated using the W¨ olfle-G¨ otze expansion of the memory funct ion. The resistivity in this\nmodel originates dueto spin-flipmagnetic scattering of con ductions-electron off the quasi-\nlocalized dorfelectron spins. We find the famous resistivity upturn at lowe r temperature\nregime (kBT << µ d), where µdis the effective chemical potential of d-electrons. In the\nhigh temperature regime ( µd<< kBT) we discover that ρ∝T3\n2. The worked out theory is\nquantitatively compared with experimental data and reason ably good agreement is found.\n1 Introduction\nIn heavy fermion materials such as CeCu 2Si2,CeCu 2Ge2,URu2Si2,UPd2Al3etc. [1, 2] and\nalso in nano-scale granular aluminum [3] at sufficiently high temperatur es (T > T K), where TK\nis the Kondo temperature) it becomes possible to divide the electron ic system into two com-\nponents: (1) mobile or conduction s-electrons, and (2) localized d or f moments. The localized\nmoments leads to the typical curie susceptibility ( χ∝1\nT) and the itinerant s-electrons provide\ntheelectrical conduction. Astemperatureislowered theconduct ionelectron spinsstart toquan-\ntum mechanically hybridize with the localized dorf-moments. At sufficiently low temperature\nTK, conduction electrons and localized fmoments form what is known as Kondo singlets. The\nprocess of hybridization is gradual one starting from higher tempe rature where f-moments are\nfree, to very low temperature ( T << T K) wheref-moments form spin singlets with conduc-\ntionselectrons. In this very low temperature regime emerges ”hybridize d electrons”. These\n”hybridized electrons” are very heavy (their mass is many order of magnitude larger than free\nelectron electron mass). Thus these systems are called heavy Fer mion systems. It turns out\nthat the Fermi volume contains both the conduction s-electrons and the ”localized” fordelec-\ntrons in the T < T K, and the superconducting transition happens in these ”heavy elec trons”[4].\n∗Email: sharmakomal611@gmail.com, komal.phyhpu@gmail.com\n†Email: raman.sharma@hpuniv.ac.in,\n‡Email: navinder@prl.res.in\n1However, in the high temperature regime Fermi volume contains only the conduction electrons\n(not the localized ford-moments)[1, 2].\nThe current investigation is devoted to a different problem of electr ical conduction in such\nsystems. In the current investigation which is valid for T > T Kwe study the scattering of con-\nductions-electrons via the quasi-localized f-moments. Our aim is to calculate the temperature\ndependence of the electrical resistivity originating from magnetic s cattering.\nResistivity from magnetic scattering is a well know phenomenon. The Kondo effect of\nresistivity minimum in materials containing magnetic impurities such as AuFeis well studied[5,\n6, 7]. It occurs due to spin flip scattering of conduction electrons v ia spin flips of localized\nmagnetic impurity spin. J. Kondo explained it using second order pert urbation theory [5, 6, 7].\nIn other words it takes into account the spin flip of the impurity and s cattering electron as an\nintermediate state:\n/summationdisplay\nk′J(k↓,↑→k′′↑,↓).J(k′′↑,↓→k′↓,↑)(1−fk′′)\nǫk−ǫk′, (1)\nwhere the factor 1 −fk′′represents the probability that the state |k′′∝an}bracketri}htis empty. The above\nterm represents the scattering of an electron with wavevector kand spin state | ↓∝an}bracketri}htand the\nimpurity in spin state | ↑∝an}bracketri}htinto an intermediate state having electron with wavevector k′′but\nflipped spins for both the impurity and the electron. Then from this in termediate state electron\nscatters to a final state with wavevector k′with one more flips of electron and impurity spins,\nsuch that the spin states returns back to its original form. As is we ll known the resistivity due\nto above Kondo term scales as log(T) [5, 6, 7, 8]. However, this calculation does not capture\nfull temperature evolution of resistivity. Our calculation using memo ry function formalism\nincorporates the above Kondo term and we analytically obtain the fu ll temperature dependence\nof the resistivity including the high temperature behaviour( ρ∝T3\n2). In our calculation the\ncoupling of s-electrons with quasi-localized dorf-moments is taken to be the Kondo Coupling.\nWetreatdorf electrons asquasi-localized insteadof perfectlyloca lized onesasconsidered inthe\nstandard Kondo problem. Perfect localization of f or d electrons oc curs in the integer valence\ncompounds (at half filling)[1]. Due to integer valence and strong onsit e Coulomb repulsion\n(Hubbard U) double occupancy at a given site is prohibited. In our ca lculation we consider\nsystems away from integer valence and d or f electrons are treate d as quasi-localized, and they\nform a small Fermi surface ( refer to section 2 and appendix A). Th e coupling Hamiltonian is\nthe Kondo lattice Hamiltonian also known in the literature as s-dHamiltonian:\nHsd=J\nN/summationdisplay\nk′k/braceleftbigg\na†\nk′↑ak↓S−(k′−k)+a†\nk′↓ak↑S+(k′−k)+(a†\nk′↑ak↑−a†\nk′↓ak↓)Sz(k′−k)/bracerightbigg\n(2)\nHerea†\nk′↑ak↓are the operators of s-electrons and S−(k′−k) is the spin lowering operator of d-or\nfelectrons ( S−(q) =/summationtext\nka∗\nk+q↓ak↑) .\nAnother novelty of our calculation over the published calculations of electrical resistivity\n[9, 10, 11, 12, 13, 14, 15, 16] is that it is manifestly beyond the Relax ation Time Approximation\n(RTA) which is taken into account in the memory function formalism [19 , 20] (our main tool\nin the current investigation) and full temperature evolution of the resistivity can be calculated\nwhereas in the refs [9, 10, 11, 12, 13, 14, 15, 16] resistivity is calcu lated either using the vari-\national solution of the Block-Boltzmann equation or the iterative ap proximate method[17, 19].\nThe problem with the Bloch-Boltzmann approach is that the full temp erature evolution of re-\nsistivity is difficult to obtain analytically (only in low and hight temperatur e limits (say, with\nrespect to the Debye temperature), the collision integral can be a nalytically simplified). Within\nthe memory function formalism, we could analyse the full temperatu re evolution of resistivity\n2rigorously and point out two regimes of interest: In the low tempera ture regime ( kBT << µ d),\nwe find an upturn in the resistivity and in the high temperature regime (kBT >> µ d), we find\nthatρ∝T3\n2. We compare our theory with the experimental data of ref. [3] an d find good\nagreement.\n2 Computational procedure using MF formalism\nIn Kubo’s linear response theory, the dynamical conductivity is give n by\nσµν(ω) =V/integraldisplay∞\n0dteiωt/integraldisplayβ\n0dλ∝an}bracketle{tJµ(−i/planckover2pi1λ)Jν(t)∝an}bracketri}ht. (3)\nThis is called the Kubo formula [18, 19, 20]. By using the Mori-Zwanzig pr ojection operator\ntechnique the above Kubo formula can be rewritten in the following fo rm[19, 20]\nσµν(z) =iω2\np\n4π1\nz+Mµν(z). (4)\nHereMµν(z) is called the memory function and zis the complex frequency ( z=ω+iδ). Thus\nthe problem of computation of the dynamical conductivity boils down to the computation of the\nmemory function Mµν(z). Within the G¨ otze-W¨ olfle approach the memory function is compu ted\nusing the equation of motion method and a perturbative expansion o f the memory function. All\nthe technical details are given in refs.[19, 20] here we outline the ap proach. It turns out that\nM(z)≃1\nz(ne2\nm)[∝an}bracketle{t∝an}bracketle{t˙J1;˙J1∝an}bracketri}ht∝an}bracketri}htz−∝an}bracketle{t∝an}bracketle{t˙J1;˙J1∝an}bracketri}ht∝an}bracketri}ht0] (5)\nwhere\n˙J1=−i\n/planckover2pi1[J1,H] (6)\nThe total Hamiltonian is H=H0+HsdandH0is the free electron unperturbed part and Hsd\nis defined in eqn (2). The double brackets are defined as\n∝an}bracketle{t∝an}bracketle{tˆO1;ˆO2∝an}bracketri}ht∝an}bracketri}ht=iV\n/planckover2pi1/integraldisplay∞\n0dteizt∝an}bracketle{t[ˆO1(t),ˆO2(0)]∝an}bracketri}ht (7)\nHere< ... >means canonical ensemble average. The operator ˆO(t) is in the Heisenberg\nrepresentation ˆO(t) =eiHtˆO(0)e−iHt. The current density operator is J1=1\nV/summationtext\nkσevka†\nkσakσ\nwherevk=1\n/planckover2pi1∂ǫk\n∂kandVis the volume of the sample. With this information equation (6) takes\nthe form:\n˙J1=−ie\n/planckover2pi1V/summationdisplay\nl,σ/summationdisplay\nk′k/bracketleftbigg\nv1(l)a†\nlσalσ,J\nN/summationdisplay\nk,k′/braceleftbigg\na†\nk′↑ak↓S−(k′−k)+a†\nk′↓ak↑S+(k′−k)+\n(a†\nk′↑ak↑−a†\nk′↓ak↓)Sz(k′−k)/bracerightbigg/bracketrightbigg\n(8)\nThe current operator commutes with the unperturbed Hamiltonian , hence we are left with\nterms containing Hsdwhich is treated as a perturbation. Using Leibniz’s bracket rule [ ab,c] =\na{b,c}−{a,c}b, the above expression reduces to\n˙J1=−i\n/planckover2pi1eJ\nNV/summationdisplay\nk′k/parenleftbigg\nv1(k′)−v1(k)/parenrightbigg/parenleftbigg\na†\nk′↑ak↓S−(k′−k)+a†\nk′↓ak↑S+(k′−k)/parenrightbigg\n. (9)\n3Define the correlator φ(z) =∝an}bracketle{t∝an}bracketle{t˙J1;˙J1∝an}bracketri}ht∝an}bracketri}ht:\nφ(z) =−e2J2\nN2/planckover2pi12V2/summationdisplay\nk′k/summationdisplay\npp′/parenleftbigg\nv1(k′)−v1(k)/parenrightbigg/parenleftbigg\nv1(p)−v1(p′)/parenrightbigg\n∝an}bracketle{t∝an}bracketle{ta†\nk′↑ak↓S−(k′−k)+\na†\nk′↓ak↑S+(k′−k) ;a†\np↑ap′↓S−(p−p′)+a†\np↓ap′↑S+(p−p′)∝an}bracketri}ht∝an}bracketri}ht. (10)\nThen the memory function (5) can be written as M(z)≃1\nz(ne2\nm)(φ(z)−φ(0)). This is called the\nG¨ otze-W¨ olfle memory function approximation [19, 20]. Now for the computation of memory\nfunction we need to compute the correlator( φ(z))\nφ(z) =∝an}bracketle{t∝an}bracketle{t˙J1;˙J1∝an}bracketri}ht∝an}bracketri}ht=iV\n/planckover2pi1/integraldisplay∞\n0eizt∝an}bracketle{t[˙J1(t);˙J1(0)]∝an}bracketri}htdt. (11)\nThe correlation function φ(z) can be simplified to\nφ(z) =−e2J2\nN2/planckover2pi12V2/summationdisplay\nk′k/summationdisplay\npp′/parenleftbigg\nv1(k′)−v1(k)/parenrightbigg/parenleftbigg\nv1(p)−v1(p′)/parenrightbigg/braceleftbigg\n∝an}bracketle{t∝an}bracketle{ta†\nk′↑ak↓S−(k′−k);a†\np↓ap′↑S+(p−p′)∝an}bracketri}ht∝an}bracketri}ht\n+∝an}bracketle{t∝an}bracketle{ta†\nk′↓ak↑S+(k′−k);a†\np↑ap′↓S−(p−p′)∝an}bracketri}ht∝an}bracketri}ht/bracerightbigg\n, (12)\nasthecross-termsoftheform ∝an}bracketle{t∝an}bracketle{ta†\nk′↑ak↓S−(k′−k);a†\np↑ap′↓S−(p−p′)∝an}bracketri}ht∝an}bracketri}htvanish[19,20]. Weseparate\nthe function φ(z) into two sub functions φ1(z) andφ2(z) for simplification. The first function\ntakes the form:\nφ1(z) =−ie2J2\nN2/planckover2pi13V/summationdisplay\nk′k/summationdisplay\npp′/parenleftbigg\nv1(k′)−v1(k)/parenrightbigg/parenleftbigg\nv1(p)−v1(p′)/parenrightbigg/integraldisplay∞\n0dteizt∝an}bracketle{t[a†\nk′↑(t)ak↓(t)S−(k′−k,t),\na†\np↓ap′↑S+(p−p′)]∝an}bracketri}ht (13)\nIt is to be noted the impurity and conduction electron spin flip terms o f the form of eqn (2)\nare incorporated in the commutator in the above equation (13) tha t isa†\nk′↑(t)ak↓(t)S−(k′−\nk,t),a†\np↓ap′↑S+(p−p′) etc. We write the time dependence of operators explicitly as a†\nk′↑(t) =\neiǫk′t\n/planckover2pi1a†\nk′↑(0) fors-band mobile electronsi. Ford−band density operators we write S−(k′−k,t) =\ne−iωk′−ktS−(k′−k,0). In the present case /planckover2pi1ωk′−krepresents the spin flip energy of an excitation\nof the quasi localized of dorfelectrons. Dispersion of the magnetic excitation created by\noperators S−(q) andS+(q) is assumed to be of the form /planckover2pi1ωq∝q2in the long wavelength\nlimit which we use in the present calculation[10]. Next on performing the time integration and\napplying anticommutating Leibniz ruleiito the Fermion operators in equation (13) we obtain\nφ1(z) =C1/summationdisplay\nk′k/summationdisplay\npp′(1\nǫs\nk′\n/planckover2pi1−ǫs\nk\n/planckover2pi1−ωk′−k+z)/parenleftbigg\nv1(k′)−v1(k)/parenrightbigg/parenleftbigg\nv1(p)−v1(p′)/parenrightbigg\n∝an}bracketle{t(−a†\nk′↑ak↓a†\np↓ap′↑)\n[S−(k′−k),S+(p−p′)]+∝an}bracketle{t{a†\nk′↑ak↓,a†\np↓ap′↑}S+(p−p′)S−(k′−k)∝an}bracketri}ht. (14)\niAsa†\nk′↑(t) is in the Heisenberg representation, it should be written as a†\nk′↑(t) =eiǫT\nk′t\n/planckover2pi1a†\nk′↑(0), where ǫT\nk′is the\neigenvalues of the total Hamiltonian H=H0+Hsd. But we have replaced ǫT\nk′withǫk′which is the eigenvalue\nof the unperturbed or free electron Hamiltonian H0. This approximation is valid as the perturbation Hsdis\nassumed weaker (weak coupling limit of J) also refer to [20].\nii{ab,cd}=a{b,c}d-ac{b,d}+{a,c}db-c{a,d}b\n4HereC1=e2J2\nN2/planckover2pi13V. We write ∝an}bracketle{ta†\nk′↑ak↓a†\np↓ap′↑∝an}bracketri}ht=∝an}bracketle{ta†\nk′↑(δkp−a†\np↓ak↓)ap′↑∝an}bracketri}htand use bracket ruleiito\nsolve factor ∝an}bracketle{t{a†\nk′↑ak↓,a†\np↓ap′↑}∝an}bracketri}ht. On simplifying, using the properties of delta functions δk,pand\nδk′,p′, we get:\nφ1(z) =−C1/summationdisplay\nk′k(1\nǫs\nk′\n/planckover2pi1−ǫs\nk\n/planckover2pi1−ωk′−k+z)(v1(k′)−v1(k))2/bracketleftbigg\nfs\nk′↑(1−fs\nk↓)∝an}bracketle{t{S−(k′−k),S+(k−k′)}∝an}bracketri}ht\n+(fs\nk′↑−fs\nk↓)∝an}bracketle{tS+(k−k′)S−(k′−k)∝an}bracketri}ht/bracketrightbigg\n. (15)\nHerefs\nk′↑=∝an}bracketle{ta†\nk′↑ak′↑∝an}bracketri}htis the Fermi function of the s-band electrons. The spin density operators\nofd-band transforms the expression (15) to [refer to appendix A]\nφ1(z) =−C1/summationdisplay\nk′k(1\nǫs\nk′\n/planckover2pi1−ǫs\nk\n/planckover2pi1−ωk′−k+z)(v1(k′)−v1(k))2/bracketleftbigg\nfs\nk′↑(1−fs\nk↓)/summationdisplay\nkd,k′\nd(fd\nkd↑−fd\nk′\nd↓)−\n(fs\nk↓−fs\nk′↑)/summationdisplay\nkd,k′\ndfd\nkd↑(1−fd\nk′\nd↓)/bracketrightbigg\n. (16)\nSimilary write φ2(z) part from equation (12) :\nφ2(z) =−e2J2\nN2/planckover2pi13V2/summationdisplay\nk′k/summationdisplay\npp′/parenleftbigg\nv1(k′)−v1(k)/parenrightbigg/parenleftbigg\nv1(p)−v1(p′)/parenrightbigg\n∝an}bracketle{t∝an}bracketle{ta†\nk′↓ak↑S+(k′−k) ;\na†\np↑ap′↓S−(p−p′)∝an}bracketri}ht∝an}bracketri}ht. (17)\nAgain following the similar steps that are followed for the calculation of φ1(z), we obtain ex-\npression for φ2(z) as:\nφ2(z) =−C1/summationdisplay\nk′k(1\nǫs\nk′\n/planckover2pi1−ǫs\nk\n/planckover2pi1−ωk′−k−z)/parenleftbigg\nv1(k′)−v1(k)/parenrightbigg2/bracketleftbigg\nfs\nk′↑(1−fs\nk↓)/summationdisplay\nkd,k′\nd(fd\nkd↑−fd\nk′\nd↓)−\n(fs\nk↓−fs\nk′↑)/summationdisplay\nkd,k′\ndfd\nkd↑(1−fd\nk′\nd↓)/bracketrightbigg\n. (18)\nWe drop the spin notation in Fermi functions as there is no Zeeman sp litting(no external and\ninternal magnetic fields present). The total φ(z) takes the form:\nφ(z) =−e2J2\nN2/planckover2pi13V/summationdisplay\nk′k(v1(k′)−v1(k))2/braceleftbigg\nfs\nk′(1−fs\nk)/summationdisplay\nkd,k′\nd(fd\nkd−fd\nk′\nd)−(fs\nk−fs\nk′)×\n/summationdisplay\nkd,k′\ndfd\nkd(1−fd\nk′\nd)/bracerightbigg/bracketleftbigg1\nǫk′\n/planckover2pi1−ǫk\n/planckover2pi1−ωk′−k+z+1\nǫk′\n/planckover2pi1−ǫk\n/planckover2pi1−ωk′−k−z/bracketrightbigg\n.\n(19)\n3 Computation of the Memory Function in the DC limit\nOur aim is to determine the dynamical conductivity σ(z) that depends on the Memory function,\ntherefore writing φ(z) in terms of M(z) using formula M(z) =1\nzm\nne2(φ(z)−φ(0)), we obtain\n5M(z) =−J2m\nN2/planckover2pi13nVω/summationdisplay\nk′k(v1(k′)−v1(k))2/braceleftbigg\nfs\nk′(1−fs\nk)/summationdisplay\nkd,k′\nd(fd\nkd−fd\nk′\nd)−(fs\nk−fs\nk′)/summationdisplay\nkd,k′\ndfd\nkd(1−fd\nk′\nd)/bracerightbigg\n/bracketleftbigg1\nǫk′\n/planckover2pi1−ǫk\n/planckover2pi1−ωk′−k+z+1\nǫk′\n/planckover2pi1−ǫk\n/planckover2pi1−ωk′−k−z−1\nǫk′\n/planckover2pi1−ǫk\n/planckover2pi1−ωk′−k−1\nǫk′\n/planckover2pi1−ǫk\n/planckover2pi1−ωk′−k/bracketrightbigg\n(20)\nWhereM(z) =M(ω±i0) =M′(ω)±iM′′(ω). Here we are interested in the imaginary part\nof the memory function [19, 20]. The use of identity lim η→01\na∓iη=P(1\na)±iπδ(a) transforms\nthe expression (20)iiiinto delta function form. On comparing imaginary part of the above\nexpression, we get\nM′′(ω) =J2mπ\nN2/planckover2pi13nVω/summationdisplay\nk′k(v1(k′)−v1(k))2{fs\nk′(1−fs\nk)/summationdisplay\nkd,k′\nd(fd\nkd−fd\nk′\nd)−\n(fs\nk−fs\nk′)/summationdisplay\nkd,k′\ndfd\nkd(1−fd\nk′\nd)}[δ(ǫk′\n/planckover2pi1−ǫk\n/planckover2pi1−ωk′−k+ω)−δ(ǫk′\n/planckover2pi1−ǫk\n/planckover2pi1−ωk′−k−ω)].\n(21)\nUsing the momentum conservation /vectork′−/vectork=/vectork′\nd−/vectorkd=/vector q, write/vectork′and/vectork′\ndin terms of /vectork+/vector qand\n/vectorkd+/vector q. Also write ( v1(k′)−v1(k))2=/planckover2pi12\nm2(/vectork′−/vectork)2. To deal with the magnitude of ( /vectork′−/vectork),\ni.e.|/vectork′−/vectork|insert an integral dqδ(/vector q− |/vectork′−/vectork|) overqinto equation (21) which simplify the\ncalculation greatly. Using the spatial isotropy in the present free e lectron case we can write\nv2= (v2\nx+v2\ny+v2\nz) = 3v2\nx. Converting sums into integrals for kandk′using1\nV/summationtext→/integraltextd3k\n(2π)3,\nthe above equation can be written as\nM′′(ω) =J2πV\n3N2mn/integraldisplay∞\n0dq\nωq2/integraldisplay∞\n0d3k\n(2π)3/integraldisplay∞\n0d3k′\n(2π)3δ(/vector q−|/vectork′−/vectork|)F(fs\nk,fs\nk′,fd\nkd,fd\nk′\nd)\n[δ(ǫk+q−ǫk−/planckover2pi1ωq+/planckover2pi1ω)−δ(ǫk+q−ǫk−/planckover2pi1ωq−/planckover2pi1ω)].(22)\nHere, we write F(fs\nk,fs\nk′,fd\nkd,fd\nk′\nd) as short hand notation for Fermi distribution function inside\nthe curly braces. Write/integraltext\nd3k= 4π/integraltext\nk2dk,/integraltext\nd3k′= 2π/integraltext\nk′2dk′/integraltextπ\n0sinθdθ(takekas pointing\nalong the z−direction). Therefore M′′(ω) takes the form\nM′′(ω) =2J2πV\n3N2mn(2π)2\n(2π)6/integraldisplay∞\n0dq\nωq2/integraldisplay∞\n0k2dk/integraldisplay∞\n0k′2dk′/integraldisplayπ\n0sinθdθδ(q−/radicalbig\n(k′2+k2−2k′kcosθ))\n/summationdisplay\nkd,k′\ndF(fs\nk,fs\nk′,fd\nkd,fd\nk′\nd)[δ(ǫk+q−ǫk−/planckover2pi1ωq+/planckover2pi1ω)−δ(ǫk+q−ǫk−/planckover2pi1ωq−/planckover2pi1ω)].(23)\nTo simplify further, we shift momentum integral variables into energ y variables k2=2mǫ\n/planckover2pi12and\ndk=1\n/planckover2pi1/radicalbigm\n2ǫdǫ. On writing ǫkasǫandǫk′asǫ′changes the expression to\nM′′(ω) =2J2Vm2\n3N2/planckover2pi16n1\n(2π)3/integraldisplay∞\n0dqq2\nω/integraldisplay∞\n0√ǫdǫ/integraldisplay∞\n0√\nǫ′dǫ′/integraldisplayπ\n0sinθdθ×\nδ(q−√\n2m/radicalBig\n(ǫ′+ǫ−2√\nǫ′ǫcosθ))/summationdisplay\nkdF(fs\nk,fs\nk′,fd\nkd,fd\nk′\nd)×\n[δ(ǫk+q−ǫk−/planckover2pi1ωq+/planckover2pi1ω)−δ(ǫk+q−ǫk−/planckover2pi1ωq−/planckover2pi1ω)].(24)\niiilimη→01\nǫk′−ǫk−ωq+ω±iη=P(1\nǫk′−ǫk−ωq+ω)∓iπδ(ǫk′−ǫk−ωq+ω)\n6On performing the θintegral the above expression (appendix B) reduces to the form\nM′′(ω) =1\n4π3J2Vm2\n3N2/planckover2pi16n/integraldisplayqD\n0dqq2q\nk2sω/integraldisplay∞\n0√ǫdǫ/integraldisplay∞\n0dǫ′√\nǫ′{fs\nk+q(1−fs\nk)/summationdisplay\nkd(fd\nkd−fd\nkd+q)−\n(fs\nk−fs\nk+q)/summationdisplay\nkdfd\nkd(1−fd\nkd+q)}[δ(ǫk+q−ǫk−/planckover2pi1ωq+/planckover2pi1ω)−δ(ǫk+q−ǫk−/planckover2pi1ωq−/planckover2pi1ω)].\n(25)\nBy using f(x)δ(x−a) =f(a)δ(x−a) we remove ǫk′from the Fermi functions and integrate\noverǫk′which we simply write ǫ′\nM′′(ω) =p0/integraldisplayqD\n0dqq3/integraldisplay∞\n0dǫ√ǫ\nω/bracketleftbigg/radicalbig\nǫk+/planckover2pi1ωq−/planckover2pi1ω/summationdisplay\nkd/braceleftbigg\nfs(ǫk+/planckover2pi1ωq−/planckover2pi1ω)(1−fs(ǫk))×\n/summationdisplay\nkd(fd(ǫkd)−fd(ǫkd+q))−(fs(ǫk)−fs(ǫk+/planckover2pi1ωq−/planckover2pi1ω))/summationdisplay\nkdfd(ǫkd)(1−fd(ǫkd+q))/bracerightbigg\n−\n/radicalbig\nǫk+/planckover2pi1ωq+/planckover2pi1ω/braceleftbigg\nfs(ǫk+/planckover2pi1ωq+/planckover2pi1ω)(1−fs(ǫk))/summationdisplay\nkd(fd(ǫkd)−fd(ǫkd+q))−\n(fs(ǫk)−fs(ǫk+/planckover2pi1ωq+/planckover2pi1ω))/summationdisplay\nkdfd(ǫkd)(1−fd(ǫkd+q))/bracerightbigg/bracketrightbigg\n,\n(26)\nwhere the prefactors p0=1\n4π3J2Vm2\n3N2/planckover2pi16nq2s. Define ǫs\nk=ǫ,f1\nd=/summationtext\nkd/parenleftbigg\nfd(ǫkd)−fd(ǫkd+q)/parenrightbigg\nand\nf2\nd=/summationtext\nkdfd(ǫkd)(1−fd(ǫkd+q)). With these definitions, we have\nM′′(ω,T) =p0/integraldisplayqD\n0dqq3/braceleftbigg/integraldisplay∞\n0dǫ√ǫ\nω/bracketleftbigg/radicalbig\nǫ+/planckover2pi1ωq−/planckover2pi1ωfs(ǫ+/planckover2pi1ωq−/planckover2pi1ω)(1−fs(ǫ))/bracehtipupleft /bracehtipdownright/bracehtipdownleft /bracehtipupright\nterm(T1)−\n/radicalbig\nǫ+/planckover2pi1ωq+/planckover2pi1ω/bracehtipupleft/bracehtipdownright/bracehtipdownleft/bracehtipupright\nterm(T2)fs(ǫ+/planckover2pi1ωq+/planckover2pi1ω)(1−fs(ǫ))/bracketrightbigg\n/bracehtipupleft /bracehtipdownright/bracehtipdownleft /bracehtipupright\nterm(T2)f1\nd(q)+\n/integraldisplay∞\n0dǫ√ǫ\nω/bracketleftbigg/radicalbig\nǫ+/planckover2pi1ωq+/planckover2pi1ω(fs(ǫ)−fs(ǫ+/planckover2pi1ωq+/planckover2pi1ω))\n/bracehtipupleft /bracehtipdownright/bracehtipdownleft /bracehtipupright\nterm(T3)\n−/radicalbig\nǫ+/planckover2pi1ωq−/planckover2pi1ω(fs(ǫ)−fs(ǫ+/planckover2pi1ωq−/planckover2pi1ω))/bracketrightbigg\n/bracehtipupleft /bracehtipdownright/bracehtipdownleft /bracehtipupright\nterm(T4)f2\nd(q)/bracerightbigg\n. (27)\nThis is important general expression of imaginary part of the Memor y Function, which is valid\nforallfrequencies andall temperatureregimes. Inwhat follows, w eanalyze theabove expression\nin the D.C. limit and study the temperature dependence of the imagina ry part of the memory\nfunction. For performing the limit ω→0, we rewrite the main result (equation 27) in the\n7following way:\nM′′(ω) =p0/integraldisplayqD\n0dqq3/bracketleftbigg/integraldisplay∞\n0dǫ√ǫ×\n/parenleftbigg/radicalbig\nǫ+/planckover2pi1ωq−/planckover2pi1ωfs(ǫ+/planckover2pi1ωq−/planckover2pi1ω)−/radicalbig\nǫ+/planckover2pi1ωq+/planckover2pi1ωfs(ǫ+/planckover2pi1ωq+/planckover2pi1ω)\nω/bracehtipupleft /bracehtipdownright/bracehtipdownleft /bracehtipupright\nTe1/parenrightbigg\n×(1−fs(ǫ))f1\nd(q)+/integraldisplay∞\n0dǫ√ǫ/parenleftbigg/radicalbig\nǫ+/planckover2pi1ωq+/planckover2pi1ω−/radicalbig\nǫ+/planckover2pi1ωq−/planckover2pi1ω\nω/bracehtipupleft /bracehtipdownright/bracehtipdownleft /bracehtipupright\nTe2/parenrightbigg\nfs(ǫ)f2\nd(q)+\n/integraldisplay∞\n0dǫ√ǫ/parenleftbigg/radicalbig\nǫ+/planckover2pi1ωq−/planckover2pi1ωfs(ǫ+/planckover2pi1ωq−/planckover2pi1ω)−/radicalbig\nǫ+/planckover2pi1ωq+/planckover2pi1ωfs(ǫ+/planckover2pi1ωq+/planckover2pi1ω)\nω/parenrightbigg\nf2\nd(q)/bracketrightbigg\n.\n(28)\nOn performing the limit ω→0 for term (Te1) we have\n∂Te1\n∂ω|ω=0=−/planckover2pi1fs(ǫ+/planckover2pi1ωq−/planckover2pi1ω)\n2/radicalbig\nǫ+/planckover2pi1ωq−/planckover2pi1ω+/radicalbig\nǫ+/planckover2pi1ωq−/planckover2pi1ω∂fs(ǫ+/planckover2pi1ωq−/planckover2pi1ω)\n∂ω|ω=0−/planckover2pi1fs(ǫ+/planckover2pi1ωq+/planckover2pi1ω)\n2/radicalbig\nǫ+/planckover2pi1ωq+/planckover2pi1ω\n−/radicalbig\nǫ+/planckover2pi1ωq+/planckover2pi1ω∂fs(ǫ+/planckover2pi1ωq+/planckover2pi1ω)\n∂ω|ω=0\n=−/planckover2pi1fs(ǫ+/planckover2pi1ωq)/radicalbig\nǫ+/planckover2pi1ωq+2/planckover2pi1β/radicalbig\nǫ+/planckover2pi1ωqeβ(ǫ+/planckover2pi1ωq−µs)\n(eβ(ǫ+/planckover2pi1ωq−µs)+1)2(29)\nand for term (Te2), we have\n∂Te2\n∂ω|ω=0=/planckover2pi1\n2/radicalbig\nǫ+/planckover2pi1ωq+/planckover2pi1ω|ω=0+/planckover2pi1\n2/radicalbig\nǫ+/planckover2pi1ωq−/planckover2pi1ω|ω=0=/planckover2pi1/radicalbig\nǫ+/planckover2pi1ωq. (30)\nSubstituting the above expressions into eqn (28) we obtain the mem ory function in the D.C.\nlimit\nM′′(T) =p0/planckover2pi1/integraldisplayqD\n0dqq3/bracketleftbigg/integraldisplay∞\n0dǫ√ǫ/parenleftbigg\n−fs(ǫ+/planckover2pi1ωq)/radicalbig\nǫ+/planckover2pi1ωq+2β/radicalbig\nǫ+/planckover2pi1ωqeβ(ǫ+/planckover2pi1ωq−µs)\n(eβ(ǫ+/planckover2pi1ωq−µs)+1)2/parenrightbigg\n×\n(1−fs(ǫ))f1\nd(q)+/integraldisplay∞\n0dǫ√ǫ/radicalbig\nǫ+/planckover2pi1ωqfs(ǫ)f2\nd(q)+/integraldisplay∞\n0dǫ√ǫ/parenleftbigg\n−fs(ǫ+/planckover2pi1ωq)/radicalbig\nǫ+/planckover2pi1ωq+\n2β/radicalbig\nǫ+/planckover2pi1ωqeβ(ǫ+/planckover2pi1ωq−µs)\n(eβ(ǫ+/planckover2pi1ωq−µs)+1)2/parenrightbigg\nf2\nd(q)/bracketrightbigg\n(31)\nThere are a couple of reasonable assumptions which we would like to us e to simplify the above\nexpression: (1) The above expression can be simplified as kBT << µ s(chemical potential for\ns-electrons) at temperature of interest ( µs≃10eVand room temperature is ∼1\n40eV). (2)\n/planckover2pi1ωq<< µ s, that is, the energy scale of magnetic excitation (which is in meV) is much less\nthanµs(∼10eV). On implementing the second assumption in the Fermi function fs(ǫ+/planckover2pi1ωq) =\n1\neβ(ǫ+/planckover2pi1ωq−µs)+1lead tofs(ǫ) and the above expression becomes\nM′′(T) =p0/planckover2pi1/bracketleftbigg\n2β/integraldisplayqD\n0dq q3/integraldisplay∞\n0dǫ√ǫ/radicalbig\nǫ+/planckover2pi1ωqfs(ǫ)(1−fs(ǫ))[(1−fs(ǫ))f1\nd(q)+f2\nd(q)]\n−/integraldisplayqd\n0dq q3/integraldisplay∞\n0dǫ√ǫfs(ǫ)/radicalbig\nǫ+/planckover2pi1ωq(1−fs(ǫ))f1\nd(q)/bracketrightbigg\n(32)\n8Next, on implementing the first assumption kBT << µ s, we notice that factors of the form\nfs(ǫ)(1−fs(ǫ)) are approximately like delta functions peaking at µs. Thus the relevant range\nof theǫis around µswith width of order kBT. Observing this fact we can write/radicalbig\nǫ+/planckover2pi1ωq≃√ǫ\nas/planckover2pi1ωq<< µs:\nM′′(T) =p0/planckover2pi1/bracketleftbigg\n2β/integraldisplay∞\n0dǫ√ǫ√ǫ fs(ǫ)(1−fs(ǫ))/parenleftbigg\n(1−fs(ǫ))/integraldisplayqd\n0dq q3f1\nd(q)\n/bracehtipupleft/bracehtipdownright/bracehtipdownleft/bracehtipupright\nI1(T)+\n/integraldisplayqd\n0dq q3f2\nd(q)\n/bracehtipupleft/bracehtipdownright/bracehtipdownleft/bracehtipupright\nI2(T)/parenrightbigg\n−/integraldisplay∞\n0dǫ√ǫfs(ǫ)√ǫ(1−fs(ǫ))/integraldisplayqd\n0dq q3f1\nd(q)\n/bracehtipupleft/bracehtipdownright/bracehtipdownleft/bracehtipupright\nI1(T)/bracketrightbigg\n(33)\nOr\nM′′(T) =p0/planckover2pi1/bracketleftbigg\n2β/integraldisplay∞\n0dǫǫ fs(ǫ)(1−fs(ǫ))/parenleftbigg\n(1−fs(ǫ))I1(T)+I2(T)/parenrightbigg\n−\n/integraldisplay∞\n0dǫ fs(ǫ)(1−fs(ǫ))I1(T) (34)\nIntegrals over ǫcan be performed using the properties of delta functions fs(ǫ)(1−fs(ǫ))≃\n1\nβδ(ǫ−µs):\nM′′(T) =p0/planckover2pi1\nβ/bracketleftbigg\n(βµs−1)I1(T)+2βµsI2(T)/bracketrightbigg\n(35)\nAsβµs>>1, we get\nM′′(T) =p0/planckover2pi1µs/bracketleftbigg\nI1(T)+2I2(T)/bracketrightbigg\n(36)\nwhere\nI1(T) =/integraldisplayqD\n0dq q3f1\nd(q), (37)\nand\nI2(T) =/integraldisplayqD\n0dq q3f2\nd(q). (38)\nThe above simplified expression (eqn 36) is our main result in the DC limit. Our next aim is to\nreduce the expression for I1(T) andI2(T). For this we take the long wavelength approximation\n(smallqexpansion). It can be shown (refer to Appendix C) that f1\nd(ǫd) in long wavelength limit\nq→0 can be written as\nf1\nd(ǫd) =Vq2\n4π2√\n2m\n/planckover2pi1/bracketleftbigg\nβ/integraldisplay∞\n0dǫd√ǫdeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2+2\n3β2/integraldisplay∞\n0dǫdǫ3\n2\ndeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2−4\n3β2/integraldisplay∞\n0dǫǫ3\n2e2β(ǫd−µd)\n(eβ(ǫd−µd)+1)3/bracketrightbigg\n,\n(39)\non substituting the above expression of f1\nd(ǫd) into eqn (37) we get\nI1(T) =q6\nD\n6V\n4π2√\n2m\n/planckover2pi1/bracketleftbigg\nβ/integraldisplay∞\n0dǫd√ǫdeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2+2\n3β2/integraldisplay∞\n0dǫdǫ3\n2\ndeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2\n−4\n3β2/integraldisplay∞\n0dǫǫ3\n2e2β(ǫd−µd)\n(eβ(ǫd−µd)+1)3/bracketrightbigg\n. (40)\n9Similarly f2\ndcan be simplified (refer to appendix D) and the simplified expression of f2\ndcan be\nsubstituted into eqn (38). The result is\nI2(T) =V\n(2π)2(2m)3\n2\n/planckover2pi13/integraldisplayqD\n0dq q3/integraldisplay∞\n0dǫd√ǫdeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2+I1(T), (41)\non substituting expressions of I1(T) andI2(T) into eqn (36) we have\nM′′(T) =1\n12π3J2V2m2\nN2/planckover2pi15nq2sµs/braceleftbigg3q6\nD\n61\n4π2√\n2m\n/planckover2pi1/parenleftbigg\nβ/integraldisplay∞\n0dǫd√ǫdeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2+2\n3β2×\n/integraldisplay∞\n0dǫdǫ3\n2\ndeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2−4\n3β2/integraldisplay∞\n0dǫǫ3\n2e2β(ǫd−µd)\n(eβ(ǫd−µd)+1)3/parenrightbigg\n+\nq4\nD\n(2π)2(2m)3\n2\n2/planckover2pi13/integraldisplay∞\n0dǫd√ǫdeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2/bracerightbigg\n,\n(42)\ntransforms the variables in all the integrands to x=β(ǫd−µd):\nM′′(T) =1\n12π3J2V2m2\nN2/planckover2pi15nq2\nsµs/braceleftbiggq6\nD\n8π2√2mµs\n/planckover2pi1/parenleftbigg1√βµs/integraldisplay∞\n−βµddx/radicalbig\nx+βµdex\n(ex+1)2+\n2\n31√βµs/integraldisplay∞\n−βµddx(x+βµd)3\n2ex\n(ex+1)2−4\n31√βµs/integraldisplay∞\n−βµddx(x+βµd)3\n2e2x\n(ex+1)3/parenrightbigg\n+q4\nD\n8π2(2mµs)3\n2\n/planckover2pi131\n(βµs)3\n2/integraldisplay∞\n−βµddx/radicalbig\nx+βµdex\n(ex+1)2/bracerightbigg\n. (43)\nWe write√2mµs=/planckover2pi1qsand (2mµs)3\n2=/planckover2pi13q3\ns. The above expression attains the form\nM′′(T) =1\n12π3J2V2m2\nN2/planckover2pi15nµs/braceleftbigg1\n8π2(qD\nqs)6q5\ns/parenleftbigg1√βµs/integraldisplay∞\n−βµddx/radicalbig\nx+βµdex\n(ex+1)2+\n2\n31√βµs/integraldisplay∞\n−βµddx(x+βµd)3\n2ex\n(ex+1)2−4\n31√βµs/integraldisplay∞\n−βµddx(x+βµd)3\n2e2x\n(ex+1)3/parenrightbigg\n+1\n8π2(qD\nqs)4q5\ns\n(βµs)3\n2/integraldisplay∞\n−βµddx/radicalbig\nx+βµdex\n(ex+1)2/bracerightbigg\n. (44)\nThis is our final simplified expression(after implementing the above me ntioned assumptions\n1 and 2). Temperature dependence of the imaginary part of memor y function gives the tem-\nperature dependence of resistivity ρ(T) =m\nne21\nτ(T)=m\nne2M′′(T)[19, 20]. The expression (44) is\nplotted for various values of µdin figure (1a) and for various values of qDin figure (1b). We\nnotice low temperature upturn (in (a) and (b)) and high temperatu reT3\n2behaviour in figure\n(1c)(refer section 4.2 for details).\n10μd=0.01eVμd=0.1eV\nμd=0.05eV\nμs=1.0eV,qD=5*108m-1\n0 100 200 300 400 5000.00.20.40.60.81.0\nT(K)M``(T) qD=5*108m-1qD=5.1*108m-1qD=5.2*108m-1\nμd=.050eV, μs=3.0eV\n0 100 200 300 400 5000.00.20.40.60.81.0\nT(K)M``(T)μd=1\n80eV,μs=1eV\nqD=5*1 \u0000\n8m- \u0001\n01002003004005006007000.00.20.40.60.81.0\nT(K)M``(T)\nFigure 1: (a) M′′(T) for various values of µd. (b)M′′(T) for various values of qD. (c) High\ntemp. behaviour of M′′(T).M′′(T)∝T3\n2in high temperature limit kBT >> µ d.\n4 Analysis of the general expression in special cases:\n4.1 Low temperature limit ( kBT << µ d)\nIn this temperature limit we have βµd>>1 thus the general expression (44) transforms to\nM′′(T)≃1\n12π3J2V2m2\nN2/planckover2pi15nµs/braceleftbigg1\n8π2(qD\nqs)6q5\ns/parenleftbigg√βµd√βµs/integraldisplay∞\n−βµddxex\n(ex+1)2+2\n3(βµs)2\n3√βµs/integraldisplay∞\n−βµddxex\n(ex+1)2\n−4\n3(βµs)2\n3√βµs/integraldisplay∞\n−βµddxe2x\n(ex+1)3/parenrightbigg\n+1\n8π2(qD\nqs)4q5\ns\n(βµs)3\n2/radicalbig\nβµd/integraldisplay∞\n−βµddxex\n(ex+1)2,\n(45)\nwhere we replaced√x+βµd≃√βµdasβµd>>1 andx∼1 due to exponentially damped\nfunctionoftheformex\n(ex+1)2intheintegrands. Withfurther rearrangements theabove expre ssion\nfurther simplifies to\nM′′(T)≃1\n12π3J2V2m2\nN2/planckover2pi15nµs/braceleftbigg1\n8π2(qD\nqs)6q5\ns/parenleftbigg/radicalbiggµd\nµs/integraldisplay∞\n−βµddxex\n(ex+1)2+\n2\n3(µd)3\n2\n√µs1\nkBT/integraldisplay∞\n−βµddx/braceleftbiggex\n(ex+1)2−2e2x\n(ex+1)3/bracerightbigg\n+\n1\n8π2(qD\nqs)4q5\ns√µd\n(µs)3\n2kBT/integraldisplay∞\n−βµddxex\n(ex+1)2. (46)\nIn the low temperature limit, the dominating term is the middle one with p refactor proportional\nto1\nT. Neglectingthesubdominatingtermsthememoryfunctioninlowtemp eraturelimitreduces\nto\nM′′(T→0)∼1\nTfs(T), f s(T) =/integraldisplay∞\n−βµddx/braceleftbiggex\n(ex+1)2−2e2x\n(ex+1)3/bracerightbigg\n, (47)\nwherefs(T) is a slowly varying functionivof temperature. So, in the low temperature limit\nresistivity displays an upturn, as seen in figure (1a). An important p oint to be noted here is\nthat the divergence in our case is of the form of power law instead of the logarithmic divergence\nin the original Kondo problem. The reason behind this difference is tha t we treated d or f\nelectrons as quasi-localized (away from half-filling) instead of fully loc alized ones[1]. This is one\nof our important result.\nivWe have checked the relative variation of fs(T) as compared to1\nTand found that relative variation of fs(T)\nis very small.\n114.2 High temperature limit ( kBT >> µ d)\nIn high temperature limit we have βµd<<1. In this limit expression from (44) changes to\nM′′(T)≃1\n12π3J2V2m2\nN2/planckover2pi15nµs/braceleftbigg1\n8π2(qD\nqs)6q5\ns/parenleftbigg1√βµs/integraldisplay∞\n0dx√xex\n(ex+1)2+\n2\n31√βµs/integraldisplay∞\n0dx(x)2\n3/bracketleftbiggex\n(ex+1)2−2e2x\n(ex+1)3/bracketrightbigg/parenrightbigg\n+\n1\n8π2(qD\nqs)4q5\ns\n(βµs)3\n2/integraldisplay∞\n0dx√xex\n(ex+1)2/bracerightbigg\n. (48)\nBy direct computation we notice that the last term in the above expr ession is many order of\nmagnitude larger than the first two terms. Thus,\nM′′(kBT >> µ d)∼C T3\n2/integraldisplay∞\n0dx√xex\n(ex+1)2∼0.536C T3\n2\nM′′(kBT >> µ d)∼T3\n2. (49)\nwhere prefactor C=1\n96π5J2V2m2\nN2/planckover2pi15n(qD\nqs)4q5\ns√µs. Thus, in high temperature limit the memory function\nscales as M′′(kBT >> µ d)∼T3\n2. This is also observed in figure (1c).\n5 Comparison with experimental data\nIn this section we compare our theory with the experimental data. For comparison we consider\nKondo-like behaviour observed in nano-scale granular aluminum samp les [3]. Resistivity of\nTheory,q D=5.29108m-1,μd=0.46eVExperimental\n050100 150 200 250 30001234\nT(K)ρ(mΩcm)\nFigure 2: (a) Resistivity as a function of temperature compared wit h experimental data....\nnano-scale granular aluminum samples was measured in reference[3 ]. Kondo-like behaviour was\nobservedinthetemperaturedependenceofresistivity. Resistivit yshowslowtemperatureupturn\nand a minimum around Tm≃40K, and then it shows negative curvature at higher temperature\n(T > T m). Theexperimental datainfigure2ofref.[3]isreproducedhereinfi gure2(dottedline).\nIn the experimental paper it is argued that such a resistivity behav iour originates from spin-flip\n12scattering of conduction electrons by local magnetic moments whic h are possibly located at the\nmetal oxide interface. The physical explanation given in the experim ental paper is reasonable as\nour theory is in good agreement with the data (figure 2). In the pre sent theory we have spin-flip\nscattering of conduction electrons off the quasi-localized dorfelectrons. The DC resistivity is\ncomputed using the present theory ρ(T) =m\nne21\nτ(T)=m\nne2M′′(T) takes the form\nρ(T) = (m\nne2)1\n96π5J2V2m2\nN2/planckover2pi15nµsq5\ns/braceleftbigg\n(qD\nqs)6/parenleftbigg1√βµs/integraldisplay∞\n−βµddx/radicalbig\nx+βµdex\n(ex+1)2+\n2\n31√βµs/integraldisplay∞\n−βµddx(x+βµd)3\n2ex\n(ex+1)2−4\n31√βµs/integraldisplay∞\n−βµddx(x+βµd)3\n2e2x\n(ex+1)3/parenrightbigg\n+1\n8π2(qD\nqs)41\n(βµs)3\n2/integraldisplay∞\n−βµddx/radicalbig\nx+βµdex\n(ex+1)2/bracerightbigg\n, (50)\nand it does show an up-turn at lower temperature, and as the temp erature is raised it passes\nthrough a minima ( Tm≃38K) and then increase monotonically (figure 2). For the comparison\nof theory and experiment we take µs= 11.5eV, and lattice constant a= 4.05˚A(both for metal\nAluminum). We take qDandµdas our fitting parameters. The best fit value is obtained for\nqD= 5.29×108m−1andµd= 0.46eV. From the figure 2, it is clear that the theory developed\nhere is in reasonable agreement with the experimental data. By com paring the magnitudes of\nµd≃0.46eVandµs≃11.5eVwe notice that the s-electrons form a bigger Fermi surface, and\nd-electrons form a smaller Fermi surface, as expected from our t heoretical considerations.\n6 Conclusion\nThe calculation of DC resistivity through the calculation of the memor y function formalism\n(ρ(T) =m\nne21\nτ(T)=m\nne2M′′(T)) for the Kondo lattice Hamiltonian (or s-dHamiltonian) is pre-\nsented. We used the W¨ olfle-G¨ otze approximation to compute the memory function. The scat-\ntering of conduction electrons via the quasi-localized fordelectrons is taken into account\nby treating the Hs−dpart of Hamiltonian as a perturbation. Dispersion of spin excitations is\ntaken to be of the form /planckover2pi1ωq=cmq2. We find that the D.C. resistivity shows low temperature\n(kBT << µ d) power law up-turn and high temperature ( kBT >> µ d)T3\n2scaling.\nAppendices:\nA Average of spin density operators of localized elec-\ntrons\nThe commutator of spin density operators is written as:\n/summationdisplay\nk′k∝an}bracketle{t[S−(k′−k),S+(k−k′)]∝an}bracketri}ht=∝an}bracketle{t[S−(q),S+(−q)]∝an}bracketri}ht\n=/summationdisplay\nk∝an}bracketle{t[a†\nk′↓ak↑,a†\nk↑ak′↓]∝an}bracketri}ht\n(51)\nHere we set k′−k=q, and to treat delectrons as quasi-localized we write S+andS−in terms\nof Fermi functions ( S−(q) =/summationtext\nka∗\nk+q↓ak↑). The anticommutation property simplifies the eqn\n13(51) to\n/summationdisplay\nk′k∝an}bracketle{t[S−(k′−k),S+(k−k′)]∝an}bracketri}ht=/summationdisplay\nk,q∝an}bracketle{ta†\nk′↓{ak↑,a†\nk↑}ak′↓∝an}bracketri}ht−∝an}bracketle{ta†\nk↑{a†\nk′↓,ak′↓}ak↑∝an}bracketri}ht\n=/summationdisplay\nk,q(fd\nk+q↓−fd\nk↑) (52)\nWe usefd\nk′↓=∝an}bracketle{ta†\nk′↓ak′↓∝an}bracketri}htnotation to differentiate Fermi function of d-band electrons from that\nofs-band electrons. The other factor in eqn (15) is:\n/summationdisplay\nk′k∝an}bracketle{tS+(k−k′)S−(k′−k)∝an}bracketri}ht=∝an}bracketle{tS+(−q)S−(q)∝an}bracketri}ht=/summationdisplay\nk+q∝an}bracketle{ta†\nk↑ak+q↓a†\nk+q↓ak↑∝an}bracketri}ht\n=/summationdisplay\nk,qfd\nk↑(1−fd\nk+q↓).\n(53)\nBθintegral solution\nIn the presence of Fermi factors of the form fs\nk′(1−fs\nk) and at ordinary temperature kBT <<\nµs(∼eV), one can replace ǫandǫ′inside the square root by µsforselectrons ( µs=/planckover2pi12q2\ns\n2m) where\nqsis Fermi wavevector for s-electrons:\n/integraldisplayπ\n0sinθdθδ(q−√\n2m/radicalBig\n(ǫ′+ǫ−2√\nǫ′ǫcosθ))≃/integraldisplayπ\n0sinθdθδ(q−2/radicalbig\nmǫ(1−cosθ))\n≃/integraldisplayπ\n0sinθdθδ(q−√\n2qs/radicalbig\n(1−cosθ))\n(54)\nPutx= 1−cosθand define ξ=qs√\n2xand the limit of the integral changes to 0 and 2 qs(note\nthat 0< q < q s). The integral becomes\n/integraldisplayπ\n0sinθdθδ(q−√\n2m/radicalBig\n(ǫ′+ǫ−2√\nǫ′ǫcosθ))≃/integraldisplay2ks\n0ξdξ\nq2\nsδ(q−ξ)≃q\nq2\ns. (55)\nC Expansion of f1\nd(q)\nf1\nd(q) =/summationdisplay\nkd[fd(ǫkd)−fd(ǫk′\nd)] (56)\nThe Taylor’s expansion for small ( q→0) gives\nf1\nd(q) =/summationdisplay\nkd[fd(ǫkd)−fd(ǫkd)−q∂fd(ǫk′\nd)\n∂q|q=0−q2\n2!∂2fd(ǫk′\nd)\n∂q2|q=0−q3\n3!∂3fd(ǫk′\nd)\n∂q3|q=0...].\n(57)\n14on converting summation into integrals, we get\nf1\nd(q) =−V\n(2π)2/integraldisplay∞\n0k2\nddkd/integraldisplayπ\n0sinθdθ/bracketleftbigg\nq∂fd(ǫk′\nd)\n∂q|q=0+q2\n2!∂2fd(ǫk′\nd)\n∂q2|q=0+q3\n3!∂3fd(ǫk′\nd)\n∂q3|q=0.../bracketrightbigg\n,\n(58)\nWe have Fermi function fd(ǫk′\nd,θ) =1\neβ[/planckover2pi12q2\n2m+/planckover2pi12k2\nd2m+/planckover2pi12kdqcosθ\nm−µd]+1. For simplification, we put α=\nβ(/planckover2pi12k2\nd\n2m−µd),η=β/planckover2pi12\n2mandγ=β/planckover2pi12kd\nm. The Fermi function set to\nfd(q,α,η,γ,θ ) =1\ne[α+ηq2+γqcosθ],∂fd(α,γ,θ)\n∂q|q=0=−eαγcosθ\n(eα+1)2,\n(59)\nsimilarly\n∂2fd(α,η,γ,θ)\n∂q2|q=0=−eǫd−µd\n(eǫd−µd+1)2[2η+γ2cos2θ]+2γ2eβ(ǫd−µd)cos2θ\n(eǫd−µd+1)3, (60)\nthe third derivative becomes\n∂3fd(α,η,γ,θ)\n∂q3|q=0=12ηe2αγcosθ\n(eα+1)3−6ηeαγcosθ\n(eα+1)2−6e3αγ3cos3θ\n(eα+1)4+6e2αγ3cos3θ\n(eα+1)3−eαγ3cos3θ\n(eα+1)2,\n(61)\nWe substitute derivative terms of fd(ǫk′\nd) from eqn (59),(60) and (61) in the expression (58) and\nperformθintegration. Thus replacing α,ηandγwith their respective terms we obtain\nf1\nd(ǫd) =Vq2\n4π2√\n2m\n/planckover2pi1/bracketleftbigg\nβ/integraldisplay∞\n0dǫd√ǫdeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2+2\n3β2/integraldisplay∞\n0dǫdǫ3\n2\ndeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2−4\n3β2/integraldisplay∞\n0dǫǫ3\n2e2β(ǫd−µd)\n(eβ(ǫd−µd)+1)3/bracketrightbigg\n.\n(62)\nD Term f2\nd(q)expansion\nThe Fermi function of d-band electrons f2\nd(q) is\nf2\nd(q) =/summationdisplay\nkdfd(ǫkd)(1−fd(ǫk′\nd) (63)\nThe Taylor’s expansion for small qexpands the Fermi function in the form\nf2\nd(q) =/summationdisplay\nkdfd(ǫkd)/parenleftbigg\n1−fd(ǫkd)−q∂fd(ǫk′\nd)\n∂q|q=0−q2\n2!∂2fd(ǫk′\nd)\n∂q2|q=0−..../parenrightbigg\n=/summationdisplay\nkd/bracketleftbigg\nfd(ǫkd)/parenleftbigg\n1−fd(ǫkd)/parenrightbigg\n−qfd(ǫkd)∂fd(ǫk′\nd)\n∂q|q=0−q2\n2!fd(ǫkd)∂2fd(ǫk′\nd)\n∂q2|q=0−.../bracketrightbigg\n.\n(64)\nOn converting sum into integration\nf2\nd(ǫkd) =V/bracketleftbigg1\n(2π)2/integraldisplay∞\n0k2\nddkdfd(ǫkd)(1−fd(ǫkd))/integraldisplayπ\n0sinθdθ−q2\n2!(2π)2/integraldisplay∞\n0k2\nddkdfd(ǫkd)×\n/integraldisplayπ\n0sinθdθ∂2fd(ǫk′\nd)\n∂q2|q=0−....../bracketrightbigg\n, (65)\n15which can further be written in terms of energy\nf2\nd(ǫkd) =V\n(2π)2(2m)3\n2\n/planckover2pi13/integraldisplay∞\n0dǫd√ǫdeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2+Vq2\n4π2√\n2m\n/planckover2pi1/bracketleftbigg\nβ/integraldisplay∞\n0dǫd√ǫdeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2+\n2\n3β2/integraldisplay∞\n0dǫdǫ3\n2\ndeβ(ǫd−µd)\n(eβ(ǫd−µd)+1)2−4\n3β2/integraldisplay∞\n0dǫǫ3\n2e2β(ǫd−µd)\n(eβ(ǫd−µd)+1)3/bracketrightbigg\n. (66)\nReferences\n[1] Patrik Fazekas, “Lecture Notes On Electron Correlation and Magnetism” , World Scientific\n(1999).\n[2] Yi-Feng Yang, Zachary, Han-Oh Lee, J.D. Thompson and David Pin es, Nature Vol. 454,\n611-613 (2008).\n[3] N. Bachar, S. Lerer, S. Hacohen-Gourgy, B. Almog, and G. Deu tscher, Physical Review\nB87, 214512 (2013).\n[4] C. Pfleiderer, Rev. Mod. Phys. 81, 1551 (2009).\n[5] Alex C Hewson and Jun Kondo, Scholarpedia, 4(3):7529 (2009).\n[6] Jun Kondo, Progress of Theoretical Physics 32: 37 (1964).\n[7] A.C. Hewson, “The Kondo Problem to Heavy Fermions” , Cambridge, (1997).\n[8] Vijay B. Shenoy ”SERC School on Magnetism and Superconductivity” (2006)\n(http://www.physics.iisc.ernet.in/ ∼shenoy/LectureNotes/kondo.pdf).\n[9] Tadao Kasuya, Progress of Theoretical Physics, Vol. 16, No 1, July (1956).\n[10] Isao Mannari, Progress of Theoretical Physics, Vol. 22, No 3, September (1959).\n[11] R.J. Weiss and A.S. Marotta, J. Phys. Chem. Solids Pergamon Pre ss Vol.9pp. 302-308\n(1959).\n[12] J. Mathon, Proc. Roy. Soc. A. 306, 355-368 (1968).\n[13] D.L.Mills, J. Phys. Chem. Solids, Vol. 34, pp. 679-686 (1973).\n[14] Kazuo Ueda and Toru Moriya, Journal Of The Physical Society O f Japan, Vol. 39, No.\n3, November (1975).\n[15] Kazuo Ueda, Journal Of The Physical Society Of Japan, Vol. 43, No. 5, November (1977).\n[16] Komal Kumari, Raman Sharma and Navinder Singh, Physics Lette r A 2645-2651 (2019).\n[17] J. M. Ziman, Electrons and phonons , OUP, Oxford (2001).\n[18] R. Kubo, J. Phys. Soc. Jpn. 12, 570 (1957); Rep. Prog. Phys. 29, 255 (1966).\n[19] Navinder Singh, “ Electronic Transport Theories: from Weakly to Strongly Co rrelated\nMaterials”, CRC Press (2016).\n[20] W. G¨ otze and P. W¨ olfe, Phys. Rev. B. 6, 1226 (1972).\n16" }, { "title": "1111.0426v1.Development_and_Performance_of_spark_resistant_Micromegas_Detectors.pdf", "content": "Development and Performance of spark-resistant\nMicromegas Detectors\nGeorge Iakovidis\u0003\nNational Technical Univ. of Athens, Greece\nE-mail: George.Iakovidis@cern.ch\nKonstantinos Karakostas\nNational Technical Univ. of Athens, Greece\nE-mail: Konstantinos.Karakostas@cern.ch\nMatthias Schott\nCERN, Switzerland\nE-mail: Matthias.Schott@cern.ch\nThe Muon ATLAS MicroMegas Activity (MAMMA) focuses on the development and testing\nof large-area muon detectors based on the bulk-Micromegas technology. These detectors are\ncandidates for the upgrade of the ATLAS Muon System in view of the luminosity upgrade of\nLarge Hadron Collider at CERN (sLHC). They will combine trigger and precision measurement\ncapability in a single device. A novel protection scheme using resistive strips above the readout\nelectrode has been developed. The response and sparking properties of resistive Micromegas\ndetectors were successfully tested in a mixed (neutron and gamma) high radiation field, in a X-ray\ntest facility, in hadron beams, and in the ATLAS cavern. Finally, we introduced a 2-dimensional\nreadout structure in the resistive Micromegas and studied the detector response with X-rays.\nXXIst International Europhysics Conference on High Energy Physics\n21-27 July 2011\nGrenoble, Rhones Alpes France\n\u0003Speaker.\nc\rCopyright owned by the author(s) under the terms of the Creative Commons Attribution-NonCommercial-ShareAlike Licence. http://pos.sissa.it/arXiv:1111.0426v1 [physics.ins-det] 2 Nov 2011Development and Performance of spark-resistant Micromegas Detectors George Iakovidis\n1. Introduction\nThe Micromegas (Micro-MEsh Gaseous Structure) detectors have been invented for the detec-\ntion of ionizing particles in experimental physics, in particular in particle and nuclear physics. It\nwas first proposed in 1996 [ 1]; its basic operation principle is illustrated in Fig. 1. A planar drift\nelectrode is placed few mm above a readout electrode. The gap is filled with ionization gas. In\naddition, a metal mesh is placed \u00180:1 mm above the readout electrode. The region between drift\nelectrode and mesh is called the drift region, while the region between mesh and readout electrodes\nis called the amplification region. Both the mesh and the drift electrode are set at negative high\nvoltage, so that a electric field of \u0018600 V/cm is present in the drift region and a field of \u001850\nkV/cm is present in the amplification region. The readout electrodes are set to ground potential.\nCharged particles transversing the drift region ionize the gas. The resulting ionization electrons\ndrift towards the mesh with a drift velocity of 5 cm/ ms. The mesh itself appears transparent to the\nionization electrons when the electric field in the amplification region is much larger than that in\nthe drift region. Once reaching the amplification region, the ionization electrons cause a cascade\nof secondary electrons (avalanche) leading to a large amplification factor, which can be measured\nby the readout electrodes. A significant step in the development of Micromegas detectors was\nachieved in 2006 and its known as bulk-Micromegas technology. A detailed description can be\nfound in [ 2].\n2. Resistive Chambers\nThe thin amplification region together with its high electric field implies a large risk of spark-\ning. Sparks can cause damage to the detector itself, on the underlying electronics, but lead also\nto significant dead-times. This serious disadvantage was overcome recently, with the development\nof spark resistant Micromegas chambers by the MAMMA group [ 3]. The resistive Micromegas\ndeveloped by MAMMA group has separate resistive strips rather than a continuous resistive layer\nto avoid charge spreading across several readout strips and to keep the area affected by a discharge\nas small as possible. The resistive strips are separated by an insulating layer from the readout strips\nand individually grounded through a large resistance. The Micromegas structure is built on top of\nthe resistive strips. It employs a woven stainless steel mesh which is kept at a distance of 128 mm\nfrom the resistive strips by means of small pillars (Fig. 1). Above the amplification mesh, at a dis-\ntance of 4 or 5 mm, another stainless steel mesh serves as drift electrode. The signal on the readout\nstrips is then capacitively coupled to resistive strips. It has been shown that this design provides a\nspark-resistant layout for Micromegas chambers even in very high flux environments [ 4].\nFigure 1: Resistive Micrommegas Layout.\n2Development and Performance of spark-resistant Micromegas Detectors George Iakovidis\nThe basic Micromegas design can be easily extended to a two-dimensional readout. The read-\nout strips in the x-direction are placed parallel to the resistive strips, while the readout-strips in\nthe y-direction are placed perpendicular. All strips are separated by isolation material. The signal\non the readout strips is again capacitively coupled to resistive strips. Hence it is expected that the\ninduced signal on the x-strips is smaller then the signal on the y-readout strips due to the larger\ndistance to the resistive strips and screening effects. In order to ensure that the induced charge in\nboth layers is of similar magnitude the lower readout-strips should be wider.\nWe present here preliminary results on the performance of spark resistant Micromegas cham-\nbers in a beam of neutrons with a flux of 106Hz=cm2. The detectors have been operated with three\nAr:CO 2gas mixtures, with 80:20, 85:15 and 93:7. Fig. 2 shows a comparison of the the high\nvoltage drop in case of sparks and the current that chamber draws for the bulk Micromegas on the\nleft and resistive one on the right. Only a few sparks per second were observed in a beam with\n1.5\u0001106neutrons =cm2=s. Hence, the spark signal is reduced by a factor of 1000 compared to a\nstandard Micromegas. The spark rate was found four times higher with the 80:20 compared to the\n93:7 Ar:CO 2gas mixture. The neutron interaction rate was found independent of the gas.\nFigure 2: Performance of standard (left) and resistive (right) Micromegas chambers.\nReferences\n[1] I. Giomataris et al.,: Micro-Pattern Gaseous Detectors, Nucl. Instrum. Methods A 376 (1996) 29\n[2] I. Giomataris et al., Micromegas in a bulk; Nucl Instrum. Methods, A560 2006, PP:405\n[3] Alexopoulos, T. et. al: A spark-resistant bulk-Micromegas chamber for high-rate applications,\nNucl.Instrum.Meth., A640, 2011, PP:110-118\n[4] Alexopoulos, T. et. al: Development of large size Micromegas detector for the upgrade of the ATLAS\nmuon system, Nucl.Instrum.Meth., A617, 2010, PP: 161-165\n3" }, { "title": "2207.11519v1.Bandwidth_Hard_Functions_from_Random_Permutations.pdf", "content": "arXiv:2207.11519v1 [cs.CR] 23 Jul 2022Bandwidth-Hard Functions from Random Permutations\nRishiraj Bhattacharyya1and Avradip Mandal2\n1University of Birmingham, UK rishiraj.bhattacharyya@gmail.com\n2Skyflow, avradip@gmail.com\nAbstract. ASIC hash engines are specifically optimized for parallel co mputations of cryptographic hashes\nand thus a natural environment for mounting brute-force att acks on hash functions. Two fundamental\nadvantages of ASICs over general purpose computers are the a rea advantage and the energy efficiency.\nThe memory-hard functions approach the problem by reducing the area advantage of ASICs compared\nto general-purpose computers. Traditionally, memory-har d functions have been analyzed in the (parallel)\nrandom oracle model. However, as the memory-hard security g ame is multi-stage, indifferentiability does\nnotapply andinstantiating the random oracle becomes anon- trivial problem. Chenand Tessaro (CRYPTO\n2019) considered this issue and showed how random oracles sh ould be instantiated in the context of\nmemory-hard functions.\nThe Bandwidth-Hard functions, introduced by Ren and Devada s (TCC 2017), aim to provide ASIC resis-\ntance by reducing the energy advantage of ASICs. In particul ar, bandwidth-hard functions provide ASIC\nresistance by guaranteeing high run time energy cost if the a vailable cache is not large enough. Previously,\nbandwidth-hard functions have been analyzed in the paralle l random oracle model. In this work, we show\nhowthose random oracles can beinstantiated usingrandom pe rmutations in thecontext ofbandwidth-hard\nfunctions. Our results are generic and valid for any hard-to -pebble graphs.\nKeywords: memory-hard, bandwidth-hard, red-blue pebbling, random permu tation model\n1 Introduction\nCryptographic hash functions are ubiquitous in modern-day proto cols. They are particularly important in\npassword hashing, and proof of work (POW) based blockchain prot ocols. However, with recent advances in\nASIC (Application Specific Integrated Circuits) hash engines, one c an compute standard hash functions more\nefficiently usingparallelization.Bruteforcingapassworddatabases eemsquitefeasible inthe ASIC environment.\nThis observation led to a growing interest in ASIC resistant hash fun ction design, where the goal is to design a\nhash function whose evaluation cost remains nearly identical, irrespective of the hardware it is being evalu ated\non.\nMemory-Hard Functions. Memory-Hardfunctions,proposedbyPercival[21],considerthem emorycosttobe\nthe main hardware cost that balances the situation across differen t platforms. In particular, the area advantage\nof ASICs due to dedicated, small-foot-print hash computation unit s gets nullified if significant spending of\narea for memory is required. A function is called memory-hard if it req uires a lot of memory capacity to\nevaluate, even using parallel computation. Thus for a memory-har d function, faster evaluation through ASIC\nwould imply more cost due to memory. There has been a long line of rese arch [21,7,12,18] on ASIC resistant\nMemory Hard Functions (MHFs). Scrypt [21] is the first provably se cure (sequentially) memory-hard function.\nHowever, it had a data-dependent memory access pattern. Balloo n hash by Boneh et. al. [12] was one of the\nfirst practical, provably secure memory hard hash functions in the random oracle model with data-independent\nmemory access pattern. However, they did not consider the Para llel Random Oracle Model [7] which models\nadversarialcapabilities more realistically. Both [12] and [7] followed th e framework of Dwork, Naor and Wee [15]\nwhich has been applied previously in numerous cryptographic work [6 ,16,17,19] and related hardness of pebble\ngames [20,14], hardness of certain computations in the random orac le model [8].\nBandwidth-Hard Functions. Recently Ren and Devadas [22] proposed the notion of bandwidth-hardness .\nComplementing the principle of memory-bound functions [1], they ar gued faster computation in ASIC is not\ncompletely free; the energy spent for on-chip computation and me mory accesses is the running cost of the ASIC\nenvironment. The energy spent on memory accesses is the same ac ross different platforms, thus a good target\nfor normalizing costs across different platforms. A function is called bandwidth-hard if the energy spent on\nmemory access dominates the evaluation’s energy cost.\nRen and Devadas, however, only considered the sequential model of computation, not the more realistic\nparallel random oracle model. Blocki et. al. [11] addressed this and e xtended the notion of bandwidth-hardfunctions in the parallel random oracle model. They showed various m emory-hard functions like scrypt [21],\nArgon2i [10], aATSample and DRSample [4] are in fact bandwidth-hard under appropriate cache size.\nGraph Labelling and Pebbling Complexity. Memory Hard functions and Bandwidth-Hard are con-\nstructed based on Directed Acyclic Graphs (DAG). Let G= (V,E) be a DAG over nverticesV={v1,···,vn}.\nThe vertices of Vare sorted in some topological order, a path from vitovjimpliesvi≤vj.v1denotes the\nunique source, and vndenotes the unique sink of the graph. The function is defined by the graphGand a\nlabelling function based on a random oracle H. The evaluation of the function on input xis the label ℓof the\nsinkvn, where the label of a node viis recursively defined as ℓi=H(i,ℓu1,ℓu2,...,ℓ uδ) whereu1,...,u δare\nthe predecessors of ui.\nThe hardness of the function is proved via pebbling complexity of the graph G. The pebbling complexity\nis defined as a game of several rounds. Initially, all vertices of the g raph are empty. In every round, one puts\npebbles on vertices if all their predecessors already have pebbles o n them from the previous round. One can\nremove a pebble from a vertex at any time. The game concludes when the player puts a pebble on the sink. In\nthe sequential model of computation, only one vertex can be pebb led at each round. The cumulative pebbling\ncomplexity of a game is the sum of the number of pebbled vertices ove r each round. The cumulative pebbling\ncomplexity of the graph is the minimum cumulative pebbling complexity of any pebbling strategy to complete\nthe game.\nIt is natural to relate the cumulative pebbling complexity of Gwith the upper bound of cumulative memory\ncomplexity of the memory-hard function defined over G. To evaluate the function, one can follow the pebbling\ngame and compute labels of the vertices being pebbled in that round. Putting a pebble on viwith predecessors\nu1,...,u δimplies that all of u1,...,u δare pebbled and hence their labels are computed. Thus one can comp ute\nℓi=H(i,ℓu1,ℓu2,...,ℓ uδ). In the breakthrough result of [7], Alwen and Serbinenko showed t hat a lower bound\nof cumulative pebbling complexity of Galso implies a lower bound on the cumulative memory complexity of\nthe memory-hard function defined over GwhenHis a random oracle that can be accessed in a parallel fashion\n(more than one query at a time). All the majorfollow up results [2,3,5] then focused on constructinggraphs with\nhigh pebbling complexity. For bandwidth-hardness in [22], the author s defined the energy cost that relates to a\nred-blue pebbling game. In a red-blue pebbling game, there are two t ypes of pebbles. A red pebble corresponds\nto the data in the cache, and a blue pebble models data in memory. Dat a in memory must be brought into the\ncache before using it for computation. Accordingly, a blue pebble mu st be replaced by a red pebble before the\nsuccessors can be pebbled.\n1.1 Our Contributions\nWe formalize the notion of bandwidth-hardness in the parallel rando m permutation model and provide a generic\nconstructionofadata-independent randompermutationbased b andwidth-hardfunction. The main contribution\nis a reduction to the energy complexity of the constructed functio n from the red-blue pebbling complexity of\nthe underlying depth-robust graph. The results extend the theo ry developed by Chen and Tessaro [13] who\nestablished an analogous relation for the cumulative memory complexity [7] of a random permutation based\nmemory-hard function and the blackpebbling complexity of the underlying graph.\nWe start by considering graphs with constant in-degree δ. We consider a Davis-Meyer inspired labelling\nfunction, which makes one call to an underlying random permutation . We demonstrate that the given a graph\nalong with the labelling function will establish to a bandwidth-hard func tion if the red-blue pebbling complexity\nof the given graph is high.\nThe labelling function for a constant-indegree graph makes a single q uery to the underlying random per-\nmutation. The labelling function labtakes input ( x1,x2,...,x δ) wherex1,...,x δare the labels of the δ-many\npredecessors and outputs π(⊕δ\ni=1xi)⊕⊕δ\ni=1xi. If a node has a single predecessor, then the labelling function\noutputs π(x)⊕xwherexis the label of the predecessor. The main technical contribution of our work is to\ndevise a compression argument which works for any constant in-de gree ([13] crucially requires indegree to be\n2), even when the labelling function does not take the identity of the node. The adversary can make inverse\nqueries to the underlying primitive (in our case, random permutation ).\nFinally, we extend the output length of the bandwidth-hard functio n by extending the technique of [13] and\nshow a direct way to convert any depth-robust graph Ginto a bandwidth-hard function.\nImpact of our result The main analysis aims to prove that the notion of red-blue pebbling ga mes can be\napplied to capture the bandwidth-hardness in the parallel random p ermutation model as well. While parallel\nrandom oracle models have been popular in this setting, we stress th at in all practical protocols, the random\noracles are instantiated using a standard hash function where the underlying building blocks are public. Indeed\n2the Keccak- f, the SHA-3 standard, uses a large permutation as the building block on which the sponge mode\nis applied to instantiate the hash function. Moreover, as the under lying game is inherently multi-stage, we can\nnot leverage the indifferentiablity results of the standard hash fun ctions. Thus our work fills the crucial gap of\nbuilding the theory of energy-hardness on a more realistic ideal ass umption.\nWe note that the construction of a random permutation based ban dwidth-hard function could be dug out\nfrom two previous works. One can use the technique of [13] to first prove memory-hardness and then apply a\nresult from [11]. The later work showed a lower bound of energy cost by the square root of the memory-cost.\nThe composition results in a lower bound on Ω(|V|√n) where|V|is the number of vertices in the underlying\ngraph, and nis the output length of the random permutation. In contrast, we s how a hardness lower bound of\nΩ(|V|n) which is a quadratic improvement on the block size of the underlying permutation. As we show later,\nthe improvement comes from a revised predictor algorithm where we crucially leverage the structure of the\nlabelling function and thus avoid the need to use a generic lower bound argument.\nFinally, our random oracle instantiation is the same as in Argon2i. Thus our work is the first step in\nestablishing proof of security for Argon2i in the random permutat ion model.\nOverview of our Technique We construct bandwidth-hard functions from hard-to-pebble gr aphs. Our main\ntheorem presents a lower bound of the energy complexity of the co nstructed function in terms of the red-blue\npebbling complexity of the underlying graph. As explored in [22,11], the energy cost of function evaluation is\nderived based on the amount of data transfer between the cache and the main memory. Our objective is to\ntransform any evaluation strategy of the function into a red-blue pebbling of the graph such that the red-blue\npebbling complexity remains proportional to the energy cost of the evaluation.\nIn [13], the authors already showed how to transform any evaluatio n strategy into a blackpebbling. The\nidea is to examine the random permutation queries made by the evalua tion in each round. We say the label for\nvertexvis an output of round i, if the random permutation query π(⊕δ\ni=1xi) is made at the end of round i,\nwhere the labels x1,...,x δare of the predecessors of vand were generated as output in the previous rounds. A\npebble placed on the vertex vin round iif label of vertex vis an output of round iand in some future round\nthe label of some successor of vis evaluated and label of vis not recomputed in the intermediate rounds. Thus\nthe label of vmust be kept in memory.\nIn our case, however, we aim to derive the relations in terms of the a mount of data-transfer between cache\nand the memory. While the new red pebbles correspond to the rando m-permutation query, we need to relate\nthe red to blue or blue to red moves with the data-transfer. The ch allenge is to extract such relation when the\nevaluator may use arbitrary data encoding. Moreover, the evalua tion may indeed query the inverse functions.\nThus, we can not make any direct connections between the data-t ransfer and the red-blue pebbling by following\n[13] alone.\nThe idea is to establish the relationship in a somewhat amortized fashio n. Following [11], we consider the\nsetup where the evaluation has only mnbits of cache (containing mmanyn-bit words), and the pebbling has\n20δmmany red pebbles available. We start with a black pebbling P= (P1,...,P t). Instead of looking at each\nround, we partition the rounds into intervals {(t0= 0,t1],(t1,t2],...(tk−1,tk=t]}such that the evaluation\ntransfers at least mnbits between the cache and the memory during each interval. We des ign the our red-blue\npebbling in such a way so that we make at most 10 δmred moves during that interval. We divide the red pebbles\ninto two sets of size 10 δmdenoted as Rold\niandRmove\nirespectively. The set Rold\niwill be kept as red, whereas\nthe setRmove\niis transferred from blue pebbles. Thus the evaluation incurs energ y cost to transfer mnbits of\ndata, and the red-blue pebbling has the cost of at most 20 δmmoves between red and blue. The final challenge\nis to ensure that such partitioning is always possible: “at least mnbits transferred at the cost of at most 20 δm\nmoves”. As in [7,13,11], we can compress a random permutation with t he help of any evaluator who bypasses\nsuch partitioning.\n2 Notations and Preliminaries\nIfSis a set,|S|denotes the size of S.x$← −Sdenotes the process of choosing xuniformly at random from S.\nFor strings xandy,|x|denotes the length of the string x.x||ydenotes the concatenation of xandy. [n] denotes\nthe set{1,···,n}. We use boldface letters to denote vectors.\nWe need the following Lemma from [17].\nLemma 1. LetBbe a sequence of bits. Let Abe a randomized algorithm that, on input h∈Hfor some set H,\nadaptively queries specific bits of Band outputs guesses for kmany bits that were not queried. The probability\n(over the random coins of A) that there exists an h∈HwhereA(h)guesses all bits correctly is at most|H|\n2k.\n32.1 Parallel Random Permutation Model\nIn this paper, we consider the ideal random permutation model for our analysis. Let Πbe the set of all\npermutations over {0,1}n. The parallelidealprimitive model [13] is the generalizedmodel ofthe parallelrandom\noracle model of Alwen and Serbinenko [7]. For any oracle algorithm A, inputxand internal randomness r, the\nexecution in the parallel ideal primitive model works in the following way . A function ipis chosen uniformly\nat random from the family IP.Acan query ip. In our paper, IPis a family of random permutations. Thus, a\npermutation πis chosen uniformly at random from Π. the forward queries are denoted by ( ip,+,x) and the\nresponse is π(x). The inverse queries are denoted by ( ip,−,y) the response is π−1(y).\n2.2 Complexity Models\nThroughout the paper, the notion of state is tuple ( σ,ζ) where σis used to denote the content of the cache\nandζis used to denote the content of the memory. Let σ0=x,ζ0=∅) be the initial state where the cached\ncontentxis the input given to Awho has a cache size of mnbits, and the main memory content is empty.\nFor each round A(x;r) takes input the cache state σi−1, performs unbounded computation and transfer data\nbetween memory and cache, and generates output cache state σ′\ni= (δi,qi,outi) whereδiis a binary string, qi\nis a vector of queries to the random permutation π, and each element of outiis of the form ( v,ℓv) wherevand\nℓvaren-bit labels. After the ithround,ζ′\nidenotes the content of the memory. We define σi= (δi,ans(qi)) to\nbe the input cache state for round i+1, where ans(qi) is the vector of responses to queries qi. As the cache\nsize ismwbits,|qi|≤mas otherwise, Awill not be able to store all the responses in the cache. We say the A\nterminates after round tifqt=∅.A(x;r) needs to transfer data between cache and memory. We assume t he\nattacker follows some arbitrary functions f1,f2,f3,f4for communication between cache and memory during\neach round. The only requirement is that the functions need to be in dependent of the random permutation. We\nletRi={ρ1\ni,ρ2\ni,···,ρli\ni}denote the sequence of messages sent from cache to memory dur ing round i. Similarly,\nwe letSi={s1\ni,s2\ni,···,sli\ni}denote the responses sent from memory to cache.\nTraceofA(x;r)isthevectorofalltheinputandoutputstatesoftheexecution. trace(A(x;r)) = (σ0,σ′\n1,ζ′\n1,R1,S1,σ1,···).\nThroughout the paper, we assume an upper bound, denoted by q, on the total number of queries made to πby\nA(x;r).\nMemory Complexity Giventrace(Aπ(x;r)) on input x, randomness rand a random permutation π, the time\ncomplexity time(Aπ(x;r)) isdefinedasthenumberofroundsbefore Aterminates.Wedefinethespacecomplexity\nspaceπ(A(x;r)) by the size of the maximal input state. The time complexity (resp. space complexity) of Ais\nthe maximal time complexity (resp. maximal space complexity) overa llx,randπ. The cumulative memory\ncomplexity (CMC) [7] is defined as\nDefinition 1. Giventrace(Aπ(x;r)), we define the cumulative memory complexity as\nCMC(Aπ(x;r)) =time(Aπ(x;r))/summationdisplay\ni=0|σi|+|ζi|\nwhereσidenotes the input cache state of round iandζidenotes memory content at the start of round i.\nFor a real ǫ∈(0,1), and a family of function F={fπ:X →Y} π∈Π, we define the ǫ-cumulative memory\ncomplexity ofFis defined to be\nCMCǫ(F)def=minx∈X,A∈Ax,ǫE[CMC(Aπ(x;r))]\nwhereAx,ǫis the set of all parallel algorithms that with probability a t leastǫon input xand oracle access\ntoπ, output fπ(x). Here, the probability and expectation are calculated over the randomness of the random\npermutation πand the internal randomness of A.\nEnergy Complexity\nDefinition 2. Giventrace(Aπ(x;r)), we define the energy complexity as\ncost(Aπ(x;r)) =time(Aπ(x;r))/summationdisplay\ni=0\ncr|qi|+li/summationdisplay\nj=1cb(|ρj\ni|+|sj\ni|)\n\n4wherecrdenotes the cost of random permutation query and cbdenotes the cost for data transfer between the\ncache and the main memory.\nFor fixed cb,cr, a real ǫ∈(0,1), and a family of function F={fπ:X →Y} π∈Π, we define the ǫ-energy\ncomplexity ofFis defined to be\necostǫ(F,mn)def=minx∈X,A∈Ax,ǫE[cost(Aπ(x;r))]\nwhereAx,ǫis the set of all parallel algorithms with at most mnbits of cache that with probability at least ǫon\ninputxand oracle access to π, output fπ(x).\n2.3 Memory and Bandwidth Hardness\nIn this section, we recall the definition of Memory and Bandwidth har dness.\nMemory Hard Functions We now recall the definition of memory-hardness in the random perm utation\nmodel. The definition follows the spirit of the definition provided in [13]. I ntuitively a function is memory-\nhard if there exists a somewhat efficient sequential algorithm that c omputes the function, whereas any parallel\nalgorithm that computes the function correctly must pay a high CMC cost.\nDefinition 3. Consider a family of function F={fπ:X→Y} π∈Π. The familyFis(ǫ,δ,q)memory hard if\nfor all evaluation point xand an oracle-aided algorithm Aπthat makes at most qmanyπqueries such that:\n–Pr[Aπ(x) =fπ(x)]> ǫ\n–CMCǫ(F)≥δ\nHere, the probability and expectation are calculated over t he randomness of the random permutation πand the\ninternal randomness of A.\nBandwidth-Hard Functions We now extend the above definition of memory-hardness to the ban dwidth-\nhardness in the random permutation model.\nDefinition 4. Consider a family of function F={fπ:X→Y} π∈Π. The familyFis(ǫ,δ,q)bandwidth-hard\nif for all evaluation point xand all oracle aided algorithm Aπthat makes at most qmanyπqueries and uses at\nmnbits of cache it holds that\n–Pr[Aπ(x) =fπ(x)]> ǫ\n–ecostǫ(F,mn)≥δ\nHere, the probability and expectation are calculated over t he randomness of the random permutation πand the\ninternal randomness of A.\n2.4 Graphs and Pebbling Models\nWe useG= (V,E) to denote a directed acyclic graph (DAG) with |V|= 2nnodes, Let src(V)⊆Vbe the set\nof source nodes, and snk(V)⊆Vbe the set of sink nodes. For a node v,pred(v)def={u∈V|(u,v)∈E}are the\npredecessors of v, andsucc(v)def={w∈V|(v,w)∈E}are the successors of v. We use ind(v) =|pred(v)|as the\nindegree of v. For a directed acyclic path P, the length of Pis the number of nodes it traverses. depth(G) is the\nlength of the longest acyclic path in G. For a source node v,ind(v) = 0. For S⊆V,pred(S)def=∪v∈Spred(v).\nDefinition 5. A DAGG= (V,E)is(e,d)- depth-robust if and only if depth(G\\S)≥dfor anyS⊆Vwhere\n|S|≤e. Moreover, Gis said to be (e,d)-source-to-sink depth-robust if and only if for any S⊆Vwhere|S|≤e,\nG\\Shas a path of length at least dfrom a source node to a sink node in G.\nPebbling The pebbling game is played on a DAG Gin the mode of parallel pebbling. A pebbling of a DAG G\nis a sequence of pebbling configurations P= (P0,···,Pt) whereP0=∅andPi⊆Vfor alli∈[t]. A pebbling\nis legal if for any i∈[t], for any v∈Pi\\Pi−1,pred(v)∈Pi−1. Finally, a pebbling is successful, if for every sink\nnodevs, there exists i∈[t],vs∈Pi.\n5(B0,R0)\nsnk(B1,R1)\nsnk(B2,R2)\nsnk\n(B3,R3)\nsnk(B4,R4)\nsnk(B5,R5)\nsnk\nFig.1.Example of a red-blue pebbling that uses at most 2 simultaneo us red pebbles\nRed-Blue Pebbling In case of red-blue pebbling, we consider a sequence of pebbling con figurationsRB=\n((B0,R0),(B1,R1),···,(Bt,Rt)). As before, the game is played in rounds. Bi⊆Vdenote the set on which\nblue pebbles are placed. Ri⊆Vdenote the set on which red pebbles are placed. Initially, no node is pe bbled;\nR0∪B0=∅. The final goal is to place a red pebble on every sink node; snk(V)⊆∪iRi. The legal pebbling rule\nwithmred pebbles is in every round i >0; it should hold that\n–pred(Ri\\(Ri−1∪Bi−1))⊆Ri−1.\n–Bi\\Bi−1⊆Ri−1\n–|Ri|≤m.\nWe say a pebbling sequence RB= ((B0,R0),(B1,R1),···,(Bt,Rt)) is sequential if in addition to the above\nconditions, it holds that |Ri\\Ri−1|≤1 for 0< i≤t. The number of Blue moves and Red moves at round i\nare defined by the following BMiandRMirespectively\nBMidef=|{v∈Ri\\Ri−1:pred(v)/\\e}a⊔io\\slash⊂Ri−1}|+|Bi\\Bi−1|\nRMidef=|Ri\\Ri−1|−|{v∈Ri\\Ri−1:pred(v)/\\e}a⊔io\\slash⊂Ri−1}|\nDefinition 6. LetRB= ((B0,R0),(B1,R1),···,(Bt,Rt))be a red-blue pebbling. For fixed cbandcr, we define\nthe energy cost of RBis defined as\ncost(RB)def=t/summationdisplay\ni=1cb·BMi+cr·RMi\nGiven a DAG Gand the number of red pebble m, we define the red blue pebbling cost of a graph as\nrbcost(G,m)def=minRB∈RB(G,m)cost(RB)\nwhereRB(G,m)is the set of all red-blue pebbling of Gwithmred pebbles.\nFigure 1 shows an example of red blue pebbling for a graph with 5 nodes that uses a maximum of 2\nsimultaneous red pebbles.\nGraph based Bandwidth-Hard Functions in the random permuta tion model For a DAG G= (V,E)\nwith|V|= 2wnodesand ind(v)≤γandwithasetofsinknodes snk(V)andarandompermutation π:{0,1}n→\n{0,1}n, we define the labeling function of the graph Gwith respect to an input x= (x1,x2,···,xns)∈{0,1}nsn\naslabG,π,x:V→{0,1}nwhich is recursively defined as\nlabG,π,τ,x(v) =/braceleftbigg\nπ(xi)⊕xi ifvis theithsource\nτ(labG,π,τ,x(v1),labG,π,τ,x(v2),···labG,π,τ,x(vγ)) ifind(v)>0\nwhereτπ:{0,1}γn→{0,1}nis the labeling function for non-source nodes, pred(v) ={v1,···,vγ}. We define\nfG,π,τ(x) ={labG,π,τ,x(vs)}vs∈snk(V)as the graph function.\n63 Bandwidth-Hard Functions in the random permutation model .\nIn this section, we construct a family of graph-based bandwidth-h ard functions from n-bit permutation. Specifi-\ncally, we show that the labelling functions created in the previoussec tion are bandwidth-hard. Given π∈RPand\na graphG, we defined the non source nodes labeling function τ(x1,x2)def=π(x1⊕x2)⊕x1⊕x2. If a non-source\nnode has a single predecessor, then τ(x) =π(x)⊕x.\nThe construction can be generalized for DAGs with maximum indegree δ >2. Our proofs are done for\na constant δ. We note however, the black pebbling proved in [13] considered δ= 2. We say an input vector\nx= (x1,···,xns) is non-colliding if for all distinct i,jwe have xi/\\e}a⊔io\\slash=xj.\nTheorem 1. Consider a random permutation πover{0,1}n. Fix aδ-indegree predecessor distinct DAG G=\n(V,E)Assume an adversary can make no more than qoracle calls output calls such that q= 2n/10δ. Consider\nthe graph function fG,π,τwithτbeing the non-source nodes’ labelling function. Moreover, suppose the input to\nfG,π,τis non-colliding. Then there exists ǫ∈(0,1]such that if|V|≤2n/4δ, it holds that\necost(fG,π,τ,mn)≥ǫ\n40δrbcost(G,20δm)−ǫmcb\n2.\n3.1 Proof of Theorem 1\nLabel Notations . Fix an input vector xand the underlying DAG G= (V,E). For any node v∈V, we denote\nbylab(v) the graph label of v. For every node v, by the term pre-label of v( denoted by prelab(v)) we define\nthe input of the πquery to compute lab(v). Ifvis a source, then by definition prelab(v) =xv. For a non-source\nnodev,prelab(v) =⊕d(v)\ni=1lab(vi) wherevi∈pred(v) are the predecessors of v. For every node v∈V, we define\npostlab(v) =π(prelab(v)). By construction, lab(v) =postlab(v)⊕prelab(v).\nLabels of the nodes are distinct. The first property we need from the labelling is that all the node labels\nare distinct during the evaluation of the labelling function for a given in put. Thus looking at the label, we can\nidentify the corresponding node.\nClaim 2 Suppose G= (V,E)be a DAG with nvertices such that pred(u)/\\e}a⊔io\\slash=pred(v)for all distinct u,v∈V.\nLetColldenote the event that there exists two distinct nodes u,vwithlab(u) =lab(v)orprelab(u) =prelab(v)\nduring one evaluation. It holds that\nPr\nπ[Coll]≤2|V|2\n2n\nExtending Black Pebbling to Red-Blue Pebbling Consider the black pebbling guaranteed by [13]. We\nstart with the notion of extension red-blue pebbling in the ideal primit ive model. Given a DAG Gand a legal\nblack pebbling P= (P0,···,Pt) with|Pi+1\\Pi|≤m, we say that a (legal) red-blue pebbling is a k-extension of\nPif∀i∈[t], we can find a small Ei⊆Vsuch that|Ei|≤k,Pi⊆Bi∪Ri, and in particular Pi∪Ei=Bi∪Ri.\nCorrect and Critical Calls The idea of the correct call is to point out the query corresponding to the\nevaluation of a node. We note however in [13], a correct call for a nod evin round idoes not automatically\npebble node vin round i. A query to a node leads to the node getting pebbled only if it is a sink no de or its\nlabel is used in the future. The notion of critical query captures th is idea.\nIn the random permutation model, the algorithm Acan make two types of queries to the permutation oracle.\nFor a forward query π(x), we say it is a correctcall for a node v∈Vif and only if it holds that x=prelab(v).\nSimilarly, the query π−1(x) is correct call for vertex vif and only if x=postlab(v).\nNow we define critical calls. A forward query π(x) is critical for a node u∈Vif and only if∃v∈succ(u)\nsuch that prelab(v) =xandAhas made no correct call for uafter round i. Similarly an inverse query π−1(y) is\ncritical for a node u∈Vin round i′> iif and only if∃v∈succ(u) such that prelab(v) =xandAhas made no\ncorrect call for uafter round i. Additionally, if vis a sink, then the first correct call for node vis critical for v.\nIn the following paragraph, we extend it to critical pebbling. A pebblin gPiat node ( v) is critical for uwith\ninterval [ t1,t2] if\n–v∈succ(u).\n–π(prelab(v)) orπ−1(postlab(v)) is queried at round i.\n–∀j∈[t1,i−1] no correct call for uis made.\n7We put the node uin the set Critical (t1,t2). Formally, given a black pebbling Pand an interval ( t1,t2), we\ndefine\nCritical (t1,t2)def=∪t2\ni=t1/parenleftbig\nparents(Pi\\Pi−1)\\/parenleftbig\n∪i−1\nj=t1Pj\\Pj−1/parenrightbig/parenrightbig\nSuppose uis a node in the set Critical (t1,t2) butu /∈Critical (t′\n1,t2) witht′\n1< t1. This implies that there\nis a correct call for uin some round jwitht′\n1≤j < t1.\nPartitioning Intervals Nowwepartitionthe tpebblingroundsintointervals( t0= 0,t1],(t1,t2],···recursively\nas follows. Let t1be the minimum pebbling round such that there exists j < t1such that|Critical (j,t1)|>\n(10δ−1)m. If no such t1exists, then we conclude that |Critical (t0,t)|≤(10δ−1)m. In that case, we propose\na red-blue extension-pebbling that requires 0 blue move and at most/summationtext\ni|Pi\\Pi−1|red moves.\nNow, once we have define t1,t2,···,ti−1, we define tito be the minimum index such that there exists\nti−1< j < t isuch that|Critical (j,ti)|≥(10δ−1)m. If no such tiexists then we set ti=tand conclude the\npartition. Note that the size of all the Critical sets for the last par tition is less than (10 δ−1)m.\nRed-Blue Pebbling Now we shall construct an extension pebbling that makes at most 10 δmred moves and\n10δmblue moves during each interval. Towards this we define the extensio n red blue pebbling ( B∗,R∗) by\ndividing the cache into two sets of size 10 δmdenoted as Rold\niandRmove\nirespectively. The set Rold\niwill be kept\nin the cache, whereas the set Rmove\niwill be brought from memory to the cache. We will set Ri=Rmove\ni∪Rold\ni\nandBi=Pi. Note that a node may contain both red and blue pebbles simultaneou sly as the same data may\nbe both in the cache and the memory.\nAt the start of each interval ( ti,ti+1], we set Rold\ni=∅. For each j∈(ti,ti+1], we define\nRold\nj= (Rold\nj−1∪(Pj\\Pj−1))∩Critical (j,ti)\nIntuitively, Rold\njstores all of the red-pebbles we compute during the interval ( ti,j] that are needed in the\ninterval [ j+1,ti+1). In other words any node whose label is computed during rounds ( ti,j] that are later needed\nfor the interval ( j+1,ti+1) will be in Rold\nj, which will be kept in cache. The following claim holds directly from\nthe definition.\nClaim.For anyj∈(ti,ti+1) it holds that\nCritical (j+1,ti+1)∩(∪j\nj′=ti(Pj′\\Pj′−1))⊆Rold\nj\nTo maintain legality across all time steps, we add a few rules about blue moves.\n1. A pebbled node v∈Rjfrom red to blue at time jif nodevis inCritical (ti,ti+1) for some later interval\n(ti,ti+1) withj < tiand ifv /∈Bjis not already stored in memory. Note, we will consider the cost cbof\nthis blue move for the corresponding future interval ( ti,ti+1).\n2. A pebbled node vis converted from blue to red if v∈Critical (ti,ti+1). In other words, we define Rmove\nj=\nCritical (ti,ti+1).\nNow we are ready to bound |Critical (ti,ti+1)|.\nLemma 2.\n∀j∈(ti,ti+1);|Critical (j,ti+1)|≤10δm\nProof.By construction of the interval, |Critical (j,ti+1−1)|≤(10δ−1)m. As cache size is bounded by m,\n|pred(Pti+1\\Pti+1−1)|≤m. Thus|Critical (j,ti+1)|≤10δm. ⊓ ⊔\nLemma 3. Riis a legal pebbling.\nProof.We start from the observation that pred(Pj+1\\Pj)⊆Critical (j,ti+1). For any v∈Critical (j,ti+1),\neitherv∈Critical (ti,ti+1) (thusv∈Rmove\nj) orvhas been pebbled at some step within the interval ( ti,j)\n(thusv∈Rold\nj). AsRj=Rmove\nj∪Rold\nj, we conclude pred(Pj+1\\Pj)⊆Rj. Hence all the parent nodes are in\nthe cache, and hence the pebbling is legal. ⊓ ⊔\nLemma 4.|Rold\nj|≤10δm.\n8Proof.AsRold\nj⊆Critical (j+1,ti+1) and by Lemma 2, |Critical (j+1,ti+1)|≤10δm, the lemma follows. ⊓ ⊔\nTobound the costofthe aboveextension pebbling weobservethat onlycachemissesthat weneed toconsider\nare the ones in Rmove\ntiwhose size is|Critical (ti,ti+1)|≤10δm. Considering their movement from the cache to\nmemory and back to cache, the total cost due to the cache misses is 2×10δm×cb, which is equal to 20 δmcb\nwherecbis the cost of cache to memory data transfer. Thus the total cos t of the extension pebbling for the\ninterval ( ti,ti+1] is bounded above by\n20δmcb+/summationdisplay\nj∈(ti,ti+1]cr(Pj\\Pj−1)\nRemark 1. We stress that we put a red pebble on a node only when there is a critic al query for that node. This\nstrategy is identical to the labelling principle of [11]. However, we work with fewer red pebbles as similar to[13]\nwe work with the pebbling extraction such that the sink nodes are pu t in the critical set and pebbled as soon\nas their labels are evaluated.\n3.2 The Predictor\nNext we show that for every interval any algorithm Aneeds to pay mcbcost for blue moves in addition to/summationtext\nj∈(ti,ti+1]cr(Pj\\Pj−1) cost for blue moves. In other words, we show that for an interva l,Atransfers at least\nmnbits between the cache and the memory. If such an algorithm exists , then that algorithm can be converted\ninto a predictor for the random permutation πresulting in a compression algorithm for a random permutation.\nLemma 5. Fixn,δ-indegree predecessor-distinct DAG G= (V,E)with|V|≤2n/8δandnsmany source nodes,\nnon-colliding input vector x∈{0,1}nsn, algorithmA(that makes q≤2n/8δmany calls). Define Badas the\nevent where all the following conditions are satisfied\n1. The pre-labels are distinct.\n2. The red-blue pebbling is legal.\n3. There exists an i∈Nsuch that for interval (ti,ti+1), the interval is not the last one, and the algorithm A\nsends less than mnbits between the cache and the memory.\nIt holds that Pr[Bad]≤2−2mn+1+2−n(1−1/4δ).\nProof of Lemma 5 Without loss of generality, we fix the random string rto be the random coins of Athat\nmaximized Pr[ Bad]. We shall show a predictor P(that hardwires the random string rand has oracle access to\nπ.) such that if Badhappens, there will be a hint hof size less than (10 δ−1)mnbits such that P(h) can predict\nthe outputs of at least (10 δ−1)mmany points of πcorrectly. The bound contradicts the incompressibility of a\nrandom permutation, and the lemma will hold. First, we describe the h inthand the predictor P.\nThe Hints. Leti∈Nbe the integer such that, for the interval ( ti,ti+1),Atransfers less than mnbits\nbetween the cache and the memory. This hint is going to consist of th e following components.\n1. Theset Critical (ti,ti+1)isgivenasthepointstheextractorneedstopredict.Recallthat |Critical (ti,ti+1)|≤\n10δmand hence the first component size of the hint is 10 δmlog|V|. We assume a topological ordering of\nthe vertices in the critical set based on the order of the critical ca lls. In other words, we order the elements\nofCritical (ti,ti+1) as (v1,v2,···,).\n2. A sequence Q= (id1,id2,···,id|Critical (ti,ti+1)|) such that for each vj∈Critical (ti,ti+1),idjis the\nindex of the first critical query for vj. This hint component tells the extractor the queries that require t he\nprediction of the output of the random permutation. The total siz e of this hint is at most 10 δmlogqbits.\n3. A sequence W= (w1,w2,···,w|Critical (ti,ti+1)|) of nodes where such that idjis a correct call for wjand\nwjis some successor of vj∈Critical (ti,ti+1). The total size of this hint is at most 10 δmlog|V|.\n4. Asequence L= (id′\n1,id′\n2,···,id′\n|Critical (ti,ti+1)|)suchthat id′\njisthefirstcorrectcallfor vj∈Critical (ti,ti+1).\nThis hint is required to ensure that the predictor does not query th e oracle on these inputs. The size of L\nis clearly bounded by 10 δmlogq.\n5.H={hj|vj∈Critical (ti,ti+1)}, wherehjis the label lab(vj) if there exists some k > jsuch that idk=idj,\notherwise hjis empty. Size of His at most 10 δm(1−1\nδ)nbits.\n96. The cachestate at tiis givenas ahint to simulate the attackerfrom the time slot ti. In addition the messages\nbetween the cache and the memory during ( ti,ti+1) is also given. The cache size is bounded by mnbits.\nThe total number of bits required for the messages passed betwe en the cache and the memory is assumed\nto be less than mnbits, as premised in the definition of the bad event.\nIn total, the size of the hint is less than\n10δm(2log|V|+2logq)+10δ(1−1\nδ)mn+2mn.\nPutting the conditions |V|≤2n/8δandq≤2n/8δ, we bound\n2log|V|+2logq≤n\n4δ+n\n4δ=n\n2δ\nThen the size of the hint is less than\n5mn+10δmn−10mn+2mn\nThus the total hint size is less than (10 δ−3)mn.\nSimulating A. Given an input, the predictor Precovers the pebbling configuration Piand parses the input\ninto the hints described above. Then PrunsA(σi) and attempts to predict(prelab(v),π(prelab(v))) forevery\nv∈Critical (ti,ti+1). When simulating A(σi), the algorithm Pfirst needs to figure out if the ideal primitive call\nis a correct call for a vertex v. Towards this the predictor keeps track of the labels prelab(u),postlab(u), and\nlab(u) for allu∈V. Once a node’s predecessors’ labels are fixed, the predictor acco rdingly updates the prelab\nof that node. Given an ideal-primitive call from A,Pperforms the following.\n–Ifπ(x) is the first critical call for some node vof the critical set (this can be confirmed from Q), thenP\nfinds the node w∈Wsuch that x=prelab(w). Iflab(w) is in the list Areturnslab(w)⊕xas the response.\n–Ifthe call is aninversecall π−1(y), then the predictorchecksif thereis a node v∈Vsuch that postlab(v) =y\nandlab(v) is in the list. If yes, Areturnslab(v)⊕y. If no such vexists,Pqueries the oracle and checks if\nthe answer is consistent with some prelab(v) forv∈V.Pupdates prelab(v),postlab(v),lab(v).\nHandling Critical calls . Next, we focus on predicting the output of the oracle for the crit ical queries.\nThe predictor simulates A(σi). For each round γ > i, after receiving the calls from A, the predictor Presponds\nto the critical calls in the following way\n–Pfirst enumerates node vj∈Critical (ti,ti+1) according to reverse topological order and checks the fol-\nlowing: If the idj-th call is in round γandlab(vj) is unknown yet, the predictor uses the hint to predict\nthe output. Note that the call is correct for node wj∈W. The first step for Pis to find the prelab(wj).\nSuppose wj∈Critical (ti,ti+1). If the call is a forward call π(x) thenPsetsx=prelab(wj). If the call\nis an inverse call π−1(y), then by the fact that vjis chosen in the reverse topological order, and the crit-\nical call for wjis made before any correct call for wj, the label lab(wj) is already fixed. Now, Pextracts\nprelab(wj) =lab(wj)⊕ywithout calling the oracle. If wj/∈Critical (ti,ti+1),Pcan query π−1(y) to get\nprelab(wj).\n–Oncewjandprelab(wj) is known, Pchecks whether vjis the only predecessor of wj. If yes,Ppredicts\nlab(vj) =prelab(wj) andπ(prelab(vj)) =prelab(vj)⊕lab(vj). If no, then Pfirst finds the other predecessor\nu. Ifu /∈Critical (ti,ti+1), as the red-blue pebbling is legal and wjgets pebbled at round γ > i, there exists\naγ′withi < γ′< γsuch that uis pebbled in round γ′.Precognizes the correct call for uand has already\nupdated lab(u). Ifu∈Critical (ti,ti+1), and the first critical call for uis before round γ, thenlab(u) is\nalready known to P.Ifu∈Critical (ti,ti+1) and the first critical call for uis same as vj, sincelab(vj) is still\nunknown, the predictor extract lab(u) from the hint H.\nWhat is left to do is to describe the working of the predictor for the ( later) correct calls for the elements\ninCritical (ti,ti+1). For each node vj∈Critical (ti,ti+1) and each correct ideal primitive call for vj, since\nthe predictor has already computed lab(vj),Panswers the call without querying the permutation. Preturns\nlab(vj)⊕x. Note, as lab(vj) =postlab(vj)⊕prelab(vj) for a forward call π(x) withx=prelab(vj), the correct\nresponse is indeed lab(vj)⊕x. For an inverse call π−1(x) withx=postlab(vj), the correct response is also\nlab(vj)⊕x. In addition, Penters (v,prelab(v),postlab(v),lab(v)) in its list.\nFor each round γ > i, after checking correct and critical calls for all nodes in Critical (ti,ti+1), the predictor\nanswers the remaining calls by making queries to the random permuta tion.\n10Correctness of the Predictor Recall from Claim 2, for a non-colliding input vector x∈{0,1}nsn, with\nprobability 1−|V|2\n2n, all the prelabels are distinct. Thus given a list of prelabels of all the nodes, we can match\nthe nodes with their prelabels.\nIfBadevent occursand Pis given the hints, it will correctlypredict π(prelab(v)) for all v∈Critical (ti,ti+1).\nFrom Claim 2, the set {prelab(v)}v∈Critical (ti,ti+1)are all distinct and thus contains at least (10 δ−1)mmany\npoints.\nFirst, we argue the correctness of labels maintained by Pby induction on the order of queries. Pstarts with\nthe correct labels of some nodes in the hint, thus proving the base c ase. Ifv /∈Critical (ti,ti+1), then a correct\ncall forvis preceded by a correct call for uwhereu∈pred(v). Thus by induction hypothesis lab(u) is already\ncorrectly computed and Pcorrectly computes prelab(v). Hence Pcan compute lab(v) by querying πat the first\ncorrect call for the node v. On the other hand, if v∈Critical (ti,ti+1), then by the input to the query to the\nsuccessor node and the hint H,Pcorrectly computes lab(v). Finally, we show that Panswers the future correct\ncalls for nodes in the critical set without querying the oracle. For ea ch nodev∈Critical (ti,ti+1),Pknows the\nindex of the first correct call for vfrom the hint L. It answers the query correctly from the already computed\n(extracted) and finalized lab(v). From the call itself Pextracts prelab(v) andpostlab(v). For all further correct\ncalls,Pcan respond from its list.\nFinally, we shall use the following lemma, which is an adaptation of Lemma 1 in the random permutation\nsetting.\nLemma 6. Letπ$← −Πbe a random permutation over {0,1}n. LetAbe an algorithm that takes a hint h∈Ω\nas input, makes q≤2n−2many queries to πand outputs guesses for k≤2n−2many un-queried points. The\nprobability that for some h∈Ω, the algorithm A(h)successfully guesses all the permutation values correctly is\nat most|Ω|\n2kn−1.\nNow in our case, total size of the hint is 2(10δ−3)mnand ifBadholds and there is no collision found by A,\nthenk≥(10δ−1)m. HencePr[Bad]≤2(10δ−3)mn\n2(10δ−1)mn−1+|V|2\n2n. This proves Lemma 5. ⊓ ⊔\nFinishing proof of Theorem 1 Fixǫsuch that ǫ−2−2mn+1−2−n(1−1/4δ)≥ǫ/2. So far, we have proved the\nfollowing. For any algorithm Athat computes the graph function fG,π,τwith probability more than ǫ, it holds\nwith probability more than ǫ/2 that\n–The pre-labels are distinct.\n–The extracted red blue pebbling is legal and has cost at most/summationtextk\ni=1(20δmcb+/summationtext\nj∈(ti,ti+1]cr(Pj\\Pj−1))\nwherekis the number of interval partitions.\n–For all intervals ( ti,ti+1] except the last, the algorithm Atransfers more than mnbits between the cache\nand the memory and thus the total cost is at least/summationtextk\ni=1(mcb+cr/summationtext\nj∈(ti,ti+1]|Pj\\Pj−1|)−mcb.\nThus we get that\necost(fG,π,τ,mn)≥ǫ/2\nk/summationdisplay\ni=1(mcb+cr/summationdisplay\nj∈(ti,ti+1]|Pj\\Pj−1|)−mcb\n\n≥ǫ\n40δrbcost(G,20δm)−ǫmcb\n2.\nThis finishes the proof of Theorem 1. ⊓ ⊔\n4 Wide Block Labelling Functions\nIn [13], authors introduced the notion of wide block labelling functions . They instantiated such functions using\nsmall block labelling functions and showed wide block labelling functions a re useful for construcing succint\niMHFs. Startng from a small block labelling function flabip:{0,1}L∪{0,1}2L→{0,1}L, [13] constructed\na family of wide block labelling functions vlabip\nγ,W:{0,1}γW→{0,1}Wforγ < δ. Such family of labelling\nfunction is denoted by Hδ,W. They showed if flabipis pebbling reducible and Gis a source to sink depth\nrobust directed acyclic graph with indegree δ, then the graph function FG,Hδ,Wbuilt upon GandHδ,Whas\nhighCMCcost.\nWe use the same wide block labelling function construction and show if Gis a source to sink depth robust\ndirected acyclic graph with indegree δ, then the graph function FG,Hδ,Whas high ecost.\n11Theorem 3. Suppose, flabπ:{0,1}n∪{0,1}2n→ {0,1}nis the small block labelling function defined in\nSection 2.43,Hδ,Wis a family of wide block labelling functions vlabπ\nγ,W:{0,1}γW→{0,1}Wforγ < δbased\nonflabπas defined in Section 4.1 of [13], G= (V,E)be a first-predecessor-distinct (e,d)-depth-robust DAG\nwithδ(maximum) indegree and single source/sink. If FG,Hδ,Wis the graph function built upon GandHδ,W\nthen\necostǫ(FG,Hδ,W,mn)≥ǫ\n40·K/radicalbig\ncbcrδKe(d−1)−5ǫ\n2mcb,\nwhereK=W/n,cbis the per unit memory transfer cost, cris the cost of one ideal primitive query and mis\nthe cache size.\nProof.By opening the graph FG,Hδ,W, one can get the graph Extδ,K(G) (as defined in proof of Theorem 4 in\nsection 4.2 of [13]), which is of maximum in degree 2. By Theorem 1, we ha ve\necostǫ(FG,Hδ,W,mn)≥ǫ\n40rbcost(Extδ,K(G),20m)−ǫmcb\n2.\nBy Theorem 1.2 of [11], we have\nrbcost(Extδ,K(G),20m)≥2/radicalBig\n2cbcrcc(Extδ,K(G))−80mcb,\nwherecc(G) is cumulative black pebbling complexity of a graph G. In proof of Theorem 4 in Section 4.2 of [13]\nauthors also showed\ncc(Extδ,K(G))≥δK3\n8·e·(d−1).\nCombining the above three inequalities, we have\necostǫ(FG,Hδ,W,mn)≥ǫ\n40·K/radicalbig\ncbcrδKe(d−1)−5ǫ\n2mcb.\n5 Concluding Discussion.\nOur main contribution was to show how one can instantiate bandwidth hard functions in the random permuta-\ntion model, given a constant in-degree graph Gwith high red-blue pebbling complexity. The result is a natural\nfollow-up of the line of work started with [7] followed by [9,4,13,11] and many others.\nIn [7], Alwen and Serbinenko introduced the notion of amortized cost and defined the notion of Memory\nHardness in terms of amortized evaluation cost of the function. In tuitively, amortized cost is the right notion\nfor evaulating memory hardness because in reality adversary is usu ally interested in evaulating the function on\na set of inputs rather than a single input. Alwen and Serbinenko also s howed, if one time evaluation complexity\nor Cumulative Memory Complexity (CMC) of the function can be reduc ed to black pebbling complexity of the\nunderlying graph, then in random oracle model amortized complexity of the function can also be reduced to\nthe same.\nFor a family of functions F={fπ:X→Y} π∈Πwe defineF⊗n={(fπ)⊗n:Xn→Yn}π∈Πwhere (fπ)⊗n\nsimply extends the domain and range of fπby evaulating the function in ntimes in parallel. Following [7], for\nanyk∈None can define amortized cumulative memory complexity (aCMC) as fo llows:\naCMC k,ǫdef= min\n˜k∈[k]CMCǫ(F⊗˜k)\n˜k.\nSimilarly, we can also define amortized energy cost of a functions as f ollows:\naecost k,ǫ(F,mn)def= min\n˜k∈[k]ecostǫ(F⊗˜k,mn)\n˜k.\nWhile [13,11] did not explicitly addressed how to extend their respectiv e results to amortized complexity.\nHowever, we note the extensions are not so diffcult. In case of [11] the extension follows because for node disjoint\nDAGs the red blue pebbling complexity is additive (same as black pebbling complexity). We observe that for\nthe results in [13], one can actually obtain a tighter reduction compar ed to Alwen and Serbinenko’s reduction\nin [7]. This is due to the fact that their ideal primitive does not take any explicit information about the node\nitself. Similarly, we can also extend our result to amortized energy co mplexity in random permutation model.\n3The labelling function defined in Section 2.4 is not dependen t on the node itself. Hence it can be viewed as a function\nwhose input is the predcessor nodes.\n126 Missing Proofs\n6.1 Proof of Claim 2\nProof.Without loss of generality, we consider the nodes in the topological o rder. Let E1ibe the event that\nlab(u) =lab(v) for some u/\\e}a⊔io\\slash=vwithu,v≤i. LetE2idenote the event prelab(u) =prelab(v) for some u/\\e}a⊔io\\slash=v\nwithu,v≤i. We prove the claim by induction on i. First we recall that all the input blocks are distinct as the\ninput is non-colliding.\nFori= 1, the base case, we start with the observation both Pr[ E11] and Pr[ E21] is equal to zero as there\nis only one node with the topological index 1.\nLetp1(u),...,p δ(u) be the parents of uin the topologically sorted order. For any i≤mthe equality\nprelab(i) =prelab(m+1) holds if and only if\nδ/circleplusdisplay\nj=1lab(pj(i)) =δ/circleplusdisplay\nj=1lab(pj(m+1))\nSuppose Without loss of generality, the label of pδ(m+ 1) was the last one evaluated. If it was a forward\nquery, then postlab(pδ(m+1)) had 2n−mmany choices. Conditioned on ¬E1m, the probability that the above\nequality gets satisfied is1\n2n−2m. Moreover, for an inverse πquery probability that the output matches with\nprelab(pδ(m+1)) is also1\n2n−2m. Taking union bound over all possible mchoices of i, we get\nPr[E2m+1|¬(E1m∨E2m)]≤m\n2n−2m\nConditioned on¬E2m+1, the probability that lab(i) =lab(m+1) for any fixed i≤mis1\n2n−2m. Taking union\nbound over all i≤m, we get\nPr[E1m+1|¬E2m+1∧¬(E1m∨E2m)]≤m\n2n−2m\nNow we bound\nPr\nπ[Coll]≤|V|/summationdisplay\nm=12m\n2n−2m≤2|V|2\n2n\n⊓ ⊔\n6.2 Proof of Lemma 2\nProof.Proof of first statement. By construction of the interval, |Critical (j,ti+1−1)|≤(10δ−1)m. As\ncache size is bounded by m,|pred(Pti+1\\Pti+1−1)|≤m. Thus|Critical (j,ti+1)|≤10δm. ⊓ ⊔\nProof of second statement. We start from the observation that pred(Pj+1\\Pj)⊆Critical (j,ti+1).\nFor anyv∈Critical (j,ti+1), either v∈Critical (ti,ti+1) (thusv∈Rmove\nj) orvhas been pebbled at some step\nwithin the interval ( ti,j) (thusv∈Rold\nj). AsRj=Rmove\nj∪Rold\nj, we conclude pred(Pj+1\\Pj)⊆Rj. Hence all\nthe parent nodes are in cache, and hence the pebbling is legal. ⊓ ⊔\nProof of third statement. Follows from Rold\nj⊆Critical (j+1,ti+1) and by Lemma 2, |Critical (j+\n1,ti+1)|≤10δm. ⊓ ⊔\n6.3 Proof of Lemma 6\nProof.Fix anhindependent from π. Given that Amakesqmany queries, the outputs for qmany points are\nfixed. Hence conditioned on the transcript, probability that Apredicts the permutation value of all the kmany\npoints is\n1\n(2n−q)(2n−q−1)···(2n−q−k+1)<1\n2kn−qk2n(k−1)\n<1\n2kn−2n−1+kn−n\n<1\n2kn−1\nNow taking union bound over all possible choice of h, we get the probability is at most|Ω|\n2kn−1.⊓ ⊔\n13References\n1. Mart´ ın Abadi, Michael Burrows, and Ted Wobber. Moderate ly hard, memory-bound functions. In Proceedings of\nthe Network and Distributed System Security Symposium, NDS S 2003, San Diego, California, USA , 2003.\n2. Jo¨ el Alwen and Jeremiah Blocki. Efficiently computing dat a-independent memory-hard functions. In Advances in\nCryptology - CRYPTO 2016 - 36th Annual International Crypto logy Conference, Santa Barbara, CA, USA, August\n14-18, 2016, Proceedings, Part II , pages 241–271, 2016.\n3. Jo¨ el Alwen and Jeremiah Blocki. Towards practical attac ks on argon2i and balloon hashing. In 2017 IEEE European\nSymposium on Security and Privacy, EuroS&P 2017, Paris, Fra nce, April 26-28, 2017 , pages 142–157, 2017.\n4. Jo¨ el Alwen, Jeremiah Blocki, and Ben Harsha. Practical g raphs for optimal side-channel resistant memory-hard\nfunctions. In Bhavani M. Thuraisingham, David Evans, Tal Ma lkin, and Dongyan Xu, editors, ACM CCS 2017 ,\npages 1001–1017. ACM Press, October / November 2017.\n5. Jo¨ el Alwen, Jeremiah Blocki, and Krzysztof Pietrzak. De pth-robust graphs and their cumulative memory complex-\nity. InAdvances in Cryptology - EUROCRYPT 2017 - 36th Annual Intern ational Conference on the Theory and\nApplications of Cryptographic Techniques, Paris, France, April 30 - May 4, 2017, Proceedings, Part III , pages 3–32,\n2017.\n6. Jo¨ el Alwen, Binyi Chen, Chethan Kamath, Vladimir Kolmog orov, Krzysztof Pietrzak, and Stefano Tessaro. On the\ncomplexity of scrypt and proofs of space in the parallel rand om oracle model. In Marc Fischlin and Jean-S´ ebastien\nCoron, editors, EUROCRYPT 2016, Part II , volume 9666 of LNCS, pages 358–387. Springer, Heidelberg, May 2016.\n7. Jo¨ el Alwen and Vladimir Serbinenko. High parallel compl exity graphs and memory-hard functions. In Rocco A.\nServedio and Ronitt Rubinfeld, editors, 47th ACM STOC , pages 595–603. ACM Press, June 2015.\n8. Mihir Bellare and Phillip Rogaway. Random oracles are pra ctical: A paradigm for designing efficient protocols. In\nDorothy E. Denning, Raymond Pyle, Ravi Ganesan, Ravi S. Sand hu, and Victoria Ashby, editors, ACM CCS 93 ,\npages 62–73. ACM Press, November 1993.\n9. Alex Biryukov, Daniel Dinu, and Dmitry Khovratovich. Fas t and tradeoff-resilient memory-hard func-\ntions for cryptocurrencies and password hashing. Cryptolo gy ePrint Archive, Report 2015/430, 2015.\nhttp://eprint.iacr.org/2015/430 .\n10. Alex Biryukov, Daniel Dinu, and Dmitry Khovratovich. Ar gon2: new generation of memory-hard functions for\npassword hashing and other applications. In 2016 IEEE European Symposium on Security and Privacy (EuroS &P),\npages 292–302. IEEE, 2016.\n11. Jeremiah Blocki, Ling Ren, and Samson Zhou. Bandwidth-h ard functions: Reductions and lower bounds. In David\nLie, Mohammad Mannan, Michael Backes, and XiaoFeng Wang, ed itors,ACM CCS 2018 , pages 1820–1836. ACM\nPress, October 2018.\n12. Dan Boneh, Henry Corrigan-Gibbs, and Stuart E. Schechte r. Balloon hashing: A memory-hard function providing\nprovable protection against sequential attacks. In Jung He e Cheon and Tsuyoshi Takagi, editors, ASIACRYPT 2016,\nPart I, volume 10031 of LNCS, pages 220–248. Springer, Heidelberg, December 2016.\n13. Binyi Chen and Stefano Tessaro. Memory-hard functions f rom cryptographic primitives. In Alexandra Boldyrevaand\nDaniele Micciancio, editors, CRYPTO 2019, Part II , volume 11693 of LNCS, pages 543–572. Springer, Heidelberg,\nAugust 2019.\n14. Stephen A Cook. An observation on time-storage trade off. InProceedings of the fifth annual ACM symposium on\nTheory of computing , pages 29–33, 1973.\n15. Cynthia Dwork, Moni Naor, and Hoeteck Wee. Pebbling and p roofs of work. In Victor Shoup, editor, CRYPTO 2005 ,\nvolume 3621 of LNCS, pages 37–54. Springer, Heidelberg, August 2005.\n16. Stefan Dziembowski, Sebastian Faust, Vladimir Kolmogo rov, and Krzysztof Pietrzak. Proofs of space. In Rosario\nGennaro and Matthew J. B. Robshaw, editors, CRYPTO 2015, Part II , volume 9216 of LNCS, pages 585–605.\nSpringer, Heidelberg, August 2015.\n17. Stefan Dziembowski, Tomasz Kazana, and Daniel Wichs. On e-time computable self-erasing functions. In Yuval\nIshai, editor, TCC 2011 , volume 6597 of LNCS, pages 125–143. Springer, Heidelberg, March 2011.\n18. Christian Forler, Stefan Lucks,and JakobWenzel. Caten a: Amemory-consumingpassword scrambler. IACR Cryptol.\nePrint Arch. , 2013:525, 2013.\n19. Christian Forler, Stefan Lucks, and Jakob Wenzel. Memor y-demanding password scrambling. In Palash Sarkar\nand Tetsu Iwata, editors, ASIACRYPT 2014, Part II , volume 8874 of LNCS, pages 289–305. Springer, Heidelberg,\nDecember 2014.\n20. Carl E Hewitt and Michael S Paterson. Record of the projec t mac conference on concurrent systems and parallel\ncomputation. chapter comparative schematology. ACM, New York, NY, USA , pages 119–127, 1970.\n21. Colin Percival. Stronger key derivation via sequential memory-hard functions, 2009.\n22. Ling Ren and Srinivas Devadas. Bandwidth hard functions for ASIC resistance. In Yael Kalai and Leonid Reyzin,\neditors,TCC 2017, Part I , volume 10677 of LNCS, pages 466–492. Springer, Heidelberg, November 2017.\n14" }, { "title": "1912.13425v3.Inflationary_routes_to_Gaussian_curved_topography.pdf", "content": "rspa.royalsocietypublishing.org\nResearch\nArticle submitted to journal\nSubject Areas:\nMechanics, Geometry, Non-isometric\norigami\nKeywords:\nBaromorph, Curvature, Shape,\nMetric, Mechanics\nAuthor for correspondence:\nMark Warner\ne-mail: mw141@cam.ac.ukInflationary routes to\nGaussian curved topography\nEmmanuel Siéfert1and Mark Warner2\n1Lab. de Physique et Mécanique des Milieux\nHétérogènes, CNRS UMR7636, Ecole Supérieure de\nPhysique et Chimie Industrielles de Paris (ESPCI),\nSorbonne Université, Université de Paris, 75005 Paris,\nFrance2Cavendish Laboratory, University of\nCambridge, 19 JJ Thomson Avenue, Cambridge CB3\n0HE, United Kingdom\nAbstract\nGaussian-curved shapes are obtained by inflating\ninitially flat systems made of two superimposed\nstrong and light thermoplastic impregnated fabric\nsheets heat-sealed together along a specific network\nof lines. The resulting inflated structures are light and\nvery strong because they (largely) resist deformation\nby the intercession of stretch. Programmed patterns\nof channels vary either discretely through boundaries,\nor continuously. The former give rise to facetted\nstructures that are in effect non-isometric origami\nand which cannot unfold as in conventional folded\nstructures, since they present localized angle deficit\nor surplus. Continuous variation of channel direction\nin the form of spirals is examined, giving rise to\ncurved shells. We solve the inverse problem consisting\nin finding a network of seam lines leading to a\ntarget axisymmetric shape on inflation. They too have\nstrength from the metric changes that have been\npneumatically driven, resistance to change being met\nwith stretch and hence high forces like typical shells.\nc\rThe Author(s) Published by the Royal Society. All rights reserved.arXiv:1912.13425v3 [cond-mat.soft] 12 Mar 20202rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1. Introduction\nGaussian (intrinsically) curved shapes can be induced from initially flat sheets of rubber by\nthe inflation of channels in their interior by air that selectively distorts their neighbouring\nenvironment. These have been termed “baromorphs\" [1]. Air pumps thus offer a rapid, simple\nand reversible way of creating non-trivial changes in metric of such a space, and hence routes to\nshapes that would otherwise be inaccessible from flat space.\nAnother, related method, which is simpler and which creates very light and strong analogues\nof baromorphs, is to take two sheets of thermoplasticurethane (TPU)- impregnated nylon fabric\nthat is air-tight. Placed one on top of the other, these can be welded together using a soldering\niron mounted on an XY-plotter to create channels in practically any desired pattern that can then\nbe inflated. Isolated channels can be shape-programmed, that is creating a desired 1-D curvilinear\nshape on inflation [2]. Putting patterns of channels together gives associated contractions on\ninflation that cause a (coarse-grained) metric change and hence shape evolution which we explore\nhere and which are addressed in [3].\nOther routes to differential in-plane distortion and hence to intrinsically-curved topography\nhave been examined. Some are non-reversible, such as in the growth of leaves that wrinkle\nbecause their azimuthal growth exceeds their radial growth, leading to a circumference too long\nrelative to their radii [4]. Other reversible examples include the differential swelling of hydrogels\n[5,6], the controlled in-plane expansion of dielectric elastomers through spatially varying electric\nfields [7,8], and the response of patterned liquid crystal elastomers (LCE) sheets where heat or\nlight give length changes [9,10] which, when differential, give bend [11] or topographical [12–14]\ndeformations. The hydrogel distortions are isotropic (but see [15]), with the extent of volume\nchange spatially varying due to varying linkage density. Liquid crystal elastomer (LCE) or\nliquid crystal glass (LCG) systems typically have the same magnitude of anisotropic distortion\nthroughout (the same temperature or illumination throughout), but the direction of anisotropy\ncan vary spatially in-plane.\nHere we further explore pneumatic ideas and methods as in [3], making contact with\nanalogous work on LCEs. We give routes to topographical changes due to:\n(i) Discrete changes of direction between regions of uniform, anisotropic in-plane distortion\ncause folds along lines when activated [16] – in effect “non-isometric origami\" [17] since\nthe faces change dimensions and resist with stretch at any attempt to unbend.\n(ii) Continuous, curved channels that produce a distortion constant in amplitude but varying\nin direction.\nThe origami is of a completely different character from conventional, isometric folding of a\nmedium (typically paper) at constant length. It is also different from modern, activated-fold\norigami [18] which is also weak since it relies on bend (in the folds that act as hinges) rather\nthan stretch. For non-isometric origami, see the reviews [19,20]. The Gaussian Curvature (GC) is\nconcentrated at vertices where there are angular deficits.\nThe continuous field distortions give, in general, shells with continuous GC distributions and\nstiffnesses of structures due to stretches arising from distorting a curved shell rather than from\nbend, which is weak. We will see that one can track the evolution of curves to form geodesics, and\nother phenomena associated with space curvature changes.\nWe address both the forward problem of what the shape is, given a channel distribution, and\nthe inverse problem of what channel distribution is required to yield a given shape on inflation.\n2. Materials, deformations, a simple metric change\nFigure 1 shows quasi-inextensible heat-sealable sheets in section, a fraction xdedicated to the\nweld and 1\u0000xbeing free for inflation. The resultant circular section -maximising the volume- of3rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\n(a)\n(b) non ideal bend: adds to weld\nideal circular sectionupper sheet\nlower sheet\nFigure 1: Schematic principle of the deformation upon inflation: the unit repeat length has a\nfractionxof weld and 1\u0000xof free sheet. (a) A section (channels into the paper) before inflation;\n(b) a section after inflation. The direction of contraction, nis equivalent to a nematic director in\nan LC solid. The contraction of the 1\u0000xfraction is by a factor of 2=\u0019in the ideal case, or by a\nfactor>2=\u0019if there is length taken up by bend and the welded fraction remains unchanged. In\nthe directionmof the seam lines, no contraction occurs.\na channel has a circumference, assuming quasi-inextensibility, of 2(1\u0000x)and hence a diameter\na factor of \u0019smaller. Here, we neglect the slight influence of the curvature of the seam lines\non the cross-section profile and hence on the coarse-grained contraction, investigated in [2].The\ncontraction factor in the direction shown, perpendicular to the channels and denoted by n, is\naccordingly:\n\u0015= (1\u0000x)2=\u0019+x!2=\u0019 (2.1)\nwhere the limit is for narrow welds, x!0. The fabric is essentially inextensible and accordingly\n\u0015= 1for the other in-plane direction (into the paper) [3]. The in-plane, 2\u00022deformation gradient\nFand the associated metric tensor g=FT\u0001Fare:\nF= (\u0015\u00001)n\nn+\u000e (2.2)\ng= (\u00152\u00001)n\nn+\u000e; (2.3)\nwhere\u000edenotes the identity operator on R2. The metric describes changed lengths and, along\nwith its derivatives, the GC that develops [21–23].\nThe simplest examples of pneumatic GC generation are those of (a) azimuthal, and (b)\nradial channels (radial and azimuthal directors respectively). Figure 2 shows realisations of\nthese examples, with nvectors superimposed, before and after inflation. In (a), the (ideal)\nradial contraction of r!\u0015r= (2=\u0019)rleaves the circumference, 2\u0019r, longer than required and an\nanticone (a’) results, that is, a surface with localised negative GC analogously to in LCEs [12,24].\nThere is an angular surplus and hence (negative) GC of magnitude \u00192\u00002\u0019, see Fig. 2 (a).\nIn (b), a circumference 2\u0019r!(2=\u0019)\u00012\u0019r= 4r, with an unchanged radius rgiving a\ncircumferential deficit. The angular deficit and hence (positive) GC is 2\u0019\u00004. In the uncut case,\nthe cone formed has semi angle \u001e= sin\u00001(2=\u0019), since the in-material radius remains r, but the\ncircumference is generated by an in-space radius (2=\u0019)r, the ratio of the two radii giving sin\u001e.4rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\n(a)\ncut(b)\n(c) (d)\ncut\nFigure 2: Radial and azimuthal directors: (a) An Archimedean spiral approximating azimuthal\nchannels (radial n), results in an anticone (“ruff\") on inflation, (b) Radial channels (azimuthal n)\ninduce a cone upon inflation of half summit angle '= sin\u00001\u0015. (c) Angular surplus or (d) angular\ndeficit, made apparent when discs with the same respective patterns have instead a radial cut and\ndo not change their topography. Structures are made of TPU-impregnated nylon fabrics (40den,\n70g/sqm from Extremtextil). Scale bars: 5 cm.\nFrom these simplest examples of topography change, we can already see mechanics emerging.\nThe cones, with their radial channels, are relatively easy to deform; their circular sections change\nunder transverse forces since the welds between the channels can easily bend. Loading from the\ntip is resisted by circumferences resisting extension which would result in GC change and hence\ncircumferential stretch (which in turn is resisted pneumatically). Equally, the anti-cone case of\nazimuthal channels is rigid because, despite there being welds that would bend easily, any such\nbend would alter the GC and induce in this case compressions along the channels. These too are\nresisted pneumatically since volume too would thereby be diminished. One can show that spiral\npatterns combine the best of both of these scenarios.\n3. Non-isometric origami\nPiecewise uniform channel/director fields offer (localised) GC at vertices where the fields meet\n[16,17,25,26]. Compatibility between the regions on distortion requires Rank-1 Connectedness (R-\n1C) at the boundaries where the uniform fields intersect. Physically, this R-1C condition is that\ndeformations parallel to the boundary must be identical from one side (1) to the other (2) to\nprevent tearing. It is expressed, in this nematic analogue, as n1\u0001t=n2\u0001t, wheretis the vector\nalong the line of separation: The directors must make equal angles with the boundary.\nSimple units must be put together in a R-1C way. Three such are shown in figure 3 where\nthe change in angle is trivial to calculate [16] from the right triangles shown where opposite or\nadjacent sides change by a factor \u0015, giving the change in tan\u001f=2.\nHence in (a) and (c) (which are essentially equivalent) one has \u001f0= 2 tan\u00001\u00001\n\u0015tan(\u001f=2)\u0001\nand\nfor (b) one has \u001f0= 2 tan\u00001(\u0015tan(\u001f=2)), with\u0015= 2=\u0019in the ideal case. Several examples are\ngiven in [16] of how elementary units can fit together to give flat sheets that transform into\ncomplex shapes with vertices.\nA cube has three square faces meeting at each corner, so each sector has to develop a \u001f0=\u0019=2.\nThe three proto-faces meeting at a point while the sheet is still flat must have angles \u001f=\n2\u0019=3. Using the above transformation of the type (b), one requires a \u0015= tan(\u001f0=2)=tan(\u001f=2) =5rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\n(a) (b) (c)\nFigure 3: Sectors of uniform director, simply arranged parallel (a) or perpendicular (b) to the\nbisector, or parallel to the sides (c), necessarily having an internal line of R-1C along the bisector.\nThe director fields just outside the sectors are shown, indicating how these regions connect to\ntheir neighbours across the boundary vectors t. The right triangles with sides aandbgive\nsimple rules for the change of apex semi angle \u001f=2to\u001f0=2after distortion. Below each is a\npneumatic realisation, with triangular sections opening out (a), or closing in (b) and (c), with\nthe last example having the upper side of the triangle developing an angle. Structures are made\nof TPU-impregnated nylon fabrics (40den, 70g/sqm from Extremtextil). Scale bars: 2 cm\n(a) (b)\n (c)\nFigure 4: Attempting a non-isometric origami cube. In contrast with LCE, the inflated structure\ntends to bend along the weak lines of the seam and not at the R-1C boundary. (a) Pattern for\na non isometric cube, the green lines highlighting the position of the edges. (b) As expected, the\ncontraction ratio is not large enough to close the structure made of 4 \u0016mthick polyethylene sheets.\n(c) Every vertex may be inverted since no bending direction is preferred (from the symmetry of\nthe structure across the thickness). Scale bar: 2cm.\ntan(\u0019=4)=tan(\u0019=3) = 1=p\n3 =:577 which is less than the available 2=\u0019= 0:636 – cubes are\ninaccessible to backpack material inflatables; see Fig. 4, where also the question of up-down or in-\nout deformation degeneracy arises. Dodecahedra have pentagonal faces, three of which meet at a\nvertex. There being three, in the flat state \u001f= 2\u0019=3. In the distorted state, the pentagonal angle is\n\u001f0= 3\u0019=5. The required \u0015= tan(3\u0019=10)=tan(\u0019=3) = 0:794is easily accessible, as is demonstrated\nin figure 5.\nArrays of R-1C sectors can also form extended topographies, see figure 6(a) and (b), rather than\nclosed structures such as in figures 4 and 5. Inspecting the array of channels, or their orthogonal6rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\n(a)\n(d)\n(b)\nFigure 5: (a) A pattern of channels schematically in flat space (left), that will inflate to a\ndodecahedron (right). The green lines show the position of the edges. (b) Upon inflation,\nthe structure gradually closes to form the target dodecahedron. Structures are made of TPU-\nimpregnated nylon fabrics (70den, 170g/sqm from Extremtextil). Scale bar: 5 cm\ndual – the director, one sees an array of \u00061topological defects in 2-D. In the inflated egg crate\narray, one sees these correspond to mountain peaks and troughs ( +1) separated by saddles ( \u00001).\nThe rigidity of these units (especially the saddles) is further enhanced by their being in an array.\nAttempts to deform the system induce stretch, which is very strong. Such systems are reminiscent\nof the LC solid arrays of White et al [27,28] which can lift thousands of times their own weight\nwhen loaded and actuated [28] . See also the 3-D printed LC actuator arrays of Kotikan et al [29].\nIn addition to making an egg crate, each saddle may be snapped to invert the two upper and\nlower opposite corners and the structure may also fold along the seams connecting peaks and\ntroughs enlarging remarkably the family of stable shapes that may be reached. In order to go\nfrom one shape to the other, at least one saddle should be snapped, which means that each shape\ncorresponds to a local minimum in the energy landscape. A few examples of shapes are shown in\nFig. 6(c). There are some constraints in this system and the snapping of one saddle may impose\nthe snapping of its neighbours. The count of all the possible stable states has not been carried out\nyet and will be the subject of future work.\n(a) Non-regular vertices and the rules they obey\nGenerally, vertices do not have to be formed by the meeting of identically-shaped regions of\nuniform director. But deviation from regularity can only be within strong conditions on the\ninternal angles of sectors, \u001fiand on the angles \u000bithat the director niin theithsector makes\nwith the interface between the i\u00001thandithsectors, that is conditions on ni\u0001ti; see figure 7. The7rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\n(a) (b)\n(c)\nFigure 6: An array of alternating positive ( +1) and negative (\u00001) topological defects made of\nTPU-impregnated nylon fabric sheets (70 den, 170g/sqm from Extremtextil). (a) Pattern and\npicture of the flat state. (b) Upon inflation, the structure exhibits mountain peaks and troughs ( +1)\nseparated by saddles ( \u00001) (See Supplementary Video eggbox_top_view.MP4). Scale bar: 5cm. (c)\nEach saddle may be snapped to invert the two upper and lower corners and the structure may\nfold along the seams connecting peaks and troughs enlarging remarkably the family of stable\nshapes that may be reached.\ndirector angles \u000bi+1and\u000biare connected by\n\u000bi+1 =\u0019+\u001fi\u0000\u000bi (3.1)\neveni:\u000bi+1 =\u001fi\u0000\u001fi\u00001+\u0001\u0001\u0001+\u001f2\u0000\u001f1+\u000b1 (3.2)\noddi:\u000bi+1 =\u0019+\u001fi\u0000\u001fi\u00001+\u0001\u0001\u0001\u0000\u001f2+\u001f1\u0000\u000b1: (3.3)\nThe first expression arises from considering the triangle formed in the ithsector by the two\nboundaries and the director line, the latter two from iteration for ieven or odd respectively.8rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\nFigure 7: (a) A regular proto-vertex (even, n= 6, all internal sector angles \u001fidentical) with a\nregular director field (all \u000bs equal), and (a’) a star-shaped field where there are two \u000bs. The\nangles\u000biare those made by the director with the divider between sectors (i\u00001)andi. (b) An\nirregular proto-vertex (even, n= 6) with internal angles \u001fisatisfying rules given in the text, and\nwith corresponding director angles \u000biset by the same rules. The vectors tidefine the boundaries.\nThe dotted lines are vestiges from the regular case (a) that we have deviated from. (c) A sector\ntriangle from which to calculate \u001f!\u001f0. The opposite side, c, is parallel to n.\nFor ann-sector vertex, \u000bn+1\u0011\u000b1, whereupon the neven and odd cases yield:\nevenn: 0 =\u001fn\u0000\u001fn\u00001+\u0001\u0001\u0001+\u001f2\u0000\u001f1 (3.4)\noddn:\u000b1=\u0019=2 +1\n2(\u001fn\u0000\u001fn\u00001+\u0001\u0001\u0001\u0000\u001f2+\u001f1); (3.5)\nwith of course the condition \u0006n\ni=1\u001fi= 2\u0019. Thus for vertices with even number of sectors, \u000b1can\ntake any value, and the \u000bi6=1then follow from eqns (3.2) and (3.3). For odd cases, the choice of \u000b1\nis constrained by condition (3.5) and the subsequent \u000bi6=1again follow from eqns (3.2) and (3.3).\nFigure 7(a) shows an even, regular case where n= 6 and\u001f=\u0019=3in each sector. Choosing\n\u000b1= 2\u0019=3gives all sectors the same \u000b, or choosing \u000b1>2\u0019=3gives\u000b2<2\u0019=3, with subsequent\nalternation. By contrast, (b) has \u001f1=\u001f2=\u001f4=\u0019=3as before, but \u001f3,\u001f2and\u001f6changed from \u0019=3\nsuch that condition (3.4) is respected, whence the director lines close and are R-1C as required.\nTo calculate the GC associated with a vertex, one must calculate each \u001f0\niand hence the total\nangular deficit/surplus \u0006n\ni=1\u001f0\ni\u00002\u0019. Not all the sectors’ nfields are of the symmetric form of\nFig. 3, and one requires the metric tensor in its non-diagonal form. Considering the triangle of\nFig. 7(c), the side cis parallel to nand hence simply changes its length as c!\u0015c. The other\nsides change as, for instance, b2!b\u0001g\u0001b=f2\nbb2withf2\nb=\u00152cos2\f+ sin2\fif one considers the\nfactors ofb\u0001nrequired in contracting with the metric tensor, eqn. (2.3), with \f=\u000b\u0000\u001f. Applying\nthe cosine rule in the triangle before and after deformation then gives:\ncos\u001f0=\u00152\nfafbcos\u001f+(f2a\u0000\u00152)a2+ (f2\nb\u0000\u00152)b2\n2ab: (3.6)\nThe second term depends on the ratio a=b and its inverse, so the actual size of the sector is\nirrelevant.\nExperimental realizations of irregular vertices have not been conducted yet and will be the\nsubject of future work. Irregular vertices indeed strongly enrich the possibilities of non-isometric\norigami.\n4. Spiral patterns of channels/directors\nSpiral channels offer great advantages since (a) for a circularly symmetric pattern, one can vary\nthe angle the director makes with the radial direction, \u000b(r), and thereby create complex GC9rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\nFigure 8: A logarithmic spiral director n(heavy, blue on-line) forming a constant angle \u000bwith\nthe radial vector er. The corresponding seam (black, light line) is a clockwise turning logarithmic\nspiral forming an angle \u0019=2\u0000\u000bwith er, that is, the orthogonal dual to n.\ndistributions, and (b) with channels varying in direction, there are not weak directions where\nbend can occur simply along straight seams.\n(a) Logarithmic spirals\nLogarithmic spirals are a simple extension of the illustrative circular and radial director patterns\n(\u000b=\u0019=2;0respectively) in section 2. They have an \u000b=const. (6= 0;\u0019=2) that is not a function of\nreference space radial distance r. Hence the ratio, after inflation, of in-material (intrinsic) radius\nand circumference is also constant with r, and they evolve into cones or anti-cones, depending\non the value of \u000b. This evolution is more complex than before. In the reference state frame of the\nradius, ^r, and azimuth, ^\u0012, the metric tensor now has off-diagonal elements. There is a differential\n(withr) rotation of material points as one inflates. Final state, in-material radii evolve from proto-\nradii that are (non-geodesic) curves in flat space. Tangents tto curves in the flat reference state\nevolve asF\u0001t, where the tangent to circles in polar coordinates (r;\u0012)aretc= (0;1), and general\ncurves havet= (dr(s)=ds;d\u0012(s)=ds), wheretis a unit vector since we have taken a unit speed\nparametrisation in terms of arc length s. Since the director pattern is circularly symmetric, circles\nevolve to inflated/deflated circles.\nFor a given curve to evolve to an in-material radius, its evolved tangent must be orthogonal\nto that (tc) of circles, that is (F\u0001tc)T\u0001(F\u0001t)\u0011tTc\u0001g\u0001t= 0; see the discussion in [30,31]. The\ntangentstandtcare orthogonal under the metric g. In polar coordinates, ghas elements:\ngrr=\u00152cos2\u000b+ sin2\u000b;\ngr\u0012=g\u0012r=\u0000r\n2\u0010\n1\u0000\u00152\u0011\nsin 2\u000b;\ng\u0012\u0012=r2h\n\u00152sin2\u000b+ cos2\u000bi\n: (4.1)\nThe condition above for r(s)to be the ancestor of a radial geodesic is then [30]\ng\u0012rdr(s)=ds+g\u0012\u0012d\u0012(s)=ds= 0\n!dr=d\u0012 =\u0000g\u0012\u0012=g\u0012r= 2r\u00152sin2\u000b+ cos2\u000b\n(1\u0000\u00152) sin 2\u000b: (4.2)\nThe expression (4.2) is for a general \u000b(r)but is particularly simple when the director integral\ncurves are log spirals. Then \u000bis constant and the director follows\nr(\u0012) =r(0)eb\u0012;withb= cot(\u000b): (4.3)10rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\n(a) (b)\n(c) (d)\nFigure 9: Anti-cones and cones arising from channel systems with log spiral directors with\n(constant) angles \u000bto the radii shown. Note that the channels themselves are at the complement\nangle of\u000b. The critical angle where the inflated structure remains flat is an \u000bc\u001950\u000e. See the\nextreme cases of director angle in Fig 2. Structures are made of TPU-impregnated nylon fabrics\n(40den, 70g/sqm from Extremtextil). Scale bars: 5 cm.\nThen integrating eqn. (4.2) gives the equation of proto radius in the initial state:\nr(\u0012) =r(0)ec\u0012;withc=\u00152+b2\nb(1\u0000\u00152); (4.4)\nalso a log spiral, but with c6=b, wherec= cot\fdefines the angle \fof the proto-radius log spiral.\nThe cone semi-angle \u001eis given as before by the ratio of the new circumference lc, divided by\n2\u0019, to the length of the new (geodesic, in-material) radius u. The former is\nlc=2\u0019=q\ntc\u0001g\u0001tc=pg\u0012\u0012 (4.5)\nand the new radius’ length is\nu=Zs\n0ds0q\ntp\u0001g\u0001tp=Zr\n0dr0s\ngrr+ 2d\u0012\ndrg\u0012r+\u0012d\u0012\ndr\u00132\ng\u0012\u0012 (4.6)\nwheretpis the tangent vector of the proto-radius, and we have taken out a dr=dsto changeR\nds\ntoR\ndr. Putting in the first part of eqn. (4.2), d\u0012=dr=\u0000g\u0012\u0012=g\u0012r, along the proto-radius, we obtain:\nu=Zr\n0dr0q\nDet(g)=pg\u0012\u0012=\u0015Zr\n0dr0r0\npg\u0012\u0012\"\n\u0011\u0015rp\ng\u0012\u0012=r2#\n: (4.7)\nWe have used an important invariant, Det(g) =\u00152r2in polar coordinates that expresses the areal\nchange for this 2-D metric tensor. The final equality on the RHS of eqn. (4.7) with [:::]only holds\nfor cones, wherep\ng\u0012\u0012=r2=const. (\u0015;\u000b). The cone semi- angle is then given by\nsin\u001e=lc=(2\u0019u) =g\u0012\u0012=(\u0015r2) =1\n\u0015(cos2\u000b+\u00152sin2\u000b) =1\n\u0015(cos2\u000b+\u00152sin2\u000b): (4.8)\nLog spiral channels yield flat sheets at an \u000bc= sin\u00001\u0010\n1p1+\u0015\u0011\nthat divides the response between\ncones and anti-cones. See figure 9 for a selection of channel/director angles and varying response.\nExperimentally, we observe a \u0015= 0:70, that is about 10% greater than 2=\u0019, which shows the\npresence of seams and bend etc.; see fig. 1. For such a \u0015the critical angle is expected to be\n\u000bc= 50\u000e. We indeed observe that the structure stays flat for such an angle. The supplementary\nmaterial video flat-log-spiral.MP4 shows the critical system remaining flat under deformation,\nbut displaying pronounced rotations at the same time, as the spiral channels evolve into modified11rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\n(a)\n0 /8 /4 3 /8 /20/16/8(b) (c)\n(d)\nFigure 10: Evolution of proto-radii. (a) Seam pattern (black), director (blue) and proto-radii (red)\nof a log spiral pattern at the critical angle \u000bcand a photograph (b) of the actual object at rest,\nwith proto-radii printed in white. Upon inflation (c), the structure remains planar, air channels\nevolve (blue-dotted to blue curves) and the proto-radii deform into radii (See Supplementary\nVideo flat_protoradii.MP4). (d) Angle \fthat the proto-radii initial spirals make with the radial\ndirection, as a function of the director angle \u000bfor an experimentally realistic contraction \u0015= 0:7\n(Eqn 4.4).\nlog spiral channels. This rotation phenomenon makes contact with the evolution of the proto-\nradius log spiral into a geodesic radius, as predicted above. In the flat state, the proto-radius\nappropriate to the \u000band\u0015of the system was marked in white paint, which can be seen\nin figure 10, whereupon it evolved precisely to a radial geodesic on inflation. See also the\nsupplementary material film flat_protoradii.MP4.\nSuch log spiral directors have been imprinted in LC elastomers and glasses to produce cones\nand anti-cones, most notably by the Broer and by the White groups; see the review [32]. They\nhave been produced in arrays to give super-strong actuators that can lift several thousand times\ntheir own weight [28] because stretch rather than bend predominates when evolution to a new\nmetric is frustrated by a load.\n(b) The inverse problem – from desired shape to required channel spirals.\nThe inverse problem is in general more difficult than the forward problem. A straightforward\nset of surfaces are those with constant Gaussian curvature, K, since one knows in advance what\nthe shapes are – spherical caps and spherical spindles for positive constant GC, and pseudo-\nor hyperbolic spheres for the case of axially-symmetric constant negative GC. Curvature arises\nfrom the spatial variation of the metric tensor, which we take to be in polars and where the only\nvariation is via the angle \u000b(r). In that event [23,30] the GC is given by\nK=\u0015\u00002\u0000\u00152\u0017\n2\u0014\u0012\n\u000b00+3\nr\u000b0\u0013\nsin(2\u000b) + 2\u000b02cos(2\u000b)\u0015\n: (4.9)12rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .For constant K, eqn. (4.9) is an ODE for \u000b(r)with a simple solution (see [30] for full details) :\n\u000b(r) =\u00061\n2arccos\u0012\n\u0000C(K)r2\n2+c1+c2\nr2\u0013\n; (4.10)\nwhereC(K) =K=(\u0015\u00002\u0000\u00152\u0017), andc1,c2are real constants of integration. If c2= 0 one has\nconstant Gaussian curvature on discs, otherwise for c26= 0one has annular domains for which\nthere are rich possibilities that we address elsewhere. For discs, a real \u000brequires\u00001\u0014c1\u00141, and\nifK> 0, then solution (4.10) defines a director pattern on the disc r\u0014q\n2(1+c1)\nC(K). [Analogous\nshape evolution, but starting rather from cylinders instead of flat sheets, and with entirely\ndifferent transformation mechanisms and characteristics, are addressed by Arroyo and DeSimone\n[33].]\nTo realise spherical spindles, one has to restrict to \u00001\u0014c1\u0014\u0000(1\u0000\u0015)=(1 +\u0015), with the upper\nequality giving a spherical cap where the director angle at the origin is sin(\u000b(r= 0)) = 1=p\n1 +\u0015;\nsee fig. 11. There is a maximum possible reference disc radius for a given \u0015that transforms into a\nspindle: The maximal circumference contracts by a factor of \u0015(since the director there has become\ncircumferential) to become a line of latitude on the sphere. These get relatively shorter compared\nwith the in-material radius (think of those near the South pole which contract to zero!), and the\ncontraction \u0015= 2=\u0019cannot suffice.\nIfK< 0andc2= 0one develops pseudo-spheres, that is, surfaces of constant negative GC.\nThe bounds on c1are as above, with c1=\u00001yielding the spiral pattern and pseudo-sphere of\nmaximal radius.\n(c) The general, axi-symmetric inverse problem.\nThe general inverse problem without axial symmetry is extremely difficult but has been attacked\nin the arena of LC solid sheets [22,34,35], with computational schemes developed to deliver a\nrequired director field for a target shape. Further, Griniasty et al [35] prove the existence of a\nlocal solution to the inverse problem (and provide algorithms to find all smooth director fields\nthat deform into a desired surface geometry). In this context of pneumatic channel systems, the\nproblem has also been attacked computationally [3].\nInverse problems for LC sheets with axial symmetry are less difficult and were first attacked\nby Aharoni et al [22] who give examples based on cartesian director patterns. In [31] a scheme is\ngiven for such shapes which, in general, involves non-linear integral equations. For shells where\none can express the in-material (geodesic) radius uin terms of the in-space radius \r1, that is\nu=f(\r1), simple ODEs arise. Griniasty et al [35] also provide the director pattern for various\nillustrative axisymmetric shapes.\nHere we follow the ideas of section (a) to calculate lengths of geodesic radii in evolving\nstructures, and the associated circumferential inflation, that is, the approach of [31]. We illustrate\nthe simple ODE case with the example of a paraboloid and give an explicit \u000b(r)pattern (Catenoids\nsuccumb to a similar technique.):\nConsider figure 12(a) where the radial point rmaps tou. Equation (4.7) connects randu, and we\nhave du=dr=\u0015r=p\ng\u0012\u0012(r). The new circumference divided by 2\u0019was given by Eqn. (4.5), that\nis\r1=pg\u0012\u0012. In the curve of revolution we have (du)2= (d\r1)2+ (d\r2)2. Differentiating with\nrespect tor, and using du=drabove, we obtain:\n\u0015r=p\ng\u0012\u0012(r) = d\r1=drq\n1 + (d\r2=d\r1)2=1\n2dg\u0012\u0012=drpg\u0012\u0012q\n1 + (d\r2=d\r1)2\n!\u0015r =1\n2dg\u0012\u0012=drq\n1 + (d\r2=d\r1)2 (4.11)\nwhich can be useful for a wide range of curves for which one knows d\r2=d\r1.13rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .\n0 0.1 0.2 0.9 100.10.20.30.40.50.60.70.8\n0.3 0.4 0.5 0.6 0.7 0.8(a) (b)\n(c) (d)\n(e) (f) (g)\nFigure 11: Inverse problems with spirals (highlighted are the channels, the directors being the\nduals). (a) Channel pattern for a target dome of constant positive GC, with c1=\u0000(1\u0000\u0015)=(1 +\n\u0015) =\u00000:16(b) Photographs of the corresponding inflated structure compared with the target\nprofile (dashed line). (See Supplementary Video dome_inflation.MP4) (c & d) Equivalent patterns\nand realisations for negative GC. (e) Channel pattern for a paraboloid. (f) Corresponding inflated\nstructure compared with the target profile (dashed line). (g) Angle of the seam lines as a function\nof the normalized radial distance r=Rmax for various target shapes: (red = portion of a sphere\n(a)-(b), blue = catenoid and green = paraboloid (e)-(f)). Structures are made of TPU-impregnated\nnylon fabrics from Extremtextil. Scale bars: 5 cm.\nFigure 12: A reference disc (a) where a point at radius rmaps, on inflation, to a point on a shell\n(b) given by (\r1;\r2)and geodesic radius u.\nFor paraboloids obtaining from the revolution of \r2=1\n2a\r2\n1, we have (d\r2=d\r1)2=a2\r2\n1=\na2g\u0012\u0012, whereupon one can integrate Eqn. (4.11) with respect to randg\u0012\u0012:\n\u0015r2=2\n3a2h\n(1 +a2g\u0012\u0012)3=2\u00001i\n: (4.12)14rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Recall thatg\u0012\u0012=r2(1\u0000(1\u0000\u00152) sin2\u000b)(and indeed g\u0012\u0012(r= 0) = 0 , which is needed for the above\nintegration). Equation (4.12) can then be solved for \u000b(r)in the form:\nsin2\u000b\u0015(r) =1\n1\u0000\u00152\u0012\n1\u00001\na2r2\u0014\n(1 +3\u0015\n2a2r2)2=3\u00001\u0015\u0013\n: (4.13)\nThe subscript appearing in \u000b\u0015(r)is to remind one that the form of \u000b(r)only gives a paraboloid\nfor this particular target \u0015. See fig. 11 for a channel pattern for a paraboloid and the resulting\ninflated structure, along with the seam line angle plotted against reduced radius for a given \u0015.\nInverted catenoids are the ideal, self-supporting shells. We consider those that are the\nrevolution about the \r2axis of the catenary u=1\nasinh(a\r1)and where \r2=1\nacosh(a\r1).\nReturning to the relation du=dr=\u0015r=p\ng\u0012\u0012(r) =1\ndr1\nacosh(a\r1), and remaining this time with\nthe variable \r1\u0011pg\u0012\u0012, one has the equations:\n\u0015rdr= d\r1\r1cosh(a\r1)\n!1\n2\u0015r2=\r1\nasinh(a\r1)\u00001\na2cosh(a\r1) +1\na2: (4.14)\nSincepg\u0012\u0012=rq\n1\u0000(1\u0000\u00152) sin2\u000b(r), the above is an implicit equation for \u000b\u0015(r). Taking the\nlimitr!0, one obtains the minimum \u000bassin2[\u000b\u0015(0)] = 1=(1 +\u0015). At the extremity of the disc\nthat can be inflated to a catenoid, the director is tangential and sin2\u000b\u0015(rmax) = 1 , whence\r1max=\n\u0015rmaxwhich should be inserted into Eqn. (4.14) to give an equation for rmax. Fig. 11(g) shows the\ncatenoid seam line angle against reduced radius for a given \u0015.\n5. Summary, conclusions\nWe introduce welded systems of channels in flat, light, air-tight fabric which on inflation\nproduce topography that has either localised or continuously distributed Gaussian Curvature\n(GC). Discrete variation of unform direction regions, on crossing boundaries, produces facetted\nstructures, much like origami structures. But the GC at vertices (angular deficits) cannot be\nundone by unbending hinge-like folds as in conventional origami, since the origin of such deficits\nis the non-isometric transformation associated with inflation. Continuous variation of channel\ndirection, here as spirals, gives distributed GC and also a variation of the direction of welds,\ninhibiting bend at welds (which cannot be achieved along lines that also curve). There are thus\ntwo factors contributing to increased strength.\nOur experiments confirm the role of deformation in setting the limits to angular deficits and\nthus curvature, either around vertices or resulting from continuous field variation. Thus cubes\ncannot be fully achieved with a \u0015geometrically bound by 2=\u0019, and nor can tetrahedra, but\nother solids can be. We suggest the first steps to more arbitrary facetted shells by prescribing\nthe conditions on irregular vertices. For continuous channel variation, our achieved shells indeed\nhave Gaussian Curvature even though their initial states are flat. The evolution of curves from and\nto geodesics in these curved surfaces has been experimentally observed, confirming this picture\nof metric-driven mechanics.\nFuture directions include non-simply connected shells, where new possibilities arise, and non-\nisometric kirigami. Initial experiments are encouraging and will be reported elsewhere. We are\nalso concerned with the breaking of up-down symmetry where complex systems can be impeded\nin their path to the desired final state. The combination of differing fields along curved interfaces\nalso offers entirely new possibilities. Large systems, several metres across, are also achievable.\nAcknowledgements. MW acknowledges many discussions about non-isometric origami with\nCarl Modes, and about deforming spiral systems with Cyrus Mostajeran. ES acknowledges many\ndiscussions about metric distortion and inflatables with Benoît Roman and José Bico.\nEthics. No human or biological systems were used in this work.15rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Data Accessibility. Videos referred to in the text of systems transforming under inflation are\navailable as electronic Supplementary Material at\nwww.tcm.phy.cam.ac.uk/~mw141/eggbox_top_view.MP4\nwww.tcm.phy.cam.ac.uk/~mw141/flat_protoradii.MP4\nwww.tcm.phy.cam.ac.uk/~mw141/flat-log-spiral.MP4\nwww.tcm.phy.cam.ac.uk/~mw141/dome_inflation.MP4\nCompeting Interests. We have no competing interests.\nFunding. MW’s work was supported by the EPSRC [grant number EP/P034616/1].\nAuthors’ Contributions. ES did both theory and experiment, MW was only involved in theoretical\naspects.\nReferences\n1. Siéfert, E., Reyssat, E., Bico, J. & Roman, B., 2019 Bio-inspired pneumatic shape-morphing\nelastomers.\nNature Materials 18, 24–28.\n(doi:10.1038/s41563-018-0219-x).\n2. Siéfert, E., Reyssat, E., Bico, J. & Roman, B., 2019 Programming curvilinear paths of flat\ninflatables.\nPNAS 116, 16692–16696.\n(doi:10.1073/pnas.1904544116).\n3. Siéfert, E., Reyssat, E., Bico, J., Roman, B. & Panetta, J., 2020 Developing topography from\nprogrammed pneumatics.\nto be submitted .\n4. Dervaux, J. & Ben Amar, M., 2008 Morphogenesis of growing soft tissues.\nPhysical Review Letters 101, 068101.\n(doi:10.1103/PhysRevLett.101.068101).\n5. Klein, Y., Efrati, E. & Sharon, E., 2007 Shaping of elastic sheets by prescription of non-euclidean\nmetrics.\nScience 315, 1116–1120.\n(doi:10.1126/science.1135994).\n6. Kim, J., Hanna, J. A., Byun, M., Santangelo, C. D. & Hayward, R. C., 2012 Designing responsive\nbuckled surfaces by halftone gel lithography.\nScience 335, 1201–1205.\n(doi:10.1126/science.1215309).\n7. Bense, H., Trejo, M., Reyssat, E., Bico, J. & Roman, B., 2017 Buckling of elastomer sheets under\nnon-uniform electro-actuation.\nSoft matter 13, 2876–2885.\n8. Hajiesmaili, E. & Clarke, D. R., 2019 Reconfigurable shape-morphing dielectric elastomers\nusing spatially varying electric fields.\nNature Comms 10, 183.\n(doi:10.1038/s41467-018-08094-w).\n9. Warner, M. & Terentjev, E. M., 2007 Liquid crystal elastomers , volume 120.\nOxford University Press, paperback edition.\n10. Finkelmann, H., Nishikawa, E., Pereira, G. G. & Warner, M., 2001 Phys. Rev. Lett. 87, 015501.\n11. van Oosten, C. L., Harris, K. D., Bastiaansen, C. & Broer, D. J., 2007 Glassy photomechanical\nliquid-crystal network actuators for microscale devices.\nE. Phys. J E 23, 329–336.\n(doi:10.1140/epje/i2007-10196-1).\n12. Modes, C. D., Bhattacharya, K. & Warner, M., 2010 Disclination-mediated thermo-optical\nresponse in nematic glass sheets.\nPhysical Review E 81, 060701(R).\n(doi:10.1103/PhysRevE.81.060701).\n13. de Haan, L. T., Sánchez-Somolinos, C., Bastiaansen, C. M., Schenning, A. P . & Broer, D. J., 2012\nEngineering of complex order and the macroscopic deformation of liquid crystal polymer\nnetworks.16rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Angewandte Chemie International Edition 51, 12469–12472.\n(doi:10.1002/anie.201205964).\n14. Ahn, S.-k., Ware, T. H., Lee, K. M., Tondiglia, V . P . & White, T. J., 2016 Photoinduced\ntopographical feature development in blueprinted azobenzene-functionalized liquid\ncrystalline elastomers.\nAdv. Funct. Mat. 26, 5819–5826.\n(doi:10.1002/adfm.201601090).\n15. Gladman, S. A., Matsumoto, E. A., Nuzzo, R. G., Mahadevan, L. & Lewis, J. A., 2016\nBiomimetic 4d printing.\nNature Materials 15, 413–419.\n(doi:10.1038/NMAT4544).\n16. Modes, C. D. & Warner, M., 2011 Blueprinting nematic glass: Systematically constructing and\ncombining active points of curvature for emergent morphology.\nPhysical Review E 84, 021711.\n(doi:10.1103/PhysRevE.84.021711).\n17. Plucinsky, P ., Lemm, M. & Bhattacharya, K., 2016 Programming complex shapes in thin\nnematic elastomer and glass sheets.\nPhys. Rev. E 94, 010701(R).\n(doi:10.1103/PhysRevE.94.010701).\n18. Miskin, M. Z., Dorsey, K. J., Bircan, B., Han, Y., Muller, D. A., McEuen, P . L. & Cohen, I., 2018\nGraphene-based bimorphs for micron-sized, autonomous origami machines.\nProceedings of the National Academy of Sciences 115, 466–470.\n19. Modes, C. & Warner, M., 2016 Materials with programmable shapes.\nPhysics Today 69, 32–38.\n(doi:10.1063/PT.3.3051).\n20. Warner, M., 2020 Topographic mechanics and applications of liquid crystalline solids.\nAnnual Review Cond. Mat. Phys. 11, 125–145.\n(doi:10.1146/annurev-conmatphys-031119-050738).\n21. Modes, C. & Warner, M., 2012 Responsive nematic solid shells: Topology, compatibility, and\nshape.\nEPL (Europhysics Letters) 97, 36007.\n(doi:10.1209/0295-5075/97/36007).\n22. Aharoni, H., Sharon, E. & Kupferman, R., 2014 Geometry of thin nematic elastomer sheets.\nPhysical review letters 113, 257801.\n(doi:10.1103/PhysRevLett.113.257801).\n23. Mostajeran, C., 2015 Curvature generation in nematic surfaces.\nPhys. Rev. E 91, 062405.\n(doi:10.1103/PhysRevE.91.062405).\n24. Modes, C. & Warner, M., 2015 Negative gaussian curvature from induced metric changes.\nPhys. Rev. E 92, 010401.\n(doi:10.1103/PhysRevE.92.010401).\n25. Plucinsky, P ., Lemm, M. & Bhattacharya, K., 2018 Actuation of thin nematic elastomer sheets\nwith controlled heterogeneity.\nArch. Rational Mech. Anal. 227, 149–214.\n(doi:10.1007/s00205-017-1167-3).\n26. Plucinsky, P ., Kowalski, B. A., White, T. J. & Bhattacharya, K., 2018 Patterning nonisometric\norigami in nematic elastomer sheets.\nSoft Matter 14, 3127–3134.\n(doi:10.1039/c8sm00103k).\n27. Ware, T. H. & White, T. J., 2015 Programmed liquid crystal elastomers with tunable actuation\nstrain.\nPolym. Chem. 6, 4835–4844.\n(doi:10.1039/c5py00640f).\n28. Guin, T. H., Settle, M. J., Kowalski, B. A., Auguste, A. D., Beblo, R. V ., W, R. G. & White, T. J.,\n2018 Layered liquid crystal elastomer actuators.\nNat. Comm. 9, 2531.\n(doi:10.1038/s41467-018-04911-4).\n29. Kotikan, A., Truby, R. L., Boley, J. W., Lewis, J. A. & White, T. J., 2018 3d printing of liquid\ncrystal elastomeric actuators with spatially programed nematic order.17rspa.royalsocietypublishing.org Proc R Soc A 0000000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Adv. Mat. p. 1706164.\n(doi:10.1002/adma.201706164).\n30. Mostajeran, C., Warner, M., Ware, T. H. & White, T. J., 2016 Encoding gaussian curvature in\nglassy and elastomeric liquid crystal solids.\nProceedings of the Royal Society A: Mathematical, Physical and Engineering Science 472, 20160112.\n(doi:10.1098/rspa.2016.0112).\n31. Warner, M. & Mostajeran, C., 2018 Nematic director fields and topographies of solid shells of\nrevolution.\nProceedings of the Royal Society A: Mathematical, Physical and Engineering Science 474, 20170566.\n(doi:10.1098/rspa.2017.0566).\n32. White, T. & Broer, 2015 Programmable and adaptive mechanics with liquid crystal polymer\nnetworks and elastomers.\nNature Mat. 14, 1087–1098.\n(doi:10.1038/NMAT4433).\n33. Arroyo, M. & DeSimone, A., 2014 Shape control of active surfaces inspired by the movement\nof euglenids.\nJMPS 62, 99–112.\n(doi:10.1016/j.jmps.2013.09.017).\n34. Aharoni, H., Xia, Y., Zhang, X., Kamien, R. D. & Yang, S., 2018 Universal inverse design of\nsurfaces with thin nematic elastomer sheets.\nPNAS 115, 7206–7211.\n(doi:/10.1073/pnas.1804702115).\n35. Griniasty, I., Aharoni, H. & Efrati, E., 2019 Curved geometries from planar director fields –\nsolving the two-dimensional inverse problem.\nPhysical Review Letters 123, 127801.\n(doi:10.1103/PhysRevLett.123.127801)." }, { "title": "0812.4715v4.Flux_quanta_driven_by_high_density_currents_in_low_impurity_V3Si_and_LuNi2B2C__free_flux_flow_and_flux_core_size_effect.pdf", "content": "Flux quanta driven by high-density currents in low-impurity V 3Si and LuNi 2B2C: \nfree flux flow and fluxon-core size effect \n \nA. A. Gapud, S. Moraes, R. P. Kh adka, P. Favreau, and C. Henderson \nUniversity of South Alabama, Department of Phys ics, 307 University Bl vd N, Mobile, AL 36688 \n \nP. C. Canfield and V. G. Kogan \nAmes Laboratory, 111 TASF, Ames, IA 50011-3020 \n \nA. P. Reyes and L. L. Lumata \nNational High Magnetic Field L aboratory, 1800 E Paul Dirac Drive, Tallahassee, FL 32310-3706 \n \nD. K. Christen \nOak Ridge National Laboratory, 1 Beth el Valley Rd, Oak Ridge, TN 37831-6092 \n \nJ. R. Thompson \nU. of Tennessee, Department of Physics and Astronomy, Knoxville, TN 37996-1200 and \nOak Ridge National Laboratory, 1 Beth el Valley Rd, Oak Ridge, TN 37831-6092 \n \nAbstract \n \nHigh density direct currents (DC) are used to drive flux quanta via the Lorentz force towards a \nhighly ordered “free flux flow” (FFF) dynamic state, made possible by the weak-pinning \nenvironment of high-quality, single-crystal samples of two low- Tc superconducting compounds, \nV3Si and LuNi 2B2C. We report the effect of the magnetic field-dependent fluxon core size on flux \nflow resistivity ρf. Much progress has been made in minimi zing the technical challenges associated \nwith the use of high currents. At tainment of a FFF phase is indi cated by the satura tion at highest \ncurrents of flux-flow dissipation le vels that are well below the normal state resistance and have field-\ndependent values. The field de pendence of the corresponding ρf is shown to be consistent with a \nprediction based on a model for th e decrease of fluxon core size at higher fields in weak-coupling \nBCS s-wave materials. \n 1I. INTRODUCTION \n \n A current issue in the flux dynamics of mixed state superconductors is the nature of the \nfinite-sized, non-superconducting co res of these magnetic flux quanta1, 2 – henceforth termed \n“fluxons.” While most analyses and applications do not consider the details of the finite size and \nanisotropic shape of the fluxon core, there are important effects, especi ally at lower temperatures and \nhigher magnetic fields. This arises mainly from the unique electroni c structure of the non-\nsuperconducting material within the core, which is not yet fully understood. Fluxon core structure \nand shape greatly affect the way the vortices inte ract. For example, new types of phase transitions \nfrom one type of flux-lattice symmetry to another have been predicted and discovered as a result of \nnonlocal electrodynamic effects and interact ion with the physica l crystal lattice.3, 4 The internal \nstructure of the core also determines the viscous force against which fluxons move under current-driven Lorentz forces, which also affects how phas e transitions occur and, in turn, determines the \nuseful current-carrying cap acity of a superconductor.\n1 \nThese issues have been explored in previous wo rks on various materials, using methods such as: \n(1) small-angle neutron scattering (SANS) which first revealed the lattice-symmetry transitions5 and \nhas subsequently shown a number of stru ctural features in the flux lattice3, 4, 6-11, (2) scanning \ntunneling microscopy12 which has revealed the existence of inte rnal electronic states within the core \nand also confirmed the lattice-symmetry transitions seen by SANS5, (3) magnetization \nmeasurements13 which have revealed a field dependence to the fluxon core size and (4) muon spin \nrotation spectroscopy2 which have not only confir med the field dependence of the core size but also \ncorrelated them with the observed lattice-symm etry transitions. However, complementary DC \ntransport measurements, proven effective in probi ng the behavior of fluxons, have not yet been \nattempted towards the specific question of fluxon core size effects – even though the experimental \nsignature of these phenomena has already been predicted. \nThe application of direct current is arguably the most direct wa y of providing the Lorentz force \nnecessary to drive the motion of fluxons (so-called “flux flow”), while at the same time quantifying \nthe dissipation voltage per unit current associated w ith this motion, i.e., the flux flow resistance. Free \nflux flow resistivity ρ f refers to the ohmic-like dissipation process in which vortices move in a so-\ncalled free-flux-flow (FFF) regime wherein the viscous drag on the moving, interacting fluxons \ngreatly exceeds any residual pinning forces presen t; this leads to a highly ordered movement of \n 2fluxons.14 The dependence of ρf on magnetic field H (≈ flux density B in cgs units) is traditionally \nmodeled using the linear, Bardeen-Stephen (BS) relation at a fixed temperature15: \n2cN fHHρ ρ= ( 1) \nwhere ρN is the extrapolated nor mal-state resistivity and Hc2 is the upper critical field for that \ntemperature. For clean, weak-coupling BCS s-wave materials16, Kogan and Zhelezina (KZ) have \npredicted a deviation from this expressi on due to a field-de pendent core size, ξ(H) = ξ*ξc2, where ξc2 \n= ) 2 /(2c o o Hπμ φ is the usual coherence length, commonly assu med to be field-independent. For the \nBS expression (1), substituting ξc2 Æ ξ(H) yields the modified form13, \n2 *2\n2) ( 2ξφξ πμ\nρρhHHHH\noo\nc Nf= → = (2)\n \nwhere h = H/H c2. In the field-dependent KZ picture, for reduced temperature t = T/Tc < 0.5 and h > \n0.6, the quantity ξ* = ξ(H)/ξc2 is found to be independent of material parameters16 for relatively \n“clean” (weakly scattering) materi als with scattering parameter λ = hv/2πkTcl ≤ 1.0. Here, v is the \naverage Fermi velocity and l is the electronic mean free pa th. Under these conditions, all ξ*(h,t) \ncollapse onto the ξ*(h,t = 0) curve. For higher (h,t), ξ*(h,t) tend towards ξ*(h,t = 0) only as λÆ 0. By \ncontrast, increasing λ brings ξ*(h,t) towards unity (constant). More interestingly, rais ing the reduced \ntemperature t has the same effect16 as raising λ . Using the numeric solutions16 for ξ*(h) for h > 0.15 \nand low λ, curves have been generated for ρf /ρn versus h for t = 0 and t = 0.5; in these numerical \nresults (shown in Fig. 4), the curve for higher t indeed lies closer to BSFF ( ξ* = 1). Towards \nconfirming these predictions, this study measures the normalized ρf for samples with low λ, at the \nvaporization temperature of liquid heliu m which is almost halfway between t = 0 and t = 0.5, and \nfor the largest possible range of magnetic fields h > 0.15. The results of this i nvestigation reveal that, \nindeed, the field dependence of ρf is consistent with the KZ prediction. \n \nII. EXPERIMENT AL DETAILS \n \nA key technical challenge in examining the flux medium is the ability to achieve a “textbook” \nflux lattice: one that is relatively free of any pinning, thermal fluctuations, or distortions due to \nelectronic anisotropy (so that the flux lines are less like barely c onnected “pancake vortices” and \n 3more like uniform “rods”). This favors conventional s uperconductors that are re latively isotropic and \nhave critical temperatures ( Tc) low enough to minimize thermally indu ced effects. The latter is most \nconveniently quantified using the Ginzburg number, Gi, defined as17: \n2\n3 22\n) 0 ( ) 0 ( 4 2⎟⎟\n⎠⎞\n⎜⎜\n⎝⎛=\no c oc\nHkTGiξ πμγ (3) \nwhere Hc is the thermodynamic critical field, ξo is the coherence length, and 2γ quantifies the \neffective supercarrier mass anisot ropy. For this reason, samples of V 3Si and LuNi 2B2C were used, \nwhere , and Gi ~ 10-7. (By contrast, high- Tc cuprate superconductors, with , have Gi > \n10-2.) In addition, this added benef it of very low anisotropy encourages more three-dimensional flux \nmotion. Another requirement, to mini mize pinning, is quality materials that contain very few defects, \nsomething difficult to achieve. The quality of the V 3Si and LuNi 2B2C samples in this study has \nalready been demonstrated in other, previous work.13, 18-20 In addition, a measurem ent of the residual \nresistivity ratio (RRR), for which a sample could be considered sufficiently “clean” at values of ~10, \nyields values exceeding 35, as shown in Fig. 1. The scattering parameter λ previously defined was \nalso determined: For the present V 3Si material, this is estimated at 0.38. (Here we use root-mean-\nsquare v = (2.94 × 1014 cm2/s2)1/2 from band-structure calculations3, with the value l = 32 nm from a \nprevious study4 on the same sample.) For the LuNi 2B2C sample, λ is estimated at 0.25. (Using ρ l = \n0.42 x 10-5 µΩ cm2, also from band-structure calculations21, and ρ(Tc) = 1.0 µΩ cm, one obtains l = \n42 nm; also, root-mean-square va = (1.87 × 1014cm2/s2)1/2 – since the sample is a single crystal.22) 21 γ≈225 γ>\nExperimentally, driving the fluxons toward a FFF phase is done by pulsing the current through \nthe sample in a four-terminal strip geometry. Pu lse widths were between 17 ms and 50 ms duration, \nand in opposite polarity in order to eliminate thermal voltage offsets, and voltage measurements \nwere carefully timed so that sampling occurred at the center of each pulse. Another technical \nchallenge is the necessity of applying high curr ent densities through bulk samples, which required \ncurrents exceeding 50 A (provided by a 100-A Valhal la current calibrator). In addition to limiting \nthe duty cycle by pulsing the current, a very low-re sistance sample circuit was constructed by using \nthick current leads – the thickest are gauge “0000” wires used to connect th e current source to the \ncryostat probe – and by minimizing the contact resi stance between sample and current leads. The \nlatter was done using ultrasonic soldering, by which oxides on the contact surfaces are \nsimultaneously lifted off by ultrasonic cavitation to encourage wetting, which was especially \nnecessary due to the difficulty of bonding with bulk samples. In this way, contact resistance was \n 4limited to the level of micro-ohms. Another consideration is the fact that high current is applied \nwhile the sample is in a dissipative state, raising the possibility of sample heating; to minimize this, \nthe sample was kept submerged at all times in li quid helium at 4.2 K (as also closely monitored by \nweakly field-dependent Ce rnox temperature sensors mounted with the sample). Because of all the \nabove measures, dissipation in the mixed state co uld be limited to levels well below that causing \nboiling of the cryogenic film around the sample.23 \n \nIII. RESULTS AND DISCUSSION \n \nResults for electrical transport in the superconductive state are presen ted in Fig. 2, as plots of the \nvoltage-current ratio ( V/I) versus current. The semi-log plots indicate that ind eed the dissipation \nlevels saturate at highest curre nts, and that the saturation levels are well below the level for Rn \n(indicated by the dashed line) and are field-depe ndent. In the figure, the normal-state-transition \nresistances Rn at 4.2 K are the saturation levels measured at the corresponding Hc2 – which is defined \nwhere Jc(H) drops below 1 A/cm2 (Later, important magnetoresistiv e effects will be described.). To \nobtain the ratio ρf /ρn = Rf /Rn, one must determine the flux flow resistance Rf , which is the level \ntowards which the ( V/I ) curves saturate. These saturation levels were obtained via a best fit of the \n(V/I) versus I data to the empirical asymptotic form, Rf (1 - Ic /I)α, yielding the typical curves also \nshown in Fig. 2. \nA frequent signature of weak-pinning systems is the presence of a small window of re-entrant \npinning that overcomes the elasticity of the flux medium, which occurs just below critical field Hc2 \n(Tc2). This is manifested as an anomalous “peak” in the otherwise monotonic field dependence of \ncritical current density, Jc (H) – the so-called Jc “peak effect”24 – shown in the insets of Fig. 2 for \nthe present materials. Being an indi cator of more effective pinning, this Jc “peak” has been known to \ndisrupt the formation of a FFF phase19, and thus the “onset” field (the lower-field bound of the Jc \npeak) serves as a practical upper boundary for the FFF phase. As expected, only below this onset are \nvoltage-current (VI ) curves seen to: (i) saturate to constant levels at highest currents at resistivity \nlevels that are (ii) below that for the normal state Rn and are (iii) field-depe ndent. In this study, an \nupper bound was determined to be 3.0 T for LuNi 2B2C and 14.0 T for V 3Si, as indicated by the \nvertical line and the labeled arrow. The transport data in the main panels of Fig. 2 lie under these \nrespective field boundaries. \n 5The resulting experimental field dependencies of ρf /ρn are plotted in Fig. 4, together with the \ntheoretical predictions of Bardeen-Stephen (BSFF) a nd Kogan-Zhelezina (KZ). Most remarkable is \nthat the LuNi 2B2C data are consistent with the KZ curves. As previously describe d, KZ predicts that \nelevating the temperature above zero has the same effect as increasi ng the scattering as quantified by \nthe parameter λ : a weakening of the fiel d dependence of the fluxon core size, which would be \nmanifested here by a curve shifted closer towards the BSFF. If one c onsiders the sample as clean at λ \n= 0.25 (<1.0), then the shift is more likely due to t being halfway between 0 a nd 0.5 – just as the data \nlie almost halfway between the KZ curves for t = 0 and 0.5. Thus LuNi 2B2C data are consistent with \nthe KZ-predicted effect of varying t. \nAnalysis of the V 3Si data is more complex, due to subs tantial magnetoresistive effects on the \nvalues of “ Rn” as well as the presence of a Martens itic transformation at around T ~ 21 K, both of \nwhich had been studied by Zotos et al.25. Qualitatively, this effect inflates the value of ρn – i. e., the \nresistivity of the normal-state fluxon co res – to a level dependent on field H. Indeed, in the ρ -T \ncurve of V 3Si in Fig. 1, the measured value of ρn = 1.56 µΩ cm, open circle at 4.2 K, H = H c2 = 18.3 \nT, is actually higher than ρ(T = T c, H = 0) = 1.39 µ Ωcm. By comparison, for LuNi 2B2C, the \nmeasured value of ρn = 1.8 µΩ cm (square symbol), lies below the value ρ(T=T c)= 2.0 µΩ cm, \nconsistent with previous studies26 verifying negligible magnetore sistance in this compound. (The \ndashed-line curve is not a fit, but a guide to the eye.) In orde r to obtain reasonable values of \nmagnetoresistivity ρn(H) at 4.2 K for fields within the FFF regime, R(T,H) curves in the normal state \nwere obtained for fields up to 9 T on the same samp le – as shown in Fig. 3. By then extrapolating T2 \nfits, resistivities at 4.2 K were obtained and fit – along with the data point of ρ n (T = 4.2 K, H = H c2) \n= 1.56 µΩ – to the Kohler Rule form, Δρ/ ρo = A (H/ ρo)β, with A = 0.0151 ( μΩ-cm/T)-2 and β = \n1.34; this is shown in the Fig. 3 inset. Here, ρo = ρ(T = 4.2 K, H = 0). The formula was then used to \ninterpolate all values ρn(H) used for ρf /ρn in Fig. 4 which are indica ted by solid triangles. For \ncontrast, one finds that ignoring th e magnetoresistive effects and taking ρn (T = 4.2 K, H = H c2) = \n1.56 µΩ would have yielded the lower curve shown by open symbols whose slope would have been \ninconsistent with an approach of ρf /ρn towards 1 at h = 1. (Interestingly, the Kohler Rule form \nobtained on this material is different from that obtained previously by Zotos et al. , a fact which has \nled to another in-depth inquiry into the magneto resistivity of these pa rticular samples of V 3Si which \nis currently ongoing.) \n 6Qualitatively, the resulting V 3Si results are quite similar to those for LuNi 2B2C, with both \ndeviating significantly from BSFF predictions and showing consiste ncy with field dependent core \nsize effects. As for the KZ-finding that increasing λ makes the system more BS-like, the V 3Si data \nare consistent with this prediction: the ρf /ρn curve is found to be closer to BSFF compared with \nLuNi 2B2C, appearing to approach the KZ t= 0.5 curve at higher fields. The curves do not coincide \neven though both data sets ar e at approximately the same t. However, V 3Si does have a higher \nscattering parameter λ; therefore the shift is consistent with the prediction of a weaker field \ndependence of the fluxon core size with higher λ, i. e., stronger scattering. It is interesting that the \ntwo curves might either merge or cross at lower fi elds (where the currents required to achieve FFF \nbecome difficult to attain), the reason for which is not yet clear and demands investigation by some \nother means. \n \nIV. CONCLUSIONS \n \nFree flux flow resistivity levels determined by DC transport measuremen ts in weakly-pinned \nsystems are consistent with the Kogan-Zelezhina (KZ) model of a weak-coupling BCS manifestation \nof finite fluxon core size effects at low temperatures, in two different s-wave superconductors, V 3Si \nand LuNi 2B2C. Fig. 4 summarizes the main result. With a correction due to the known \nmagnetoresistivity of V 3Si in the normal state, the data appear to be consistent with the prediction \nthat the field dependence of fl uxon-core size is suppressed by both temperature and scattering. \nFinding such consistency with two different materi als is remarkable, and underscores the value of \nperforming a similar measurement using the same sy stems. In addition, this study also shows that \nfree flux flow could be an insightful probe into properties of the fluxon core. Since fluxon core \neffects should also be detected with in-field specific heat13, it would also be interesting to perform \nsame-system specific heat measurements to see if similar consistency would be found. \n \nACKNOWLEDGMENTS \n \n This research was supported by an award from Research Corporation, along with undergraduate \nsummer-research support from the University of South Alabama. Work at NHMFL is performed \nunder the auspices of the State of Florida and the NSF under Cooperative Agreement No. DMR-0084173. Work at the Ames Laboratory was supporte d by the Department of Energy, Basic Energy \n 7 8Sciences under Contract No. DE-AC02-07CH11358. Research at ORNL was supported by the \nU.S.D.O.E. Division of Material s Sciences and Engineering, Of fice of Basic Energy Sciences.FIGURE CAPTIONS: \n \nFigure 1. Temperature dependence of resistivity for th e two compounds, showing critical temperatures Tc (insets), and high residual \nresistivity ratios (RRR) that indi cate low impurity levels. The inset graphs show closeups of the transition temperatures and a re \ndescribed in the Discussion of Results in the text. \n \nFigure 2. Representative curves for the dissipation level, V/I, versus current for (a) LuNi 2B2C at fields H = 1.2, 1.5, 1.8, 2.0, 2.2, 2.5, \n2.8, and 3.0 T; and (b) V 3Si at H = 5.0, 5.5, …, 7.5, 8.0 T. Curves are plotted on semi-logarithmic axes to show saturation at highest \ncurrents and at field-dependent levels well below the normal-state dissipation level Rn measured at T = 4.2 K, H = H c2 (values indicated \nby dashed line). Insets: Non-monotonic “peak effect” in the field dependence of critical current density Jc at T = 4.2 K, due to re-entrant \nweak pinning near H c2 ; vertical line indicates up per bound for possibility of fr ee flux flow (FFF), see text. \n \nFigure 3. Temperature dependence of resistivity ρ(T) measured at fields H = 0, 1, 3, 4, 5, 6, 7, 8, and 9 T, plotted versus T2. Martensitic \ntransformation, MT, is marked, along with the measured ρn (T = 4.2 K, H = H c2 = 18.3 T ) = 1.56 µ Ω. Open circles at T = 4.2 K indicate \nmagnetoresistivities obtained by extending the T2 fits, which are then fitted with a Kohler-Rule form in the inset. See text for discussion. \n \nFigure 4. Comparison of normalized flux-flow resistivity ρf / ρn with predicted field dependence ba sed on the Kogan-Zelezhina (KZ) \nmodel for t = T / T c = 0 and 0.5, along with traditional Bardee n-Stephen flux-flow (BSFF) model. At t = (4.2 K)/ Tc , the data falls within \nthese two curves. For V 3Si, black triangles show results after correcting ρn (H) values for magnetoresistive effects; while uncorrected \ndata using as-measured ρn (Hc2) for all fields are shown as open circles; see text. \n \n \n 90 50 100 150 200 250 300-10010203040506070\n-10010203040506070\n0 5 10 15 20 250123\n0 1 02 03 04 00123V3Si Resistivity ( μΩ-cm)\nV3Si\nRRR ~ 47\n LuNi2B2C Resistivity ( μΩ-cm)\nT (K)RRR ~ 36LuNi2B2CTc = 16.1 K\n \n Tc = 17 K\n \nFigure 1 Ga pud et al. \n 10 \n \n \n 110 1 02 03 04 05 06 011041\nRnLuNi2B2C\n4.2 K\n1.2 TH = 3.0 T V / I (μΩ)\nI (A)024681101001000 \n Jc (A/cm2)\nH (T)FFF\n(a) \n0 5 10 15 20 25 30 35 40 45 500.111039\n51 0 1 5 2 011010010005.0 T\n V/I (μΩ)\nI (A)H = 8.5 TV3Si\n4.2 KRn\n \n Jc (A/cm2)\nH (T)FFF\n(b)\nFigure Ga1 pud et al . Figure 2 Ga pud et al. \n(T=4.2 K) 100 200 300 400 500 6000.81.01.21.41.61.82.0\nFigure 3 Ga pud et al. 100.11(MT)\nρo \nρ (H, 4.2K)9.0 T\n0 T\n ρ (μΩ-cm)\nT2 (K2)\nρ (18.3 T )\nΔρ/ρ\n0 = 0.0151 * (H/ρ\no)1.34 Δρ / ρο\nH/ρο (T/μΩ-cm)T = 4.2 K\n 12Figure 4 Ga pud et al. 0.0 0.2 0.4 0.6 0.8 1.00.00.20.40.60.81.0\n V3Si : ρn = ρn(H) t = 0.25, λ = 0.38\n 13 \n LuNi2B2C t = 0.26, λ = 0.25KZ t = 0.5, λ = 0.1 BSFF\n ρf / ρn\nH / Hc2KZ t = 0, λ = 0\nV3Si: ρn = ρf (Hc2=18.3 T )REFERENCES: \n1. J. E. Sonier, J. Phys: Cond. Matt. 16, S4499 (2004). \n2. J. E. Sonier, F. D. Callaghan, R. I. Miller, E. Bo aknin, L. Taillefer, R. F. Kiefl, J. H. Brewer, K. \nF. Poon, and J. D. Brewer, Phys. Rev. Lett. 93, 017002 (2004). \n3. V. G. Kogan, P. Miranovic, L. J. Dobrosavljevic- Grujic, W. E. Pickett, and D. K. Christen, Phys. \nRev. Lett. 79, 741 (1997). \n4. M. Yethiraj, D. K. Christen, D. M. Paul, P. Miranovic, and J. R. Thompson, Phys. Rev. Lett. 82, \n5112 (1999). \n5. D. K. Christen, H. R. Kerchner, S. T. Sekula, and P. Thorel, Phys. Rev. B 21, 102 (1980). \n6. M. R. Eskildsen, A. B. Abrahamsen, V. G. K ogan, P. L. Gammel, K. Mortensen, N. H. Andersen, \nand P. C. Canfield, Phys. Rev. Lett. 86, 5148 (2001). \n7. P. L. Gammel, D. J. Bishop, M. R. Eskildsen, K. Mortensen, N. H. Andserse n, I. R. Fisher, K. O. \nCheon, P. C. Canfield, and V. G. Kogan, Phys. Rev. Lett. 82, 4082 (1999). \n8. D. M. Paul, C. V. Tomy, C. M. Aegerter, R. Cubitt, S. H. Lloyd, E. M. Forgan, S. L. Lee, and M. \nYethiraj, Phys. Rev. Lett. 80, 1517 (1998). \n9. L. Y. Vinnikov, T. L. Barkov, P. C. Canfield, S. L. Budko, and V. G. Kogan, Phys. Rev. B 64, \n024504 (2001). \n10. L. Y. Vinnikov, T. L. Barkov, P. C. Canfield, S. L. Budko, J. E. Ostenson, F. D. Laabs, and V. \nG. Kogan, Phys. Rev. B 64, 220508 (R) (2001). \n11. M. Yethiraj, D. M. Paul, C. V. Tomy, and J. R. Thompson, Phys. Rev. B 58, R14767 (1998). \n12. C. E. Sosolik, J. A. Stroscio, M. D. Stiles, E. W. Hudson, S. R. Blankenship, A. P. Fein, and R. \nJ. Celotta, Phys. Rev. B 68, 140503 (R) (2003). \n13. V. G. Kogan, R. Prozorov, S. L. Bud'ko, P. C. Canfield, J. R. Thompson, J. Karpinski, N. D. \nZhigadlo, and P. Miranovic, Phys. Rev. B 74, 184521 (2006). \n14. M. N. Kunchur, D. K. Christen, and J. M. Phillips, Phys. Rev. Lett. 70 , 998 (1993). \n15. J. Bardeen and M. J. Stephen, Phys. Rev. 140, A1197 (1965). \n16. V. G. Kogan and N. V. Zhelezina, Phys. Rev. B 71, 134505 (2005). \n17. G. P. Mikitik and E. H. Brandt, Phys. Rev. B 64, 184514 (2001). \n18. A. A. Gapud, D. K. Christen, J. R. Thompson, and M. Yethiraj, Phys. B 329, 1363 (2003). \n19. A. A. Gapud, D. K. Christen, J. R. Thompson, and M. Yethiraj, Phys. Rev. B 67, 104516 \n(2003). \n 1420. B. Bergk, V. Petzold, H. Rosner, S. L. Drechsler , M. Bartkowiak, O. Ignatchik, A. D. Bianchi, I. \nSheikin, P. C. Canfield, and J. Wosnitza, Phys. Rev. Lett. 100, 257004 (2008). \n21. K. O. Cheon, I. R. Fisher, V. G. Kogan, P. C. Canfield, P. Miranovic, and P. L. Gammel, Phys. \nRev. B 58, 6463 (1998). \n22. V. G. Kogan, M. Bullock, B. Harmon, P. Miranovi c, L. J. Dobrosavljevic-Grujic, P. L. Gammel, \nand D. J. Bishop, Phys. Rev. B 55, R8693 (1997). \n23. E. G. Brentari and R. V. Smith, Inte rnational Advances in Cryogenic Engineering 10b, 325 \n(1964). \n24. A. I. Larkin and Y. N. Ovchinnikov, J. Low Temp. Phys. 34, 409 (1979). \n25. X. Zotos, O. Laborde, and J. P. Sénateur, Solid State Commun. 50, 453 (1984). \n26. V. N. Narozhnyi, V. N. Kochetkov, A. V. Tsvyashchenko, and L. N. Fomicheva, Journal of \nAlloys and Compounds 275-277 , 484 (1998). \n \n \n 15" }, { "title": "1512.01004v1.A_theoretical_investigation_on_the_transport_properties_of_armchair_biphenylene_nanoribbons.pdf", "content": "A theoretical investigation on the transport properties of \narmchair biphenylene nanoribbons \nHongyu Ge, Guo Wang*, Yi Liao \nDepartment of Chemistry, Capital Normal University, Beijing 100048, China \n* Corresponding author. Tel.: +86 -10-68902974. \nE-mail addres s: wangguo@mail.cnu.edu.cn (G. Wang) \n \nABSTRACT \nArmchair biphenylene nanoribbon s are investigated by using density functional theory. The \nnanoribbon that contains one biphenylene subunit in a unit cell is a semiconductor with a direct \nband gap larger than 1 eV, while that containing four biphenylene subunit s is a metal. The \nsemiconducting nanoribbon has high electron mobility of 57174 cm2V-1s-1, superior to armchair \ngraphene nanoribbons . Negative differential resistance behavior is observed in two electronic \ndevices composed of the semiconducting and metallic nanoribbons. The on/off ratios are in the \norder of 103. All these indicate that armchair biphenylene nanoribbon s are potential candidates for \nultra-small logic devices. \nKeywords: armchair biphenylene nanoribbon ; carrier mobility; negative differential resistance ; \non/off ratio ; density functional theory. \n \n1. Introduction \nGraphene [ 1] has attracted great attention for its ultra -high carrier mobility [ 2]. For \na logic device, a sufficiently large band gap is essential for on/off operation [ 3]. \nUnfortunately , graphene has an intrinsic band gap equal to zero [4], which makes it \ndifficult to be switched off [ 1,2,5,6 ]. Although one -dimension quantum confinement \nopens its band gap [ 7,8], the lack of atomically prec ise edges of graphene nanoribbons \nfabricated by top-down method s significantly degrade s the performance of \ngraphene -based electronic devices [ 9]. A bottom -up approach [ 10] produces precise \nedges of graphene nanoribbon. However, only one type of armchair gr aphene \nnanoribbon s with the width of seven carbon atoms can be synthesized through this method so far. These hinder the ir application in logic devices. \nBeyond graphene, several carbon allotrope s are also discovered , such as graphdiyne \n[11] and atomic carbo n chain [12]. The era of carbon allotropes [ 13] is coming. \nRecently, the controlled functionalization of specific positions [14] of biphenylene \n[15] significantly promote s its polymerization and makes biphenylene nanoribbon a \nnew candidate for carbon allotropes with excellent properties . Furthermore , \ntheoretical investigations indicate that biphenylene nano structure s have appealing \nproperties [ 16-19], such as low reorganization energies [ 18] and high capacity for \nhydrogen storage [ 19]. It is interesting tha t biphenylene nanoribbons are either \nsemiconducting or metallic, depending on their width [ 16,17 ]. This facilitates the \ncontrol of band gaps, which are crucial for electronic devices. Moreover, biphenylene \nnanoribbons are expected to be synthesized by bott om-up approaches [ 14], which \ncould reduce edge roughness [ 9] and enhance device performance. It is noted that \nonly the narrowest zigzag biphenylene nanoribbon is a semiconductor with a small \nband gap of 0.4 eV, while the band gaps of the armchair biphenyle ne nanoribbons \n(ABPNRs) can be much larger [16]. All these imply that ABPNRs can be good \ncandidates for new carbon -based logic devices. \nIn the present work, ABPNRs are investigated by using density functional theory. \nThe transport properties are focused on . The results indicate that the semiconducting \nABPNR has high electron mobilit y. Furthermore, a negative differential resistance \nbehavior is observed in t he electronic devices composed solely of ABPNRs . The \ndevices can be switched off with on/off ratios in the order of 103. \n2. Computational details \nThe geometr ies and electronic properties of the ABPNRs are calculated with the \nCRYSTAL14 program [20 ,21]. A pure density functional PBE as well as Bloch \nfunctions based on 6 -21G(d,p) basis set are used. A band ga p is an important \nparameter in electronic devices . However, pure density functionals usually \nunderestimate the band gaps of solids . Therefore, a screened hybrid density functional HSE06 [22], which can calculate band gaps accurately [23], is also used in the \ncalculations . A Monkhorst -Pack sampling with 81 k-points in the first Brillouin zone \nis sufficient to obtain converged electronic properties. During the non -iterative band \nstructure calculations, 801 k-points are used in order to fit the carrier effecti ve masses \naccurately . For semiconductors without sharp density of states near their frontier band \nedges, carriers in an energy range that is wider than kBT could participate in \nconduction. The range 10 kBT [24] is used to fit the carrier effective masses. Under \nthe deformation potential theory [25], the carrier mobilities of one -dimensional \nstructures are calculated by [26] \n2\n12/3* 2/1\nBD12\nD1) (2 E m TkCe\n\n\n (1) \nwhere \n02 2\n0 D1 /aaaEa C\n is the one-dimensional stretching modulus, a0 is the \nlattice constant at equi librium geometry , E is the total energy, \n12 2 2 *]/[ k m\n\n\n is \nthe carrier effective mass , \n\n is the energy at the frontier band edge, k is the \nreciprocal lattice vector, and \n0/0 1 aaa a E \n\n is the deformation potential const ant. \nThe deformation potential theory has been successfully applied to similar \none-dimensional structures, such as graphene nanoribbons [27,28]. \nBased on the non -equilibrium Green’s function method, the current -voltage ( I-V) \ncharacteristics of the electron ic devices composed of ABPNRs are calculated \naccording to the Landauer -Büttiker formula [29] \nEEf EfVVETheIR L d)]( )([),,(2\ng b \n\n (2) \nin which T(E,Vb,Vg) is the transmission coefficient at energy E, bias Vb and gate \nvoltage Vg, fL(E) and fR(E) are the Fermi -Dirac dist ribution function at the left and \nright electrodes, respectively. A density functional PBE and norm -conserving \npseudopotentials in the OPENMX program [30] are used. Pseudoatomic orbitals with \ncutoff radii of 5.0 Bohr are adopted as basis functions , in whic h one primitive orbital is used for each of the s or p orbital. The size of vacumm layer is set to 15 Å. The \nenergy cutoff is 150 Ry. A Monkhorst -Pack k -mesh 121×1×1 is sufficient to obtain \nconverged properties. \n3. Results and discussions \nFour o ne-dimensio nal ABPNRs are calculated based on the PBE functional by \nusing CRYSTAL14 program. The width is indicated by t he number of biphenylene \nsubunits in a unit cell , which is labeled before the abbreviation . For example, \n1-ABPNR indicates that the unit cell conta ins only one biphenylene subunit . The \nstructure of 1-ABPNR is indicated in Figure 1( c) and its band structures are shown in \nFigure 1( a). From Figure 1(a) , it can be seen that 1-ABPNR is a semiconductor with a \ndirect band gap of 1.13 eV at the Γ point. For 2 -ABPNR, the valence band maximum \n(VBM) moves to the X point, while the conduction band minimum (CBM) is still at \nthe Γ point. The indirect band gap is only 0.09 eV. The 3 -ABPNR and 4 -ABPNR are \nboth metals. This is similar to the results obtai ned by the M06 -L functional [17]. \nBecause 3-ABPNR and 4 -ABPNR have similar metallic band structures , only those of \n4-ABPNR are shown in Figure 1( b). In the figure, the frontier bands go across the \nFermi level three times. The density of states at the Fermi level is 4.1 eV-1cell-1. Since \nthe HSE06 functional can give much more accurate band gaps of solids, the four \nABPNRs are also calculated by this functional. The band gap of 1 -ABPNR increases \nfrom 1.13 to 1.71 eV, while that of 2 -ABPNR increase s from 0.09 to 0.53 eV. \n3-ABPNR is no longer a metal, but a semiconductor with a very small band gap of \n0.08 eV. 4-ABPNR is still a metal. The results obtained by the HSE06 functional are \nconsistent with the previous results [16,17]. Whatever the adopted functional is , \n1-ABPNR is a semiconductor with a band gap larger than 1 eV while 4-ABPNR is a \nmetal. 4 -ABPNR can be used as an electrode, and 1-ABPNR can be used a s a \nsemiconductor material. Since the HSE06 functional is still not available in I-V \ncharacteristics calcu lations [30], all the results below are based on the PBE functional for consistency. \nCarrier mobility is a key parameter in semiconductor industry. According to the \ndeformation potential theory, carriers are mostly scattered by longitudinal acoustic \nphonon s. The valence and conduction band deformation potential constants ( E1v and \nE1c) of the semiconducting 1 -ABPNR are 8.86 and 0.49 eV, respectively. The E1v is \nmore than one order of magnitude larger than the E1c. The unbalanced constants can \nbe explained us ing f rontier crystal orbitals . In Figure 1(c) and 1(d) , the highest \noccupied crystal orbital (HOCO) at the VBM and the lowest unoccupied crystal \norbital (LUCO) at the CBM are both π orbitals. The HOCO is almost localized \n(perpendicular to the one -dimension al direction) , while the LUCO is almost \ndelocalized . During the deformation along the one -dimensional direction, the \ndelocalized orbital should have a smaller energy change than the localized one has. \nTherefore, the E1c is smaller than the E1v. Furthermore , there is little orbital \ndistributed above the center of the slanted C-C bonds, because the unoccupied orbital \nhas more nodes than the occupied one has. Due to the nodes at all the slanted C -C \nbonds, the E1v is extreme ly small. According to equation (1), carrier effective mass is \nanother parameter that affects carrier mobility. Carrier effective masses are closely \nrelated to band dispersion. As shown in Figure 1(a), the band width of the valence \nband (0.26 eV) is less than that of the conduction band (0.98 eV). Thus the fitted hole \neffective mass (0.95 m0) is larger than the electron effective mass (0.20 m0). Besides, \nthe calculated stretching modulus is 153 eV Å-1. The obtained hole and electron \nmobilities are 17 and 57174 cm2V-1s-1, respectively. This indi cates that 1 -ABPNR is \nfavorable to electron transport and could be a candidate for high -speed electronic \ndevices. The high electron mobility is a result of the small E1v and small electron \neffective mass. \nThe armchair graphene nanoribbon with the width of seven carbon atoms (7 -AGNR) \nis the only one of the AGNR s synthesized by a bottom -up approach [10]. It has the \nadvantages of atomically smooth edges. The carrier mobilities are also calculated with \nthe same method for comparison. The stretching modulus is 1 96 eVÅ-1, which is 1.28 \ntimes as large as that of 1 -ABPNR. It is noted that t he width of 7 -AGNR is larger than that of 1 -ABPNR (six carbon atoms). Considering this difference, the stretching \nmodulus should be 1.10 times as large as that of 1 -ABPNR. The per fect honeycomb \nstructure makes graphene nanoribbons stronger than any other counterparts. Similar to \n1-ABPNR, 7 -AGNR has a direct band gap at the Γ point. It has a slightly larger band \ngap of 1.52 eV . The E1v and E1c are 3.27 and 10.39 eV, while t he hole and electron \neffective masses are 0.33 and 0.38 m0, respectively. These make 7-AGNR be \nfavorable to hole transport. The obtained hole and electron mobilities are 759 and 63 \ncm2V-1s-1, respectively. The hole mobility is comparable to the value of much wider \ngraphene nanoribbons obtained by top-down methods [9]. The electron mobility of \n1-ABPNR (57174 cm2V-1s-1) is more than one order of magnitude higher than th e \nmobilities of 7-AGNR , and is of the same order of magnitude as that of much wider \n39-AGNR [28]. All these imply that 1 -ABPNR should be a good candidate for \nultra-small electronic devices. \nWith the size of electronic devices becomes smaller and smaller, short -channel \neffect can occur . Low-dimensional structures in electronic devices should be helpful \nto solve this problem. Instead of carrier mobilities, real performance ( I-V \ncharacteristics) is usually used to describe the properties of ultra-small electronic \ndevices . Two all -ABPNR devices are proposed as shown in Figure 2(a) and 2(b). The \nsemi -infinite left and right electrodes are both composed of metallic 4 -ABPNR. The \nscattering region contains a unit cell of 4-ABPNR at each terminal as well as five \ncells of semiconducting 1 -ABPNR. Metal electrodes are not used in the models in \norder to prevent possible contact barrier between metal s and 1 -ABPNR. In Figure 2(a) \nor 2(b), the 1 -ABPNR is at the edge or in the center. In the “ edge model”, the \nhydrog en atoms at the inner edge of 1 -ABPNR have repulsion with th e adjacent \nhydrogen atoms of 4-ABPNR. This makes 1 -ABPNR slight ly deviate from the plane \nof 4-ABPNR. The largest deviation is 8° near the inner edge of 1 -ABPNR . In the \n“center model”, the repulsio n exist s at the both edges of 1 -ABPNR. The deviation \nincreases to 10°. The channel length is only 31 Å for the two ultra-small devices. \nThe I-V curves of the two models are shown in Figure 3(a) and 3(b). Since the left \nand right electrodes are symmetric, o nly positive bias is considered . The I-V curves of the two models are similar and they have semiconducting characteristics. The edge \nand center model s have threshold voltages of 0.7 and 0.6 V, respectively. Below the \nthreshold s, the currents are always clo se to zero. Above the threshold s, the current s \nincrease rapidly with the bias es. When the biases are equal to 2.0 V, the currents of \nthe two models are both the largest. The largest currents are 30.5 and 17.0 μA. Then \nthey begin to decrease until the biase s reach 2.6 and 2.4 V. Negative differential \nresistance exist s in these devices. The valley value s are 13.6 and 10.3 μA. The \npeak -to-valley current ratios are 2.24 and 1.65 for the two models , respectively. The \nedge model has a larger peak current and a higher peak -to-valley current ratio. The \nperformance is slightly better than that of the center model. The reason may be the \nsmaller structural deviation and better junction in the edge model . It is noted that there \nis another valley at 2.8 V for the center model. The corresponding peak -to-valley \ncurrent ratio is lower than the one at 2.4 V. \nIn order to elucidate the I-V characteristics, transmission spectra at different biases \nare plotted. For the edge model, the transmission coefficient at zero bias is show n in \nFigure 4(a). There is a gap between -0.7 and 0.5 eV, where the transmission \ncoefficient is almost zero. Thus the current is almost zero at small bias es. On the other \nhand, three peaks exist in the range from 0.5 to 1.5 eV. The above two characteristic s \nare basically maintained when the bias is equal to 0.7 V , which can be seen from \nFigure 4(b). However, the peaks shift slightly to the left and the peak values decrease . \nFor a bias Vb, the transport window is from -eVb/2 to eVb/2 when Fermi level is set to \nzero. The transport window is indicated by dotted vertical lines. In Figure 4(b), there \nis a small non -zero area in the transport window, so the integral in equation (2) is \nnon-zero. Then the current increase s with the bias, because more area is include d in \nthe transport window. When the bias is equal to 2.0 V, the middle one of the three \npeaks becomes dominant, which is shown in Figure 4(c). The biggest transmission \npeak is included in the transport window and the current is the largest . As shown in \nFigure 4(d), the height s of the three peak s drastically decrease , when the bias \nincreases further to 2.6 V . The current decreases , although the three transmission \npeaks are all included in the transport window . This is the reason why negative differential res istance occurs . The situation for the center model is similar and is not \nshown for brevity. \nFor logic devices, on/off operation is an important issue . The speed of a field -effect \ntransistor is proportion to conductance [31]. The conductance reaches the highest \nvalue when the bias is 2.0 or 1.5 V for the edge or center model , respectively . In order \nto avoid potential influence from the negative differential resistance effect above 2 V, \nthe bias 1.5 V is chosen for on/off operation . At this bias, the conducta nce is 8.1 or \n9.5 μS for the edge or center model, indicating considerably high performance. For \nthe edge model, the current is 12.1, 0.369, 0.0101 or 0.0111 μA when the gate voltage \nVg is 0, 5, 10 or 15 V. Negative Vg does not effectively switch the devic e off. For the \ncenter model, the current is 14.2, 0.108, 0.0411 , 0.00734 or 0.0703 μA when the Vg is \n0, 5, 10, 15 or 20 V . The highest on/off ratio of the edge or center model is 1.2× 103 \nand 1.9×103 when the Vg is 10 or 15 V. The ratios are much higher tha n that of \ngraphene [5,6], and are close to the requirement (104) of complementary circuits [3]. \n4. Conclusions \nFour one-dimensional ABPNRs are investigated by using density functional theory. \nThe calculations indicate that 1 -ABPNR is a semiconductor with a direct band gap \nlarger than 1 eV, while 4 -ABPNR is a metal. The semiconducting 1 -ABPNR has high \nelectron mobility of 57174 cm2V-1s-1, which is calculated based on the deformation \npotential theory. The high electron mobility is a result of the small E1v and small \nelectron effective mass. This value is more than one order of magnitude higher than \nthose of 7 -AGNR . And 7 -AGNR is the only one of the AGNRs with atomically \nsmooth edges synthesized by bottom -up approaches. The electron mobility of \n1-ABPNR is of th e same order of magnitude as that of much wider 39 -AGNR. These \nindicate that 1-ABPNR is a good candidate for ultra -small electronic devices with \nhigh speed . Two models of electronic devices composed of 4 -ABPNR and 1 -ABPNR \nare proposed. The I-V characterist ics of the electronic devices are calculated based on \nthe non-equilibrium Green’s function method . The two electronic devices have threshold voltages of 0.7 and 0.6 V. Negative differential resistance occurs when the \nbias is larger than 2.0 V. This is expl ained using transmission spectra. The \npeak -to-valley current ratios are 2.24 and 1.65 for the two models, respectively. \nFurthermore, the devices can be switched off by applying gate voltages. The on/off \nratios are in the order of 103. These imply that ABPN Rs are potential candidates for \nultra-small logic devices. \nAcknowledgements \nThis work is supported by the National Natural Science Foundation of China (Grant \nNo. 21203127), the Beijing Higher Education Young Elite Teacher Project \n(YETP1629) and the Scienti fic Research Base Development Program of the Beijing \nMunicipal Commission of Education. \nReferences \n[1] K.S. Novoselov, D. Jiang, F. Schedin, T. J. Booth, V. V. Khotkevich, S. V. Morozov, A. K. \nGeim, Proc. Natl. Acad. Sci. 102 (2005) 10451. \n[2] S.V. Morozov, K. S. Novoselov, M.I. Katsnelson, F. Schedin, D.C. Elias, J. A. Jaszczak, A .K. \nGeim, Phys. Rev. Lett. 100 (2008) 016602. \n[3] F. Schwierz, Nature Nanotechnol. 5 (2010) 487. \n[4] P.R. Wallace, Phys. Rev. 71 (1947) 622. \n[5] K.S. Novoselov, A.K. Geim, S.V. Morozov, D. Jiang, M.I. Katsnelson, I.V. Grigorieva, S.V. \nDubonos, A. A. Firsov, Nature 438 (2005) 197. \n[6] Y. Zhang, Y.W. Tan, H. L. Stormer, P. Kim, Nature 438 (2005) 201. \n[7] Y. -W. Son, M.L. Cohen, S. G. Louie, Phys. Rev. Lett. 97 (2006) 216803. \n[8] M.Y. Han, B. Ö zyilmaz, Y. Zhang, P. Kim, Phys. Rev. Lett. 98 (2007) 206805. \n[9] X. Li, X. Wang, L. Zhang, S. Lee, H. Dai, Science 319 (2008) 1229. \n[10] J. Cai, P. Ruffieux, R. Jaafar, M. Bieri, T. Braun, S. Blankenburg, M. Muoth, A.P. Seitsonen, \nM. Saleh, X. Feng, K. Mü llen, R. Fasel, Nature 466 (2010) 470. \n[11] G. Li, Y. Li, H. Liu, Y. Guo, Y. Li, D. Zhu, Chem. Commun. 46 (2010) 3256. \n[12] C. Jin, H. Lan, L. Peng, K. Suenaga, S. Iijima, Phys. Rev. Lett. 102 (2009) 205501. [13] A. Hirsch, Nat. Mater. 9 (2010) 868. \n[14] F . Schl ütter, T. Nishiuchi, V. Enkelmann, K. M üllen, Angew. Chem. Int. Ed. 53 (2014) 1538. \n[15] B.C. Berris, G.H. Hovakeemian, Y.H. Lai, H. Mestdagh, K.P. C. Vollhardt, J. Am. Chem. Soc. \n107 (1985) 5670. \n[16] M.A. Hudspeth, B.W. Whitman, V. Barone, J.E. Pera lta, ACS Nano 4 (2010) 4565. \n[17] P.A. Denis, J. Phys. Chem. C 118 (2014) 24976. \n[18] N.N. Karaush, G.V. Baryshnikov, B. F. Minaev, Chem. Phys. Lett. 612 (2014) 229. \n[19] P.A. Denis, F. Iribarne, Comp. Theo. Chem. 1062 (2015) 30. \n[20] R. Dovesi, R. Orlando, A. Erba, C. M. Zicovich -Wilson, B. Civalleri, S. Casassa, L. Maschio, \nM. Ferrabone, M. De La Pierre, Ph. D'Arco, Y. Noël, M. Causà, M. Rérat, B. Kirtman, Int. J. \nQuantum Chem. 114 (2014) 1287. \n[21] R. Dovesi, V. R. Saun ders, C. Roetti, R. Orlando, C. M. Zico vich-Wilson, F. Pascale, B. \nCivalleri, K. Doll, N.M. Harrison, I. J. Bush, Ph. D'Arco, M. Llunell, M. Causà, Y. Noël, \nCRYSTAL14 User's Manual, University of Torino, Torino 2014. \n[22] A.V. Krukau, O.A. Vydrov, A.F. Izmaylov, G. E. Scuseria, J. Chem. Phys. 125 (2006) \n224106. \n[23] T.M. Hender son, J. Paier, G. E. Scuseria, Phys. Status Solidi B. 248 (2011) 767. \n[24] G. Grimvall, the electron -phonon interaction in metals, North -Holland Publishing Company, \nAmsterdam 1981. \n[25] J. Bardeen, W. Shockley, Phys. Rev. 80 (1950) 72. \n[26] E.G. Wilson, J. Phys. C: Solid State Phys. 15 (1982) 3733. \n[27] J. Xi, M. Long, L. Tang, D. Wang, Z. Shuai, Nanoscale 4 (2012) 4348. \n[28] G. Wang, Chem. Phys. Lett. 533 (2012) 74. \n[29] M. Büttiker, Y. Imry, R. Landauer, S. Pinhas, Phys. Rev . B 31 (1985) 6207. \n[30] http://www.openmx -square.org/ \n[31] L. -M. Peng, Z. Zhang, S. Wang, Mater. Today 17 (2014) 433. \n \n \n \n \nFigure 1. Band structures of (a) 1 -ABPNR and (b) 4 -ABPNR . Horizontal axis: reciprocal lattice \nvector , vertical axis: energy (eV) . (c) HOCO and (d) LUCO of 1 -ABPNR. \n \n \nFigure 2. (a) Edge and (b) center model s of electronic devices. Left and right electrodes are \nindicated by rectangles. \n \n \n \n \nFigure 3. I-V characteristics of (a) the edge and (b) center models. \n \nFigure 4. Transmission spectra of the edge model at bias of (a) 0, (b) 0.7, (c) 2.0 and (d) 2.6 V. \nFermi levels are set to zero. " }, { "title": "1709.06086v2.Log_rise_of_the_Resistivity_in_the_Holographic_Kondo_Model.pdf", "content": "arXiv:1709.06086v2 [hep-th] 20 Feb 2018Log-rise of the resistivity in the holographic Kondo Model\nBikash Padhi,1,∗Apoorv Tiwari,1, 2Chandan Setty,1and Philip W. Phillips1\n1Department of Physics and Institute for Condensed Matter Th eory,\nUniversity of Illinois, 1110 W. Green Street, Urbana, IL 618 01, USA\n2Perimeter Institute for Theoretical Physics, Waterloo, ON , Canada\nAbstract\nWe study a single-channel Kondo effect using a recently deve loped [ 1–4] holographic large- Ntechnique.\nIn order to obtain resistivity of this model, we introduce a p robe field. The gravity dual of a localized\nfermionic impurity in 1+1-dimensional host matter is const ructed by embedding a localized 2-dimensional\nAnti-de Sitter (AdS 2)-brane in the bulk of AdS 3. This helps us construct an impurity charge density which\nacts as a source to the bulk equation of motion of the probe gau ge field. The functional form of the charge\ndensity is obtained independently by solving the equations of motion for the fields confined to the AdS 2-\nbrane. The asymptotic solution of the probe field is dictated by the impurity charge density, which in turn,\naffects the current-current correlation functions, and he nce the resistivity. Our choice of parameters tunes\nthe near-boundary impurity current to be marginal, resulti ng in alogTbehavior in the UV resistivity, as\nis expected for the Kondo problem. The resistivity at the IR fi xed point turns out to be zero, signaling a\ncomplete screening of the impurity.\nPACS numbers: 11.25.Tq , 72.10.Fk , 72.10.Bg\n∗bpadhi2@illinois.edu\n1I. INTRODUCTION\nIt is truly fortuitous that the word Kondo in Swahili means ba ttle or war because the physics be-\nhind the Kondo effect [ 5] is reminiscent of just that. Namely, below some crossover t emperature,\na lone magnetic impurity in a sea of noninteracting electron s is robbed of its spin as a result of the\nscreening cloud the conduction electrons form around the sp in. The resultant bound state [ 6–8] is\nan example of an emergent low-energy degree of freedom, tota lly absent from the UV-complete\nmodel. The physics of this bound state formation is captured by the renormalization group treat-\nment of this problem. At high energies, the magnetic impurit y and the conduction electrons are\nindependent. As the high-energy degrees of freedom are inte grated out [ 9,10], the exchange inter-\naction between the conduction electrons and the magnetic sp ins increases logarithmically. It is the\nlog-divergence of the Kondo exchange coupling that entails the formation of the singlet ground\nstate atT= 0. Consequently, at high energies the system is asymptotical ly free. A key signature\nof the logarithmic divergence of the coupling constant is th e experimentally observed log-rise of\nthe resistivity [ 11].\nSince the Kondo model only involves a single magnetic impuri ty, it is the simplest system that\nexhibits the physics of strong coupling, exemplified by the f ormation of new degrees of freedom\nat low energies. More modern techniques [ 12] have shown that the single-impurity nature of this\nproblem makes it amenable to a reformulation as a problem in b oundary conformal field theory.\nThis development coupled with the inherent strong-couplin g physics of this model ultimately sug-\ngest that this problem is tailor made to be solved by the gauge -gravity duality (holography). In\nprinciple, the Kondo model could be used as a testing ground f or the applicability of holography to\ncondensed matter as this problem has an exact solution [ 7,8]. Thus far, encoding Kondo physics\nwithin the gauge-gravity setup [ 1–4] has led to two key results which suggests that perhaps much\nmore of Kondo physics can be extracted within this technique : 1) the emergence of a dynamical\nscale below which the Kondo coupling diverges and 2) power-l aw scalings of the IR resistivity\nand entropy. The exponent is governed by the dimension of the irrelevant operator that flows the\ntheory away from the IR fixed point. Thus far, logarithmic sca ling of the resistivity has not been\nreproduced, since the previous models have been based on Che rn-Simons (CS) fields which do not\nhave any propagating degrees of freedom. Here we modify thes e proposals with the inclusion of a\nMaxwell field, which gives rise to a current at the boundary.\nIn this work, we construct the current explicitly at the boun dary and compute the corresponding\n2resistivity. A key difference in our work relative to the pre vious holographic setup is that we\nexplicitly include a boundary chemical potential for the bu lkU(1)gauge field. This allows us to\ninclude explicitly the charge density degrees of freedom in the Kondo model. It is the resistivity\ncomputed from the correlation function of the current opera tors dual to the bulk U(1)gauge field\nthat gives rise to the lnTbehavior.\nAs a result of the s-wave symmetry of the magnetic impurity, t he Kondo model can be described\nas an effective 1+1-dimensional model described by [ 12,13],\nH=HF+HK=ψ†\nLi∂xψL+λKδ(x)/vectorSimp·/vectorSel. (1)\nHereψ†\nLcreates a relativistic left-moving (chiral) free electron . Interaction of the electron spin\ncurrent,/vectorSel=ψ†\nL/vectorTψL, and the impurity spin current, /vectorSimp=χ†/vectorTχ, is localized at x= 0, with\nstrengthλK→0. Here, theχ’s are the Abrikosov fermions. We will consider a large- Nmodel\n[14] in whichN→ ∞ butλKNis assumed fixed [ 13]. Here, the components of /vectorTare theN2−1\ngenerators of the spin group SU(N)in the fundamental representation requires the impurity ch arge\nto beχ†χ=qiand constrains the physical space. Notice the engineering d imension of HKis 2;\nthus, the model essentially describes a classically margin al deformation to a chiral CFT, describing\nthe free electrons.\nHere, we review the holographic construction for [ 1] the Kondo model. The Kondo CFT (the\nabove Hamiltonian) is invariant under a spin SU(N)and chargeU(1)Kac-Moody current alge-\nbras. Since the boundary current is an SU(N)singlet, there is no SU(N)bulk dual field. The\nU(1)charge current, however, must be described by a bulk gauge fie ld,Aµ, and its associated\nfield strength, F, in AdS 3. Describing multiple flavors of electrons or a k-channel Kondo model\nrequires an SU(k)current algebra. The bulk dual of this is a level- kCS field. The Abrikosov\nfermions also have a U(1)charge symmetry, and hence this U(1)current must be described by\na bulk dual gauge field, am, and associated field strength, f, in AdS 2. Defining a scalar oper-\natorO=ψ†χ, in the large- Nlimit, one can describe the Kondo coupling as a ”double-trac e”\nmarginal deformation,/integraltext\ndx2O†O. SinceOis charged under the U(1)symmetries of both ψand\nχfermions, it should be described by a bifundamental scalar b ulk dualΦwith a covariant deriva-\ntive,Dm≡∂m+iq3Am−iq2am. These operators localized to the impurity are functions of time\nonly. Hence, their bulk dual fields must live only on the AdS 2-brane, embedded in the AdS 3bulk.\nWe usemandnas indices on the AdS 2fields andµandνfor the indices on the AdS 3fields.\nWhile it is customary to set q3=−q2= 1[1], we will work explicitly in the limit q3≪q2. This\n3limit is appropriate as the impurity sits in AdS 2making it physical to assume that q2> q3. The\nKondo action describing this is\nSK=−N/integraldisplay/radicalbig−g(3)d3x(LAdS3+δ(x)LAdS2), (2a)\nLAdS3=1\n2κ2\n3/parenleftbigg\nR+2\nL2/parenrightbigg\n−1\n4e2\n3F2, (2b)\nLAdS2=1\n4e2\n2f2+(DmΦ)†(DmΦ)+M2Φ†Φ. (2c)\nSince the bulk spacetime is 2+1 dimensional, it is natural to consider U(1)CS theory in addition\nto Maxwell theory [ 15]. However, we shall confine our discussion to the UV limit of t he Maxwell\ncoupling,e2≪1, in which case the MCS theory flows into a Maxwell theory, whic h we will\nuse as a probe theory. The gravitational coupling is also tak en to be small, κ2\n3≪2e2. These\nlimits simplify our calculations enormously. Owing to the p robe limit, we work with a fixed,\nunbackreacted, neutral background,\nds2=L2\nz2/parenleftbigg\n−h(z)dt2+dx2+dz2\nh(z)/parenrightbigg\n, (3)\nh(z) = 1−z2/z2\nh, T= 1/(2πzh). (4)\nThis AdS 3black hole background is the well-known the Ba˜ nados-Teite lboim-Zanelli (BTZ) back-\nground. Here, Tis the Hawking temperature of the black hole. From now onward , we scale all\nthe lengths by zhand henceT= 1/(2π). The AdS 3radiusLis set to unity. The AdS 2-brane is\nlocalized at x= 0 and has an induced metric g(2); the boundary field theory is located at a fixed\ninverse-radial cutoff, z=ǫ, and has induced metric γ.g(3)is the bulk AdS 3metric.\nII. CURRENT-CURRENT CORRELATION FUNCTION\nWe wish to compute the dc resistivity of the above theory, whi ch in linear response can be\nobtained from the Kubo formula [ 16]\nρdc= lim\nk→0iω/bracketleftbig\nImGR\nxx(k)/bracketrightbig−1=∝angbracketleftJx∝angbracketright\nEx, (5)\nwhereGR\nxx=∝angbracketleftJxJx∝angbracketrightis the retarded current-current correlation function, whi ch is obtained from\nthe on-shell action of Eq. ( 2). We have denoted k= (ω,k), and in computing the Green function,\nwe will scale them by 2πT. The last equality is simply Ohm’s law. Using the above expre ssion,\nwe obtain the resistivity in the weak-coupling limit [ 17] by evaluating the on-shell action near the\n4boundary of AdS 3. For obtaining the on-shell action (with a gauge choice az= 0 =Az), we first\nsolve the equations of motion (EOM),\n∂z/parenleftbig/radicalbig−g(2)gzzgtt∂zat/parenrightbig\n=−q2e2\n2jt, (6)\n∂z/parenleftbig/radicalbig−g(2)gzz∂zφ/parenrightbig\n=/radicalbig−g(2)/parenleftbig\ngtt(q3At−q2at)2+M2/parenrightbig\nφ, (7)\n∂z/parenleftbig/radicalbig−g(3)Fµν/parenrightbig\n=q3e2\n3δ(x)δν\ntjt, (8)\n2/radicalbig−g(2)gtt(q3At−q2at)φ=jt. (9)\nWe simplify these equations by appropriately tuning the cha rges of the scalar field and the Maxwell\ncouplings, such that q3≪q2= 1andq2e2\n2≪q3e2\n3= 1. These two limits allow us to work under\ntwo kinds of probe limits. The first limit lets us treat Aµas a probe field for the AdS 2-fields. So,\nwe look for the solutions of φ(z)andat(z), independent of Aµ. The second limit allows us to\nsolve foratby setting its source to zero. These limits allow us to work in a scenario in which\nthe dynamics of the impurity fields is not affected by that of t he bulk probe field. In the boundary\ntheory this corresponds to the limit when the impurity spin i s frozen to the origin and the electronic\ncurrent interacts with it without altering its dynamics. Th is helps us simplify the equations to,\n∂z/parenleftbig/radicalbig−g(2)gzzgtt∂zat/parenrightbig\n= 0, (10)\n∂z/parenleftbig/radicalbig−g(2)gzz∂zφ/parenrightbig\n=/radicalbig−g(2)/parenleftbig\ngtta2\nt+M2/parenrightbig\nφ, (11)\n∂µ/parenleftbig/radicalbig−g(3)Fµν/parenrightbig\n=δ(x)δν\ntjt, (12)\njt=/radicalbig−g(2)gttj0(z), j0(z) =−2atφ2. (13)\nFirst we solve at(z)from Eq. ( 10),\nat(z) =Qi/z+µi, (14)\nHere the charge of the impurity qi=NQiis fixed (Neumann boundary condition) and Nµiis the\nassociated chemical potential. Note that qi,µiare emerging parameters of the boundary field the-\nory (fixed by the boundary conditions), which are independen t of the choice of the bulk parameters\nq2,q3. Now we use this solution for solving φ(z), whereatsimply modifies the effective mass of\nthe scalar by O(Q2\ni). We further impose a constraint on the choice of Qi,Q2\ni−M2= 1/4, such\nthat the Breitenlohner-Freedman stability bound is satura ted. This makes the asymptotic solutions\nof Eq. ( 11) marginal,\nφ(z)≃z1/2(αlogΛz+β), α=κβ. (15)\n5By computing the free energy of the above solution, one can se e that the solution of φ(z)in Eq.\n(15) is stable only when T <−µi/(2πQi)≡Tc≃TK. For the temperature range T≥Tc,\nφ(z) = 0 turns out to be a more stable solution. Hence, the impurity ch argejtvanishes for\nT≥Tc, and so does the correlation function. Thus, the impurity (a nd hence the Kondo effect) can\nbe viewed as a 0+1 -dimensional holographic superconductor, driven by a doub le-trace marginal\ncouplingκ[18]. This is expected in large- Nmodel of the Kondo effect [ 19]. It is clear form the\non-shell action that this condensate ∝angbracketleftO∝angbracketright ∝Nα. For future simplification, we arbitrarily fix the\nproportionality constant and write, 2Nα=√π∝angbracketleftO∝angbracketright. As pointed out in Ref. [ 1],∝angbracketleftO∝angbracketrightcan be thought\nof as the size of the screening cloud, the formation of which b egins below T < Tc. Our probe\nlimits ensure a small screening cloud; in other words, our me thod works only in the temperature\nrangeT/lessorsimilarTc. Hence, we asymptotically evaluate j0(z)only up to the leading order in αor∝angbracketleftO∝angbracketright,\nj0(z)≃2Qiα2(z−1)(lnz+1/κ)2∼O(α2). (16)\nBefore we proceed to solve the probe fields for the above impur ity current, we note that going\nbeyond the probe limit of φessentially corrects the effective mass of atbyO(α2). Hence,j0is\ncorrected to the order O(α4), and (as we will see) so does the resistivity. In our limit, th is can be\nsafely ignored; however, as λkgrows, one has to include such a correction. In fact, one migh t also\nneed to revoke the probe limit of Aµ. Beyond the probe limit, the effective mass of Aµacquires a\ncorrection of O(q3α2).\nIn order to probe this current, we introduce Maxwell fields in the bulk. By treating them as\nlinear fluctuations, Aµei(ωt−kx), we can simplify Eq. ( 12). In Lorentzian holography [ 20], one can\nconstruct the correlation functions from the asymptotic so lution of a gauge invariant quantity, such\nas the electric field Ex=ωAx+kAt. Applying infalling conditions at the horizon and Neumann\nboundary conditions at the boundary, we solve Ex. The leading-order coefficient also provides a\nspectrum of black hole quasinormal modes, which can be used t o construct retarded hydrodynamic\ncorrelators [ 21]. The EOM of Ex(z)is\nE′′\nx+/parenleftbiggω2h′\np2h+1\nz/parenrightbigg\nE′\nx+p2\nh2Ex=kj0\nizh. (17)\nHere prime denotes the z-derivative and p2(z) =ω2−h(z)k2. We made a gauge choice, Az= 0.\nNote the source term is proportional to ksince it breaks translational invariance. We first solve the\nabove equation without the source (T >Tc)and later build the full solution on top of it. In three\ndimensions, the asymptotic solution is expected to be of the formEx∼ Axlnz+Bx, where the\n6coefficients can be fixed by solving the EOM. Using the hydrody namic expansion [ 22] in the limit\nω,k≪1, one can obtain an analytic solution,\nEx(z)∼(k2−ω2)lnz+(iω/2+ω2/4). (18)\nThe derivation of Exis sketched in Appendix A. The coefficients AxandBxcan be identified from\nthe above expression. From these coefficients, one can const ruct the Green functions as\nGR\nxx\nω2=−N\nω2−k2Bx\nAx=GR\ntt\nk2. (19)\nEvaluating this expression for the solution in Eq. ( 18), one obtains the real part of ac resistivity of\nthe BTZ background [ 23],Nρac= (ω2−k2)2/(πTω2). In the dc limit, the resistivity vanishes,\nwhich is expected since the background is translationally i nvariant. Adding the impurity (or a\nlocalized condensate) will break the translation invarian ce, andρdccannot vanish anymore.\nForT < Tc, the presence of the impurity changes the asymptotic struct ure of the gauge fields\nwhere the new terms do not source any new quantum field theory b ut are fixed by the impurity\nparameters. The asymptotic expansion of Exafter turning on j0is,\nEx∼ A′\nxlnz+B′\nx+Eimp\nx,\nzEimp\nx=b0+b1+(b1+2b2)lnz+b2(1−z)(lnz)2,\nB′\nx=µe\n4/parenleftbig\n2iω+ω2/parenrightbig\n+15\n8b2+b1−b0−A′\nxlnΛ,\nA′\nx=µe(k2−ω2)−(b1+2b2). (20)\nHere,b0/b2= 1 + (1/κ−1)2, b1/b2= 2(1/κ−1),andb2=−2Qiα2, are the impurity\nparameters, and µeis the chemical potential corresponding to the gauge field Aµ. The derivation\nof these coefficients is presented in Appendix B.\nNow, we need to correctly insert the temperature scales, whi ch can be done by understanding\nthe marginality requirement of the Kondo deformation. The p arameter choice we made for solving\nφ(z)is motivated by the fact that the boundary dual operator of φ2needs to be a marginal operator.\nIn such a scenario, following the AdS/CFT dictionary [ 24], we can identify κwith the double-\ntrace coupling and φsources a holographic superconductor on the boundary [ 25]. In other words,\nκplays the role of Kondo coupling in the holographic model. So far, we have been working\nwith the dimensionless coupling κ, which we now replace with −κ/NλK[1]. In the asymptotic\nsolution of φ(z)in Eq. ( 15),Λis an arbitrary renormalization scale which should not dict ate\nthe solution φ(z). Hence, by demanding φ(z)remains unchanged under this rescaling Λ0→Λ,\n7the renormalized parameters are related to the bare paramet ers byκ−1+ lnΛ =κ−1\n0+ lnΛ 0.\nAfter performing a thermal stability analysis [ 1] this translates into an insertion of the following\ntemperature scales,\nκ\nκT=−ln/parenleftbiggT\nTK/parenrightbigg\n, α2\nT=α2\n2πT, (21)\nwhereTKis defined as the temperature at which κT(Kondo coupling at finite temperature) di-\nverges. Thus, TKcan be identified as the Kondo temperature. For an antiferrom agnetic coupling,\nκ<0, andκT>0. We arbitrarily fix κ=−1. All these simplifications result in [using Eqs. ( 20)\nand ( 21) in Eq. ( 19)]\n−ωIm/parenleftbiggAx\nBx/parenrightbigg\n= (ω2−k2)−R(T),\nwhere,b1+2b2=−2Q0α2\nT/κT≡ −µeR(T),\nand,R(T) =R0λKln/parenleftbiggT\nTK/parenrightbigg\n, R0=µi∝angbracketleftO∝angbracketright2\nNµeTc. (22)\nThe∝angbracketleftO∝angbracketright2dependence of the imaginary part of the pole of the Green func tion is in agreement with\nthe resonance in the spectral function found previously [ 3]. The simplification of R(T)done in\nthe last line is performed by inserting appropriate tempera ture scales, as shown in Eq. ( 21). Note,\nthat since ∝angbracketleftO∝angbracketright ∼O(N)andλK∼O(1/N), thereforeR0λK∼O(N0).R(T)characterizes the\ndecay width of the pole in the Green function. This decay is a c haracteristic of the impurity in\nthe model, and it is this logarithm pole which causes the log- rise in the resistivity. Plugging in the\nabove simplified expression [Eq. ( 22)] for the Green function in the Kubo formula in Eq. ( 5), we\nrewrite the resistivity as\nρ(ω,k) =ω2−k2\nNω2/parenleftbiggω2−k2\nπT−R(T)/parenrightbigg\n, (23)\nρdc(T) =−R0\nNλKlnT\nTK. (24)\nThis is the characteristic logarithmic increase of the resi stivity in the Kondo problem. The\nexplicit1/Ndependence in ρdcis clear from the fact that Nacts as a coupling constant in the\naction in Eq. ( 2),S∝N. This makes all the n-point functions proportional to Nandρ∝\n∝angbracketleftJxJx∝angbracketright−1∝1/N. This implies for a classical spin, SU(N→ ∞), the resistiivity vanishes,\ncorrectly establishing the fact that Kondo effect is a pure q uantum mechanical effect, arising in the\noriginal context from the noncommutativity of internal deg rees of freedom. Also, in the absence\nof the screening cloud, which makes R0= 0, the resistivity vanishes. If we take the limit T→ ∞ ,\nbefore setting ω,k→0, the resistivity also vanishes. This is expected since at th e UV fixed point,\n8λK= 0(henceκT= 0orT→ ∞ ), the impurity and the electrons get decoupled. As a result o f\nthe negative sign in front of ρdc, the log-behavior can dominate only in case of antiferromag netic\ncoupling (λK>0). This calculation holds true for T < Tc∼TK, since in the slave fermion\nformulation the Kondo effect is enabled by superconductivi ty. For describing the physics in the\ntemperature range T≫TK, one needs to go beyond the saddle point approximation and co nsider\na backreacted geometry.\nIt appears from our calculation that the lnTdependence of the resistivity can appear anytime\nthere is an impurity with any internal degree of freedom (suc h as a spin or orbital momentum),\nas long as its coupling to the host matter is marginally relev ant. In other words, a scalar impurity\nwith a marginal coupling to the host fermionic matter cannot give rise to the Kondo-like effect.\nA trial term to see this is to consider a coupling of the form HK=λK|ϕ|2ψ†ψ, whereϕis the\nlocalized impurity field. Obviously, O†=ϕψ†being a fermionic operator cannot condense. This\nwould mean that some electrons are always stuck to the impuri ty no matter how fast they move.\nHence, this operator cannot capture a physical process. The re is only one other possible scalar\ncoupling O=|ϕ|2ψ†ψ, which is also marginal. However, the scalar bulk dual of Ocannot be\ncharged since it is a U(1)ψsinglet. As a result, it cannot be coupled to the probe field, g iving rise\nto no log-correction. All of this implies that the primary in gredient in a holographic log-rise of\nthe resistivity is the classically marginal double-trace c ouplingO†O, whereOmust have a global\nU(1)symmetry in order to affect the two-point function [of the U(1)current].\nWe briefly comment about the IR resistivity. This can be compu ted by constructing near-\nhorizon solutions of the impurity fields. Considering the ne ar-horizon solutions of the EOM in\nEqs. ( 11) and ( 10), owing to the boundary conditions, at the IR fixed point, one obtainsat(zh) = 0 ,\nandφ(zh)is a constant. This causes the source to the probe fields to van ish,j0(zh) = 0 ; hence,\none cannot see any impurity effects in the resistivity. This is expected since at the IR fixed point\nthe impurity is completely screened, restoring the transla tional invariance to the system. A more\ninteresting quantity could be to compute the first leading de formation to this by flowing the theory\nslightly away from the IR fixed point. This can be done by const ructing the leading irrelevant\noperatorOir, of dimension ∆irr>1. In other words, one needs to solve for the leading correctio ns\nofat(z)andφ(z)near the horizon. Hence we add a perturbation,/integraltext\nd2xδ(x)λirOir, where the\nirrelevant coupling λiris of dimension 1−∆ir. Using scaling arguments, it can be shown [ 1] that\nthe leading correction to all the thermodynamic quantities will be power laws in T. Specifically,\nρdc∝λ2\nirT2(∆ir−1).\n9III. CONCLUSION\nIn a large-Nholographic model of the Kondo Hamiltonian, the Kondo effec t can be essentially\nseen as the formation of a screening cloud around the magneti c impurity, which is achieved by\ncondensing Oin the holographic model. This induces a charge density at th e impurity site, which\nvanishes for T > Tc. The condensation is driven by a marginal coupling κ, which is dual to the\nKondo coupling λK. Marginality of Ocauses the impurity charge density to run logarithmically\nin the bulk, which modifies the asymptotic solutions of the bo undary probe field, giving rise to\na logarithmic decay width of a pole in the Green function. Thi s decay in the pole manifests as\nthe log-correction to resistivity. We emphasize here that i n our holographic calculation near the\nstrong-coupling fixed point (IR regime) the resistivity rem ains a power law; however, near the\nweak-coupling fixed point (UV limit), the resistivity scale s aslnT. In a conventional perturbative\napproach to the Kondo model with a free electron host, one exp ects a logarithmic dependence of\nthe resistivity only for T≫TK. This result cannot be anticipated to be achieved using a cla ssical\nholographic setup for two reasons. First, in the N→ ∞ limit, one expects a sharp transition at\nthe Kondo temperature with the condensate taking a finite val ue only below TK. This transition\nis rounded with finite 1/Ncorrections [ 19] giving rise to a nonzero value of the condensate (and\nhence a log-rise of the resistivity) even above TK. Second, the strong correlation with the host\nchanges the applicability of the result near the Kondo regim e. Indeed, for a strongly correlated\nelectron host [ 26], it was found using the Bethe ansatz [ 7,8] that the logarithmic behavior extends\nto low temperatures less than or above the Kondo temperature , fully consistent with our finding.\nConsequently, this work certainly expands the appeal of hol ography as a tool for solving problems\nin strongly coupled electron matter.\nACKNOWLEDGMENTS\nWe thank B. Langley, and S. Balakrishnan for many helpful dis cussions and J. Erdmenger, A.\nO’Bannon, and T. Faulkner for valuable comments on the manus cript. C.S. acknowledges support\nfrom Center for Emergent Superconductivity, a DOE Energy Fr ontier Research Center, Grant No.\nDE-AC0298CH1088. We are also thankful for the NSF Grant No. D MR-1461952 for partial\nfunding of this project.\n10Appendix A: Hydrodynamic Solution of Maxwell AdS 2\nInstead of directly solving the EOM for Ex, we find it easier to solve A′\ntfirst and then obtain\nExfrom it. The equations we use are\nA′′′\nt+(hz)′\nhzA′′\nt+/parenleftbiggh′\nzh−1\nz2+p2\nh2/parenrightbigg\nA′\nt= 0, (A.1)\nEx=h\nk/parenleftbigg\nA′′\nt+1\nzA′\nt/parenrightbigg\n. (A.2)\nWe now use the hydrodynamic expansion method to solve Eq. ( A.1). This allows us to perturba-\ntively understand the long-distance and low-frequency beh avior of the theory at a finite tempera-\nture. First, there is a (regular) singularity at z= 1, which can be removed by (Fuch’s theorem)\nwritingA′\nt= (1−z)νF.νfollows a quadtratic equation, and the solutions are ν±=±iω/2.\nChoosing an infalling boundary condition, we keep ν−; hence, the differential equation obeyed by\nF(z,k)is\nF′′+/parenleftbigg1−3z2\nzh+iω(1+z)\nh/parenrightbigg\nF′+iω\n2/parenleftbigg1+2z\nzh/parenrightbigg\nF−/parenleftbiggω2(1+z)2\n4h2+1+z2\nz2h/parenrightbigg\nF−p2\nhF= 0.\n(A.3)\nForω,k≪1we expandF(z,k)≃W0(z)+ωW1(z)+kK1(z)+ω2W2(z)+k2K2(z)+ωkG1(z).\nSubstituting this in the above equation and then solving the coefficient functions up to a constant\nfactor, we get\nW0= 1/z, W 1=ln(1+z)\n2zi, K2=−2lnzln(1−z2)+Li2(z2)\n4z,\n16zW2= 2Li2/parenleftbigg1−z\n2/parenrightbigg\n+2Li2/parenleftbigg1+z\n2/parenrightbigg\n+8Li2(z)−8Li2(1+z)−2ln2(1+z)\n+ 2ln(1−z)/parenleftbigg\nln/parenleftbigg1+z\n2/parenrightbigg\n+4lnz/parenrightbigg\n−(8iπ+ln4)ln(1+ z)+π2+2ln22.(A.4)\nAll the integration constants are fixed by demanding that the higher-order coefficients Wns and\nKms vanish at the boundary (the asymptotic form of A′\ntis fixed to be 1/z), and near the horizon,\nthey should be regular. Since the equation of Fis symmetric in k→ −k, all linear terms in ksuch\nasK1(z)orG1(z)trivially become zero. Here, Li 2(z)is the polylog function and is defined by\nthe power series/summationtext∞\nn=1zn/n2. Even though we can solve A′\nt(z)exactly what is more useful is its\nasymptotic behavior\nA′\nt(z,k) =1\nz+1\n2(k2−ω2)zlnz+1\n8(−2k2+2iω+3ω2)z. (A.5)\n11Combing this with Eq. ( A.2), we obtain the solution presented in Eq. ( 18) of the main text.\nAppendix B: Hydrodynamic Solution of Impure Maxwell AdS 3\nWe want to solve the Maxwell equations in the presence of a sou rce,\n(j0/z)′\nh=A′′′\nt+(hz)′\nhzA′′\nt+/parenleftbiggh′\nzh−1\nz2+p2\nh2/parenrightbigg\nA′\nt. (B.1)\nIn the previous section, we did so in the absence of the source ; hence, we can make use of this\nhomogeneous solution and add a particular solution to it. We begin with the following ansatz\n(power-series) solution:\nA′\nt(z) =a0\nz+b0+b1lnz+b2(lnz)2+z/parenleftbig\nc0+c1lnz+c2(lnz)2/parenrightbig\n+O(z2),(B.2)\nor,At(z) =a1+a0lnz+z(b0−b1+2b2+(b1−2b2)lnz+b2(lnz)2)\n+z2\n4(2c0−c1+c2+2(c1−c2)lnz+2c2(lnz)2); (B.3)\nandkEx(z) =b0+b1+(b1+2b2)lnz+b2(lnz)2\nz\n+2c0+c1+2(c1+c2)lnz+2c2(lnz)2. (B.4)\nThe coefficients ais can be fixed from boundary conditions of At. Like before, we demand regular-\nity at the horizon and a chemical potential for the charge den sity described by Atat the boundary.\nHence,\na0=µe, a1=b1−b0+2b2−b2/8. (B.5)\nThe newly appearing coefficients in A′\nt(z)should be fixed by the source term. For that, we consider\nthe asymptotic expansion of Eq. ( B.1),\nA′′′\nt+1\nzA′′\nt−1\nz2A′\nt= (Q/z)′. (B.6)\nMatching the coefficients on either side, we obtain\nb0/b2= 1+(1/κ−1)2, b1/b2= 2(1/κ−1),\n2c2/b2=−1, b2=−2Qiα2. (B.7)\nNow, there remain two unfixed constants, c0andc1, which can be solved from the EOM. On the\nboundary, one of them plays the role of the source, and the oth er is the corresponding vacuum\n12expectation value. In order to determine the citerms, we have to analytically solve the EOM in\nEq. ( B.1). This can be solved using a trick for nonhomogeneous differ ential equations,1and we\ngetA′\nt(z) =u(z)v(z)whereu(z)solves the homogeneous EOM in Eq. ( A.1), andv(z)obeys\n/parenleftbig\nzhu2(z)v′(z)/parenrightbig′=zu(z)(j0(z)/z)′. (B.8)\nThe above equation being exact, one can formally integrate o utv(z); however, this integration\nmay not be very tractable. Hence, instead of working with an e xact expression of u(z)(which is\nnot available to us anyway), we work with its O(z)asymptotic solution obtained in Eq. ( A.5).\nNote that we have j0(z)of the order O(z), hence from the above equation, we can obtain a O(1)\nsolution tov(z), and hence a O(z)solution toA′\nt. This is already sufficient since at least for\nour purpose we need no more than the sub-sub-leading behavio r ofA′\nt. As one last thing, since\nat the horizon j0(z)vanishes, the leading behavior near the horizon does not cha nge; hence, the\nboundary conditions remain intact. However, as we will see, for our purpose we do not need to fix\nthese constants. Taking care of these facts and after perfor ming an integration, we obtain\n8c0(k) =µe(−2k2+2iω+3ω2)+4a1+2(b1+b2),\n2c1(k) =µe(k2−ω2)−(b1+b2). (B.9)\nThis way also we obtain the same results for bis as in Eq. ( B.7). Plugging all the coefficients in\nEq. ( B.4) we obtain\nkEx=b0+b1+(b1+2b2)lnz+b2(1−z)(lnz)2\nz+A′\nxlnz+B′\nx, (B.10)\n−A′\nx=µe(ω2−k2)+b1+2b2,\nB′\nx=a1+µe\n4/parenleftbig\n2iω+ω2/parenrightbig\n−A′\nxlnΛ. (B.11)\n1Given a generic second-order differential equation\nF′′(z)+a2(z)F′(z)+a1(z)F(z)+a0(z) =S(z),\nifu(z)solves the equation in the absence of the source S(z), then once can construct the full solution as F(z) =\nu(z)v(z), where the equation for v(z)is(uE(z)v′)′=E(z)S(z). Here,E(z) =uexp/parenleftbig/integraltext\ndza2(z)/parenrightbig\nappears as\na factor to make the equation exact. In our case, A′\nt(z)is treated as F(z), and for Eq. ( B.1),E(z) =zhu(z).\nAlternatively, if we are looking for a series solution then i t is sometimes easier just to perform a superposition of\nsolutions with and without the source (found by matching eac h term in the series with the source, order by order).\n13[1] J. Erdmenger, C. Hoyos, A. O’Bannon, J. Wu, A holographic model of the Kondo effect ,\nJ. High Energy Phys. 12(2013) 086 ,[arXiv:1310.3271] .\n[2] J. Erdmenger, C. Hoyos, A O’Bannon, I. Papadimitriou, J. Probst, J. M. S. Wu, Two-point functions\nin a holographic Kondo model ,J. High Energy Phys. 039(2017) 1703 ,[arXiv:1612.02005] .\n[3] J. Erdmenger, C. Hoyos, A O’Bannon, I. Papadimitriou, J. Probst, J. M. S. Wu, Holographic Kondo\nand Fano resonances ,Phys. Rev. D 96, 021901 (2017) ,[arXiv:1611.09368] .\n[4] A. O’Bannon, I. Papadimitriou, and J. Probst, Holographic Two-Impurity Kondo Model ,\nJ High Energy Phys. 01, 103 (2016) ,[arXiv:1510.08123] .\n[5] A. Hewson, The Kondo Model to Heavy Fermions , Cambridge University Press (1993).\n[6] P. Nozi´ eres, A ”fermi-liquid” description of the Kondo problem at low tem peratures ,\nJ. Low Temp. Phys. 17, 31 (1974).\n[7] A. M. Tsvelik and P. B. Wiegmann, Exact results in the theory of magnetic alloys,\nAdv. in Phys. 32, 453 (1983).\n[8] N. Andrei, K. Furuya, and J. H. Lowenstein, Solution of the Kondo problem ,\nRev. Mod. Phys. 55, 331 (1983).\n[9] K. G. Wilson, The renormalization group: Critical phenomena and the Kond o problem ,\nRev. Mod. Phys. 47, 773 (1975).\n[10] P. W. Anderson, G. Yuval, and D. R. Hamann, Exact Results in the Kondo Problem. II. Scaling The-\nory, Qualitatively Correct Solution, and Some New Results o n One-Dimensional Classical Statistical\nModels, Phys. Rev. B 1, 4464 (1970).\n[11] M. P. Sarachik, E. Corenzwit, and L. D. Longinotti, Resistivity of Mo-Nb and Mo-Re Alloys Containing\n1% Fe ,Phys. Rev. 135, A1041 (1964) .\n[12] I. Affleck, Conformal Field Theory Approach to the Kondo Effect ,\nActa Phys. Polon. B 26, 1869 (1995) ,[cond-mat/9512099] .\n[13] O. Parcollet, A. Georges, G. Kotliar, and A. Sengupta, Overscreened multichannel SU(N)\nKondo model: Large- Nsolution and conformal field theory ,Phys. Rev. B 58, 3794 (1998) ,\n[cond-mat/9711192] .\n[14] N. Bickers, Review of Techniques in the Large-N Expansion for Dilute Mag netic Alloys ,\nRev. Mod. Phys. 59, 845 (1987).\n14[15] H.-C. Chang, M. Fujita, M. Kaminski, From Maxwell-Chern-Simons theory in AdS3 towards hydro-\ndynamics in 1+1 dimensions ,J. High Energy Phys. 10(2014) 118 ,[arXiv:1403.5263] .\n[16] S. A. Hartnoll, Lectures on holographic methods for condensed matter physi cs\nClass. Quant. Grav. 26, 224002 (2009) ,[arXiv:0903.3246] .\n[17] In the top-down Kondo model, there are two couplings, th e ’t Hooft coupling and the double trace\ncoupling or the Kondo impurity coupling. By fixing a large ’t H ooft coupling one ensures both UV\nand IR CFTs are strongly correlated (host matter). However, it is the Kondo coupling that runs in our\nmodel, and by weak coupling or UV , we refer to this limit.\n[18] T. Faulkner, G. Horowitz, and M. Roberts, Holographic Quantum Criticality from Multi-trace Defor-\nmations ,J. High Energy Phys. 1104 , (2011) 051 ,[arXiv:1008.1581] .\n[19] P. Coleman and N. Andrei, Diagonalisation of the generalised Anderson model,\nJ. Phys. C 193211 (1986).\n[20] D. T. Son and A. O. Starinets, Minkowski-space correlators in AdS/CFT correspondence: r ecipe and\napplications ,J. High Energy Phys. 09(2002) 042. [hep-th/0205051] .\n[21] P.K. Kovtun and A.O. Starinets, Quasinormal modes and holography ,\nPhys. Rev. D 72, 086009 (2005) ,[hep-th/0506184] .\n[22] G. Policastro, D. T. Son, and A. O. Starinets, From AdS/CFT correspondence to hydrodynamics ,\nJ. High Energy Phys. 09(2002) 043 ,[hep-th/0205052] .\n[23] J. Ren, One-dimensional holographic superconductor from AdS 3/CFT2correspondence ,\nJ. High Energy Phys. 11(2010) 055 ,[arXiv:1008.3904] .\n[24] E. Witten, Multi-Trace Operators, Boundary Conditions, And AdS/CFT C orrespondence ,\narXiv:hep-th/0112258 .\n[25] S. A. Hartnoll, C. P. Herzog, and G. T. Horowitz, Building a Holographic Superconductor,\nPhys. Rev. Lett. 101, 031601 (2008) ,[arXiv:0803.3295] .\n[26] N. E. Bickers, D. L. Cox, and J. W. Wilkins, Self-consistent large-N expansion for normal-state prop-\nerties of dilute magnetic alloys ,Phys. Rev. B 36, 2036 (1987) ; Erratum: Self-consistent large-N ex-\npansion for normal-state properties of dilute magnetic all oys,Phys. Rev. B 38, 825 (1988).\n15" }, { "title": "1604.03668v2.Long_Term_Performance_Studies_of_Large_Oil_Free_Bakelite_Resistive_Plate_Chamber.pdf", "content": "Preprint typeset in JINST style - HYPER VERSION\nLong Term Performance Studies of Large Oil-Free\nBakelite Resistive Plate Chamber\nRajesh Ganaia\u0003, Arindam Roya, Mehul Kumar Shiroyab, Kshitij Agarwalc, Zubayer\nAhammeda, Subikash Choudhuryaand Subhasis Chattopadhyaya\naVariable Energy Cyclotron Centre,\n1/AF-Bidhan Nagar, Kolkata-700064, India\nbSardar Vallabhbhai National Institute of Technology,\nSurat, Gujarat-395007, India\ncBirla Institute of Technology and Science,\nPilani, Rajasthan-333031, India\nE-mail: rajesh.ganai.physics@gmail.com\nABSTRACT : Several high energy physics and neutrino physics experiments worldwide require\nlarge-size RPCs to cover wide acceptances. The muon tracking systems in the Iron calorimeter\n(ICAL) in the INO experiment, India and the near detector in DUNE at Fermilab are two such\nexamples. A (240 cm \u0002120 cm \u00020.2 cm) bakelite RPC has been built and tested at Variable\nEnergy Cyclotron Centre, Kolkata, using indigenous materials procured from the local market. No\nadditional lubricant, like oil has been used on the electrode surfaces for smoothening. The chamber\nis in operation for >365 days. We have tested the chamber for its long term operation. The leakage\ncurrent, bulk resistivity, efficiency, noise rate and time resolution of the chamber have been found\nto be quite stable during the testing peroid. It showed an efficiency >95% with an average time\nresolution of \u00180.83 ns at the point of measurement at 9000 V throughout the testing period. Details\nof the long term performance of the chamber have been discussed.\nKEYWORDS : Resistive Plate Chamber (RPC), bakelite, Oil-free, Streamer mode, Cosmic rays,\nTime Resolution.\n\u0003Corresponding author.arXiv:1604.03668v2 [physics.ins-det] 15 Apr 2016Contents\n1. Introduction 1\n2. Fabrication of large RPC 2\n3. Long term test results using cosmic rays and discussions 2\n3.1 Current stability 4\n3.2 Efficiency and noise rate 5\n3.3 Time resolution 6\n4. Conclusions 6\n1. Introduction\nResistive Plate Chambers (RPCs) [ 1] will find possible use to track muons in the the upcoming\nneutrino experiments like Iron CALorimeter (ICAL) in India based Neutrino Observatory (INO)\n[2] in India, Deep Underground Neutrino Experiment (DUNE) [ 3] at Fermilab,USA. INO-ICAL\nof dimension \u001848 m\u000216 m\u000214 m will consist of \u001850 kT magnetised iron plates stacked in\n150 layers. About 30,000 single gap RPC modules each of dimension \u0018200 cm \u0002200 cm \u00020.2\ncm sandwiched between pairs of 150 layers of iron plates will be used as tracking layers. The RPC\nmodules to be used in DUNE are of dimension 200 cm \u0002100 cm \u00020.2 cm. RPCs, because of\nvery good time resolution, will be capable of differentiating between up and down going neutrinos\nin INO-ICAL.\nAs a part of this programme, Variable Energy Cyclotron Centre (VECC), Kolkata, India, has been\nactively involved the R&D of RPCs using high pressure paper laminates, commonly referred as\nbakelite, for almost a decade. VECC aims in the development of large size (240 cm \u0002120 cm)\nRPCs that might be used in INO-ICAL or in the Near Detector (ND) of the Deep Underground\nNeutrino Experiment (DUNE). As these experiments will run for many years, therefore it is neces-\nsary that the stability of this RPC in long term operation is tested.\nLong term operation of a RPC may cause ageing problems in the detector due to several reasons\nlike the variation of the electrode resistivity, the integrated charge etc. In general there are three\nreasons of ageing effects in a RPC- ageing of the electrode material, aging due to the integrated\ncharge generated in the gas gap which results in the increase in current in the detector and ageing\ndue to irradiation on the detector. However, for low rate neutrino experiments like INO, ageing due\nto irradiation might not be significant but ageing due to the others remain major issue. Increase\nin the leakage current, bulk resistivity of the chamber, reduction in efficiency are the prominent\nsymptoms of an aged RPC. It is therefore important to perfom the long term test of a detector.\nIn this paper, we report the long term performance studies of a (240 cm \u0002120 cm \u00020.2 cm) RPC\nthat uses 3 mm thick bakelite sheets as electrodes.\n– 1 –2. Fabrication of large RPC\nWe have successfully fabricated a \u0018240 cm \u0002120 cm \u00020.2 cm, oil-free bakelite RPC using\nraw materials like bakelite sheets, glue, spacers available from Indian market. Several grades\nof bakelites available in Indian market have been tried out for successfull development of oil-\nfree RPC. Successfull fabrication and results of [ 4] encouraged us to fabricate the large RPC. For\ncompleteness, we give a brief account of the fabrication procedure. Fig. 1and Fig. 2show various\nsteps involved and a set of photographs taken while fabricating the large bakelite RPC. Many\nchallenges were faced during fabricating such a large size RPC. The most crucial challenge was\nto maintain the planarity of the platform on which the RPC was fabricated. For this, a suitable\nplatform was made with the help of cardboard sheets, foams, paper bundles and thick glass plate.\nThe next challenge was to select a suitable glue to stick the gas nozzles, side and button spacers.\nA detailed R&D on different glue samples was done and a suitable glue was chosen. The number\nof gas nozzles to be used, the number and dimension of button spacers and side spacers were also\ndecided after detailed study. The details of the fabrication process and test results using cosmic\nrays have been discussed in [ 5]. Fig. 3and Fig. 4show the fabricated RPC. In order to tap the\nsignals from the chamber, pick up pannles which are made of \u0018(125 cm \u0002105 cm \u00020.15 cm)\nFR4 sheet sandwiched between \u0018(125 cm \u0002105 cm \u00020.0035 cm) copper sheets have been used.\nThe copper pick-up strips are 2.5 cm in width, with a gap of 0.2 cm between adjacent strips.\n3. Long term test results using cosmic rays and discussions\nA scintillator based cosmic ray test set up has been used for the test. During the entire testing\nperiod, the laboratory temperature and the relative humidity have been maintained at \u001810°C and\n\u001835% - 40% respectively. All the tests have been performed in the streamer mode of operation of\nthe RPC with a gas composition of Argon:Freon(R134a):Iso-butane::34:57:9 by volume. A typical\ngas flow rate of \u00180.75 litre/hour has been maintained over the entire test period resulting in \u00183\nchanges of gas volume per day. The chamber was operated at 9000 V with a signal threshold of\n-20 mV .\nPositive and negative high voltage to the chamber was applied on the top and bottom electrodes with\nCAEN A1832PE and A1832NE modules respectively, in a CAEN SY1527 crate. The current was\nmonitored from the panel of the HV supply. LEMO connectors were soldered on the copper strips\nof the pick-up panel to tap the signals from the chamber. The raw RPC signal was digitised using\na CANBERRA QUAD CFD 454 constant fraction discriminator (CFD). A CAMAC based data\nacquisition system has been used in our setup. For timing measurements, a 16 channel PHILIPS\nSCIENTIFIC 7186 TDC module was used. The master trigger was formed with one finger (7 cm \u0002\n1.5 cm) and two paddle (20 cm \u00028.5 cm) scintillators. The overlap area between the scintillators\nhas been used to obtain the cosmic ray efficiency. The average master trigger rate was \u00180.0085\nHz/cm2.\nThe chamber is in gas and high voltage for more than a year. We report here the monitoring of\nchamber current, efficiency, noise rate and time resolution of the chamber continuously for 60\ndays. Data for chamber current, efficiency and noise rate have been taken every day whereas the\ntime resolution measurement has been done once in a week’s time.\n– 2 –Erection of a suitable assembly platform\nFiling the edges and chamfering the corners of the electrodes\nCleaning the electrode sheets with de-mineralised water and alcohol\nPainiting of surfaces with conducting paint using spray gun\nMeasurement of surface resistance over the entire painted surface\nPlacing the bottom electrode on the erected platform\nAttaching the side spacers, button spacers and\ngas nozzles on the bottom electrode using glue\nGiving \u00181 day to settle the glue\nApplying glue on the top surface of the\nspacers and placing the top electrode\nGiving \u00181 day to settle the glue\nTurning the chamber upside down after allowing the glue\nto settle and re-glue the side spacers for better result\nGiving \u00181 day to settle the glue\nPlacing the pick up panels and flushing the gas mixture for \u00183 days\nTesing the module\nFigure 1. Flow-chart of steps followed during fabricating of large RPC\n– 3 –Figure 2. [Color online] Photographs of the steps followed during fabricating the large bakelite RPC[ 4].\nFigure 3. [Color online] Photograph of the large bakelite RPC[ 5].\n3.1 Current stability\nFig. 5shows the variation in current in both the electrodes. The top and middle figures show the\ncurrent variation for the top and bottom electrodes respectively whereas the bottom figure shows\nthe average current of both the electrodes. The current in both the electrodes was found to be quite\nstable during the monitoring period. We have calculated the bulk resistivity of the chamber from\nthe average current values which is shown in Fig. 6. The bulk resistivity of the chamber was found\nto be\u00189\u00021013Wcm.\n– 4 –Figure 4. [Color online] Photograph of the large bakelite RPC with pick-up panel[ 5].\nFigure 5. Current stability of the electrodes of the chamber over a peroid of 60 days.\nFigure 6. [Color online] Variaton of bulk resistivity of the chamber with time.\n3.2 Efficiency and noise rate\nThe values of efficiency and noise rate have been shown in Fig. 7and Fig. 8respectively. The\naverage values of efficiency and noise rate of the chamber were found to be >95% and \u00180.75\nHz/cm2respectively. The graphs showed that both remained quite stable over the entire testing\nperiod.\n– 5 –Figure 7. Efficiency of the chamber over a period of 60 days. The error bars are within the marker size.\nFigure 8. [Color online] Noise rate of the chamber over a period of 60 days. The error bars are within the\nmarker size.\n3.3 Time resolution\nFig.9shows the variation of time resolution ( s) over the entire testing period. The details of the\ntime resolution ( s) calculations have been discussed in [ 5]. The average time resolution of the\nchamber was found to be \u00180.83 ns.\n4. Conclusions\nThe RPC has been successfully tested continuously with cosmic rays over a period of 60 days. The\nchamber remained stable in terms of leakage current, efficiency, noise rate and time resolution over\nthe entire testing period. The average leakage current, efficiency, noise rate and time resolution of\nthe RPC tested with cosmic rays in the streamer mode of operation at 9000V have been measured\nto be\u00183.0mA,>95%,\u00180.75 Hz/ cm2and\u00180.83 ns respectively. The results obtained with this\nchamber make it suitable to be used for muon detection in large neutrino experiments.\n– 6 –Figure 9. [Color online] Time resolution ( s) of the chamber over a period of 60 days.\nAcknowledgments\nThe work is partially supported by the DAE-SRC award project fund of S. Chattopadhyay. We are\nthankful to the INO collaborators for their encouragement. We acknowledge the service rendered\nby Ganesh Das for fabricating the detector. We also acknowledge Ramanarayana Singaraju for his\nconstant help throughout testing of this detector. We take this opportunity to thank Satyajit Saha\nand Y . P. Viyogi for their constant encouragement and all the fruitful discussions and suggestions.\nReferences\n[1] R. Santonico, R. Cardarelli, Development of Resistive Plate Counters ,Nucl. Instr. and Meth. 187\n(1981) 377.\n[2] INO Project Report,M. Sajjad Athar et al. India-based Neutrino Observatory: Project Report.Volume\nI, INO-2006-01 (2006) and online pdf version at\nhttp://www.ino.tifr.res.in/ino//OpenReports/INOReport.pdf\n[3] http://www.dunescience.org/, arXiv:1601.02984v1.\n[4] R. Ganai, Study of Performance of Bakelite Resistive Plate Chamber (RPC) ,Springer Proceedings in\nPhysics. 174(2016) 547.\n[5] arXiv:1510.02028v3 (Accepted and to be published in JINST).\n– 7 –" }, { "title": "1512.03588v1.Intrinsic_high_electrical_conductivity_of_stoichiometric_SrNbO3_epitaxial_thin_films.pdf", "content": "1 \n Intrinsic high electrical conductivity of stoichiometric SrNbO 3 epitaxial thin films \n \nDaichi Oka,1,2 Yasushi Hirose,1,3,4, * Shoichiro Nakao,3,4 Tomoteru Fukumura,1,2,4 and Tetsuya Hasegawa1,3,4 \n1Department of Chemistry, School of Science, The University of Tokyo, 7-3 -1 Hongo, Bunkyo, Tokyo 113- 0033, Japan \n2Department of Chemistry, Graduate School of Science, Tohoku University, 6- 3 Azaaoba, Aramaki, Aoba, Sendai 980-8578 , Japan \n3Kanagawa Academy of Science and Technology (KAST), 3- 2-1 Sakado, Takatsu, Kawasaki 213-0012, Japan \n4CREST, Japan Science and Technology Agency, 7-3 -1 Hongo, Bunkyo, Tokyo 113-0033, Japan \n \nSrVO 3 and SrNbO 3 are perovskite- type transition -metal oxides with the same d1 electronic \nconfiguration. Although SrNbO 3 (4d1) has a larger d orbital than SrVO 3 (3d1), the reported electrical \nresistivity of SrNbO 3 is much higher than that of SrVO 3, probably owing to nonsto ichiometry. In \nthis paper, we grew epitaxial, high -conductivity stoichiometric SrNbO 3 using pulsed laser \ndeposition. The growth temperature strongly affected the Sr/Nb ratio and the oxygen content of the \nfilms, and we obtained stoichiometric SrNbO 3 at a very narrow temperature window around 630 ° C. \nThe stoichiometric SrNbO 3 epitaxial thin films grew coherently on KTaO 3 (001) substrates with \nhigh crystallinity. The room -temperature resistivity of the stoichiometric film was 2 .82 × 10−5 cm, \none order of magnitude lower than the lowest reported value of SrNbO 3 and comparable with that of \nSrVO 3. We observed a T -square dependence of resistivity below T * = 180 K and non- Drude \nbehavior in near -infrared absorption spectroscopy, attributable to the Fermi -liquid nature caused by \nelectron correlation. Analysis of the T -square coefficient A of resistivity experimentally revealed \nthat the 4 d orbital of Nb that is larger than the 3d ones certainly contributes to the high electrical \nconduction of SrNbO 3. \n \n \nI. INTRODUCTION \nPerovskite oxides ( ABO3) have been extensively studied as a platform for systematic research on the electronic states \nand physical properties of transition -metal oxides (TMOs). A variety of studies have greatly contributed to the theoretical \nframework for the electronic states of 3 d TMOs [1 –5]. In contrast, the electronic properties of 4 d TMOs are less \nunderstood, except for a few examples, such as ruthenates [6,7]. It is widely accepted that spatially spread d orbitals in 4 d \nTMOs would cause h igher electrical conductivity owing to their stronger hybridization with oxygen and a weaker on -site \nCoulomb interaction than in 3 d TMOs with an equivalent electronic configuration. This electronic picture has been \nsupported by systematic studies on the op tical conductivity and x -ray absorption of SrMO 3 (M = 3d or 4d transition \nmetal) [8,9]. \nAmong the 4 d perovskite oxides, however, the intrinsic electrical properties of SrNbO 3 (4d1 configuration) have not \nbeen revealed. For example, the reported resistivit y of SrNbO 3 [10–12] is one order of magnitude higher than that of \nSrVO 3 [13,14], a 3d TMO with the same d1 configuration as SrNbO 3, which runs contrary to the general expectation that \n4d TMOs should have a higher conductivity than the 3 d ones. So far, this phenomenon has yet to be explained. \nInvestigating the electrical properties of SrNbO 3 is difficult because it is hard to avoid Sr deficiencies in bulk samples \n[15,16], though Sr -deficient bulk samples have recently attracted attention b ecause they exhibit both metallic electrical \nconduction and photocatalytic activity for visible light [10]. One possible cause for the formation of Sr deficiencies is the high processing temperature, over 1000 ° C, which is required for bulk synthesis. This problem could be solved by \nlow-temperature epitaxial growth of SrNbO\n3 thin films: For example, Balasubramaniam et al. and Tomio et al. reported \nthe epitaxial growth of an SrNbO 3 thin film with an almost stoichiometric Sr/Nb ratio by pulsed laser depositio n (PLD) at \nrelatively low temperatures of 800 °C [17] and 770 ° C [11]. However, the SrTiO 3 substrates used in these two studies \nmight have become conductive under reductive growth conditions,which brings into question the reliability of the \nSrNbO 3 transpor t properties, as we will discuss later. Another problem is the large lattice mismatch between SrNbO 3 (a = \n4.023 Å in a pseudocubic approximation) and SrTiO 3 (a = 3.905 Å; mismatch = −2.93%), which would degrade the film \nquality. \n \n* Electronic address [e -mail]: hirose@chem.s.u-tokyo.ac.jp 2 \n In the present study, we grew epitaxial SrNbO 3 thin films on single -crystal KTaO 3 (a = 3.989 Å; mismatch = −0.85%) \nusing PLD. We found that both the oxygen amount and the Sr/Nb ratio of the films strongly depended on the growth \ntemperature. The stoichiometric SrNbO 3 epitaxial thin film grown at an optimized temperature exhibited remarkably high \nconductivity, comparable with SrVO 3, with the temperature dependence as a Fermi liquid. \n \nII. EXPERIMENT \nWe grew the films on the (001) plane of KTaO 3 substrates (MTI Corporation) under the background pressure of the \ngrowth chamber ( < 1 × 10−8 Torr). To deposit the material, a ceramic pellet of Sr 2Nb2O7 was ablated by a KrF excimer \nlaser ( λ = 248 nm), operated at an energy fluence of 0. 33–0. 44 J cm−2 shot−1 and a repetition rate of 10 Hz. The film \nthickness was 15 –40 nm. The substrate temperature was varied using infrared lamp heating. \nThe crystal structures of the thin films were examined by x -ray diffraction (XRD) using a four- axis diffractometer \n(Bruker AXS D8 Discover). The cation composition of the films was evaluated by x -ray photoemission spectroscopy \n(XPS) and inductively coupled plasma mass spectrometry (ICP -MS). Before each XPS measurement, the sample surface \nwas cleaned by Ar ion sputtering. The optical absorption coefficient was obtained from transmittance and reflectance \nspectra, measured with an ultraviolet -visible near -infrared (NIR) spectrometer. The electrical resistivity, carrier density, \nand mobility were evaluated by the four -probe method and Hall measurements using a conventional Hall -bar geometry \nwith 100- nm-thick Ag electrodes. Low -temperature measurements were condu cted with a physical property \nmeasurement system (Quantum Design Model 6000). \n \nIII. RESULTS AND DISCUSSION \nXRD measurements revealed that every film possessed a tetragonal perovskite structure, epitaxially grown on the \nKTaO 3 substrate with a cube- on-cube r elation. Though the 2 θ-θ pattern showed no impurity phase, the films grown at \ntemperatures lower than 600 ° C exhibited a streaky diffraction pattern between the 001 and 101 peaks of the perovskite \nSrNbO 3 in the reciprocal -space image scanned by a two dimen sional area detector [Fig. 1(a)]. The 2 θ angle of this streak \npattern is around 26 °, which corresponds well with the strongest 080 reflection of Sr 2Nb2O7 (a = 3.96 Å, b = 5.71 Å, c = \n26.76 Å) [18] while it could not be assigned to other possible compounds such as the Ruddlesden -Popper phase. The χ \n \nFIG. 1. (a) Two -dimensional detector images of the SrNbO 3 thin films grown on KTaO 3 single crystals at various \ntemperatures. The tilt angle o f the sample ( χ) was 45 °. The 001 and 101 peaks of the films and substrates overlap with \neach other. White circles indicate the streaky diffraction patterns from the films. The scale of the color bar representing \nthe intensity is set to make the weak streak pattern clear. The 001 and 101 peaks are displayed with the saturated color, of which counts are over 3000 and 5000, respectively. (b) Out -of-plane lattice constants of the SrNbO\n3 films plotted against \ngrowth temperature. (c) XPS intensity ratios between the Sr 4 p and Nb 3 d peaks of the SrNbO 3 thin films grown at \nvarious temperatures. These values are normalized by the photoionization cross section. \n700 ˚C(a)\n630 ˚C45˚30˚15˚0˚\n60˚\n75˚\n90˚20˚ 30˚ 40˚\n500 ˚C00120˚ 30˚ 40˚\n580 ˚C\n45˚30˚15˚0˚\n60˚\n75˚\n90˚Chi101Counts2θ\n7\n5\n2\n0(b)\n(c)4.10\n4.06\n4.02c(Å)\n800 700 600 500\nGrowth temperature(°C)1.10\n1.00\n0.90\nSr/Nbsignalratio3 \n angle of the streak suggests a (014) orientation of the impurity Sr 2Nb2O7, which is the same epitaxial orientation of \nLa2Ti2O7 grown on the (100) plane of SrTiO 3 [19]. Because we used an oxygen -rich target (Sr 2Nb2O7), excess oxygen \nlayers could be readily formed without introducing oxygen gas. In fact, the streak pattern disap peared at higher growth \ntemperatures, which were more reductive growth conditions [Fig. 1(a)]. \nWhile the excess oxygen layer disappeared at higher temperatures, the lattice constant, calculated from 002 and 004 \ndiffractions, decreased as the growth temper ature increased above 600 ° C [Fig. 1(b)]. This reduction was probably \ncaused by cation off -stoichiometry: An XPS measurement revealed that the Sr/Nb ratio monotonically increased with \nincreasing growth temperature [Fig. 1(c)]. An ICP -MS measurement confirmed that the Sr/Nb ratio of the film grown at \n630 °C was 0 .96 + 0.10, an essentially stoichiometric value within the experimental error. Because XRD confirmed the \nphase -pure perovskite structure, even at high growth temperatures, the Sr -rich compounds were likely created by the \nintroduction of an Nb vacancy at the B site or the partial substitution of Sr in the B site [20]. From these results, we \nconcl ude that the optimal temperature to grow SrNbO 3 epitaxial thin films is 630 °C. Hereafter we refer to the film \ngrown at the optimal temperature as the stoichiometric SrNbO 3 epitaxial thin film. \nWe investigated the crystal structure of the stoichiometric S rNbO 3 epitaxial film in more detail using high -resolution \nXRD. Figure 2(a) shows a high -resolution 2 θ-θ pattern of the stoichiometric SrNbO 3 thin film. The fringe pattern \nevolved around the 002 peak indicates that the film surface and film/substrate interf ace were both flat [inset of Fig. 2(a)]. \nFigure 2(b) shows a reciprocal -space map around the 103 peaks of the film and the substrate. The film was coherently \ngrown on the substrate, and the out -of-plane lattice constant was evaluated as 4.07 Å, which indic ates compressive \nepitaxial strain from the substrate. A rocking curve of the 002 peak had a full width at half maximum as small as 0.04°, \nindicating that the film had good crystallinity. \nFigure 2(c) shows an absorption coefficient spectrum of the stoichiometric SrNbO 3 epitaxial thin film, revealing \nbroad absorption in both the visible and NIR regions. A Tauc plot [inset of Fig. 2(c)] was made, assuming an indirect \nband -to-band transition, based on a previous band calculation [10]. The plot shows a dip structure around 2 eV, \nsuggesting an overlap of absorption bands with different origins in the visible and NIR regions. The absorption in the visible region corresponds well to that in Sr -deficient bulk SrNbO\n3, which has been attributed to a transition from the \nconduction band to a higher -level unoccupied band [10]. On the other hand, the NIR absorption is certainly derived from \nthe high density of electrons, discussed later. The shape of the spectrum in the NIR region was not reproduced well with \na sim ple Drude model [dashed line in Fig. 2(c)], suggesting considerable electron correlation. An extended Drude model \nmay be necessary to explain the spectrum, similar to the case in other electron correlated systems such as Sr 2RuO 4 [21]. \nFigure 3 compares th e resistivity, carrier (electron) density, and mobility measured at 300 K from SrNbO 3 thin films \ngrown at various temperatures. The stoichiometric SrNbO 3 epitaxial thin film exhibited the minimum resistivity [Fig. \n3(a)], and its carrier density agreed with the nominal value assuming that each Nb ion supplies one electron [dashed line \nin Fig. 3(b)]. Notably, the minimum resistivity of the stoichiometric SrNbO 3 is 2.82 × 10−5 Ωcm, which is one order of \nmagnitude lower than previously reported values [10,11]. As the growth temperature deviated from the optimal value, the \n \nFIG. 2. (a)XRD2 θ-θ pattern of the stoichiometric SrNbO 3 epitaxial thin film. The inset shows the pattern near the 002 \npeak taken with a high -resolution detector. (b) XRD reciprocal space map image around the 103 diffractions of the \nSrNbO 3 thin film and KTaO 3 substrate. (c) Absorption coefficient spectrum of the stoichiometric SrNbO 3 thin film. The \ndashed line is a fit to the simple Drude model, using the carrier density evaluated by a Hall measurement. The inset \nshows a Tauc plot that assumes an indirect band gap. \n7.6\n7.5\n7.4\n7.3qz(nm–1)\n2.55 2.45\nqx(nm–1)100102104106\nLogintensity\n(arb.unit)\n100 80 60 40 20\n2θ(degree)002\n004* ***46 445\n4\n3\n2\n1\n03.0 2.0 1.0\nhν(eV)8\n4\n3 2 1(a) (b) (c)\nα(105cm−1)\n(αhν)2\n(1010cm−2eV2)4 \n FIG. 3. Growth temperature dependence of (a) resistivity ρ, (b) carrier density n, and (c) Hall mobility μ of the SrNbO 3 \nthin films measured at room temperature. The dashed line in (b) indicates the nominal carrier concentration. \n \nFIG. 4. Temperature dependence of the (a) resistivity and (b) Hall mobility of the stoichiometric SrNbO 3 epitaxial thin \nfilm. The inset in (a) s hows the resistivity plotted against T2. The dashed line is a linear fit of the curve in the \nlow-temperature region. 102110221023n(cm–3)\n16\n12\n8\n4\n0µ(cm2V–1s–1)\n800 700 600 500\nGrowthTemperature(°C)10–510–410–3\nρ(Ωcm)(a)\n(b)\n(c)\n4.0\n3.0\n2.0\n1.0\n0.0\n100\n80\n60\n40\n20\n0µ(cm2V–1s–1)\n300 200 100 0\nTemperature(K)3\n2\n1\n86420\nT2(104K2)100200250300T(K)\nρ(10−5Ωcm)(a)\n(b)5 \n resistivity drastically increased, probably owing to the off -stoichiometry mentioned previously: Excess oxygen layers \nintroduced at lower g rowth temperatures compensate the electron carriers and suppress their mobility by breaking up the \nNbO 6 octahedral network. At higher growth temperatures, though, other defects are generated, such as Nb vacancies and \nSr substitutions in the B site [20], both of which increase the Nb valence from 4 + to 5+ and thus decrease the carrier \ndensity. \nFigure 4 plots the resistivity and mobility of the stoichiometric SrNbO 3 epitaxial thin film as functions of temperature. \nThe resistivity exhibited a met allic temperature dependence ( dρ/dT > 0) from room temperature to 2 K. The mobility \ntended to increase with decreasing temperature and reached over 100 cm2 V−1 s−1 at around 20 K. Tomio et al. \ninvestigated the transport properties of an SrNbO 3 thin film gr own on an SrTiO 3 substrate and found resistivities of 1 × \n10−6 Ωcm at 40 K and 2. 7 × 10−4 Ωcm at 300 K [11]. The former resistivity is about one order of magnitude lower than \nthat of our film, while the latter is about one order of magnitude higher than ours (Fig. 4). One possible explanation for \nthis discrepancy is that the SrTiO 3 substrate used by Tomio et al. contributed greatly to the electrical conduction, \nparticularly at low temperatures, owing to Nb interdiffusion at the film/substrate interface, the formation of oxygen \nvacancies, or both. In contrast, the KTaO 3 substrate in the present stud y does not show conductivity by Nb doping, and it \nrequires much more reductive conditions to introduce oxygen vacancies. Thus, we believe that the transport data in Fig. 4 \nrepresent the intrinsic properties of SrNbO 3. \nAs shown in the inset of Fig. 4(a), the resistivity of SrNbO 3 at low temperatures follows the temperature dependence \nof ρ = ρ0 + AT2. Such a T-square dependence of ρ is observed in some metallic oxides and is usually attributed to the \nFermi- liquid behavior of quasiparticles caused by electro n correlation [14,22–28]. In order to verify this attribution in \nSrNbO 3, we compare the T -square coefficient A , which encapsulates the contribution of electron correlation to resistivity, \nwith those of other Fermi -liquid perovskite oxides. Though Fermi -liquid materials have been widely investigated based \non the Kadowaki -Woods rule, A/γ2 = const [29,30], where γ is the T linear coefficient of specific heat, the evaluation of γ \nis difficult for a thin film. Therefore, we propose another empirical relationship between A and conducting carrier density \nn. According to Ref. [31], A is expressed as follows, \n 𝐴=4𝜋2𝑘𝐵2\n𝑒2ℏ2𝑚𝑏\n𝑛Φ, (1) \nwhere kB is the Boltzmann constant, e is elementary charge, ħ is the Planck constant divided by 2π, mb is the band mass, \nwhich would be calculated using the localized density approximation, and Φ is the coefficient of the scattering rate \nΓ(T,ω) = Γ0 + Φ[(2πT )2 + ω2]. Thus, the factor m bΦ reflects band dispersion and many body effects in a correlated \n \nFIG. 5. (a) Plot of the T -square coefficient A of resistivity vs carrier density n for single crystals or epitaxial films of \nperovskite -type transition -metal oxides [Sr 1−xLaxTiO 3 [22], SrTi 1−xNbxO3 [23], K 1−xBaxTaO 3 [24], Ca 1−xYxTiO 3 [25], \nCaVO 3 [26], SrVO 3 [14], Ca 1−xSrxVO 3 [27], SrMoO 3 [28], and SrNbO 3 (this study)]. Dashed lines with a slope of − 1 are \ndrawn for several series of materials as guides for the eyes. The gray shaded area indicates the band with a slope of − 1 \nfrom mbΦ = 5 × 10−52 to 2 × 10−50 kg s. (b) Plots of the m bΦ value vs the tolerance factor for AMO3 (A = Sr, Ca, M = V, \nNb, Mo). Data are taken from the same references as (a). The tolerance factor was calculated from the ionic radius \nreported in Ref. [32]. 10–1210–1010–810–610–4\nA(ΩcmK–2)\n1018102010221024\nn(cm–3)(a)\nSrTi1−xNbxO3\nCa1−xYxTiO3\nCa1−xSrxVO3\nK1−xBaxTaO3\nSr1−xLaxTiO3\nSrNbO3SrMoO33d4d6\n4\n2\n0mbΦ(×10–51kgs)\n1.02 1.00 0.98 0.96\nTolerance factor(b)\nCa\n1−xSrxVO\n3\nSrVO3CaVO3\nSrNbO3SrMoO36 \n system. In Eq. (1), A is inversely proportional to n, assuming that m bΦ is independent of n. Indeed, the ln A vs ln n plot \nfor various Fermi -liquid perovskite oxides such as SrTi 1−xNbxO3, Sr 1−xLaxTiO 3, Ca 1−xYxTiO 3, and K 1−xBaxTaO 3 shows the \nlinear relationship with a slope of − 1 [Fig. 5(a)]. Notably, all the plots are located on a single narrow band with a slope of \n−1, including other Fermi -liquid perovskite oxides such as Ca 1−xSrxVO 3 and SrMoO 3 [shaded area in Fig. 5(a)]. This fact \nempirically indicates that m bΦ, i.e., prefacto r of the 1 /n, falls in a relatively narrow range in the case of Fermi -liquid \nperovskite oxides. Because A of the SrNbO 3 epitaxial thin film agrees well with this relationship, we considered that \nSrNbO 3 is a Fermi -liquid material: In other words, electron correlation plays an important role in its electrical conduction. \nThe non- Drude behavior observed in the NIR absorption of SrNbO 3 also supports this attribution. \nFor further discussion on the electron correlation in 3 d and 4 d transition -metal oxides, we compared the m bΦ values \nof AMO3 (A = Ca, Sr, M = V, Nb, Mo) in more detail. It is known that both band mass and electron correlation in \nperovskite oxides are affected by the structural distortion and size of the d orbital through the transfer integral. In order to \nextract the orbital -size contribution to m bΦ, we plotted m bΦ values of AM O3 against the Goldschmidt’s tolerance factor t \n[Fig. 5(b)]. It is known that smaller t increases the electron correlation in perovskite oxides through a decrease in the \norbital overlap. This trend is confirmed by the plots of Ca 1−xSrxVO 3, where m bΦ increases by decreasing t . On the other \nhand, mbΦ values of SrNbO 3 and SrMoO 3 are clearly smaller than V -based perovskite oxides with similar t , indicating \nthat the larger orbital of 4 d transition metals lead to a smaller electron correlation and a smaller m bΦ value. As a result of \nthe balance between the structural distortion and orbital size, SrMO 3 (M = V, Nb, Mo) possesses a close m bΦ. \nThe T-square coefficient A also gives insight into the room -temperature resistivity of SrMO 3. The characteristic \ntemperature T* of SrNbO 3, the temperature at which its resistivity began to deviate from the parabolic relation described \npreviously, was ∼180 K [Fig. 4(a)], which is comparably high to that of SrMoO 3 [28]. Since such high T * values make \nthe second term in the equation ρ = ρ0 + AT2 dominant at high temperatures, the close A values of SrMO 3 (M = V, Nb, \nMo) lead to a comparable resistivity of these materials at 300 K as long as the sample quality is good enough (Table I). \n \n \nTABLEI. Resistivities at 300K among SrMO 3 (M = V, Nb, Mo) as a bulk polycrystal, bulk single crystal, and epitaxial \nthin film. \n \nSample ρ at 300 K (μΩ cm) \nPolycrystal Single crystal Epitaxial thin film \nSrNbO 3 (4d1) 500 [10] 28 (present study) \nSrMoO 3 (4d2) 5.1 [2 5] 29 [3 3] \nSrVO 3 (3d1) 120 [34] 26 [13] 25 [14] \n \n \nIV. CONCLUSION \nWe fabricated SrNbO 3 epitaxial thin films on a KTaO 3 substrate by PLD. We found that both the cation and anion \ncompositions were very sensitive to growth temperature and that almost stoichiometric SrNbO 3 could be obtained at an \noptimum growth temperature of 630 °C. The stoichiometric SrNbO 3 film showed a room -temperature resistivity of 2 .82 \n× 10−5 Ωcm, which is one order of magnitude lower than previously reported values and comparable with those of 3d1 \nSrVO 3 and 4 d2 SrMoO 3. This result did not agree with a naive expectation that SrNbO 3 woul d show lower resistivity than \nSrVO 3 and SrMoO 3 because Nb4+ has the largest d orbital among these three materials. Based on the Fermi -liquid \nbehavior of the low -temperature resistivity and the non -Drude feature of the NIR absorption, we inferred that electron \ncorrelation plays a significant role in the electrical conduction of SrNbO 3. A comparison of the T -square coefficient A of \n3d and 4 d perovskite oxides revealed an orbital -size contribution to the electron correlation in these materials. \n \nACKNOWLED GMENTS \nThis study was supported by the Ministry of Education, Culture, Sports, Science and Technology of Japan (MEXT) \nas part of KAKENHI No. 24760005 and by the Japan Society for the Promotion of Science (JSPS) KAKENHI (Grant No. 7 \n 248258). A part of this work was conducted in the Research Hub for Advanced Nano Characterization, The University of \nTokyo, under the support of the “Nanotechnology Platform” (Project No. 12024046) by MEXT. \n \n \n[1] A. S. Bhalla, R. Guo, and R. Roy, Mater. Res. Innov. 4, 3 (2000). \n[2] M. A. Peña and J. L. G. Fierro, Chem. Rev. 101, 1981 (2001). \n[3] A. E. Bocquet, T. Mizokawa, T. Saitoh, H. Namatame, and A. Fujimori, Phys. Rev. B 46, 3771 (1992). \n[4] T. Arima, Y . Tokura, and J. B. Torrance, Phys. Rev. B 48, 17006 (1993). \n[5] Y. To k ur a and N. Nagaosa, Science. 288, 462 (2000). \n[6] J. M. Longo, P . M. Raccah, and J. B. Goodenough, J. Appl. Phys. 39, 1327 (1968). \n[7] P . B. Allen, H. Berger, O. Chauvet, L. Forro, T. Jarlborg, A. Junod, B. Revaz, and G. Santi, Phys. Rev. B 53, 4393 \n(1996). \n[8] Y. S. Lee, J. S. Lee, T. W. Noh, D. Y. Byun, K. S. Yoo, K. Yamaura, and E. Takayama -Muromachi, Phys. Rev. B 67, \n113101 (2003). \n[9] H.-J. Noh, B. J. Kim, S.- J. Oh, J. -H. Park, H. -J. Lin, C. T. Chen, Y . S. Lee, K. Yamaura, and E. Takayama -Muromachi, \nJ. Ph ys. Condens. Matter 20 , 485208 (2008). \n[10] X. Xu, C. Randorn, P . Efstathiou, and J. T. S. Irvine, Nat. Mater. 11 , 595 (2012). \n[11] T. Tomio, H. Miki, H. Tabata, T. Kawai, and S. Kawai, J. Appl. Phys. 76, 5886 (1994). \n[12] S. Y . Istomin, G. Svensson , O. G. D’yachenko, W . Holm, and E. V . Antipov, J. Solid State Chem. 141, 514 (1998). \n[13] B. L. Chamberland and P. S. Danielson, J. Solid State Chem. 3, 243 (1971). \n[14] J. A. Moyer, C. Eaton, and R. Engel -Herbert, Adv. Mater. 25, 3578 (2013). \n[15] N. Pen g, J. T. S. Irvine, and A. G. Fitzgerald, J. Mater. Chem. 8, 1033 (1998). \n[16] R. B. Macquart, B. J. Kennedy, and M. Avdeev, J. Solid State Chem. 183, 2400 (2010). \n[17] K. R. Balasubramaniam, Y . Cao, N. Patel, S. Havelia, P . J. Cox, E. C. Devlin, E. P . Y u, B. J. Close, P . M. Woodward, \nand P . A. Salvador, J. Solid State Chem. 181, 705 (2008). \n[18] F. Lichtenberg, A. Herrnberger, K. Wiedenmann, and J. Mannhart, Prog. Solid State Chem. 29, 1 (2001). \n[19] A. Ohtomo, D. A. Muller, J. L. Grazul, and H. Y . Hwang, Appl. Phys. Lett. 80 , 3922 (2002). \n[20] I. Levin, J. Y . Chan, J. H. Scott, L. Farber, T. A. V anderah, and J. E. Maslar, J. Solid State Chem. 166, 24 (2002). \n[21]Y . Takahashi, S. Chakraverty, M. Kawasaki, H. Y . Hwang, and Y . Tokura, Phys. Rev. B 89, 165116 (2014). \n[22] T. Okuda, K. Nakanishi, S. Miyasaka, and Y. Tokura, Phys. Rev. B 63, 3 (2001). \n[23] D. V an Der Marel, J. L. M. V an Mechelen, and I. I. Mazin, Phys. Rev. B 84, 1 (2011). \n[24] A. Sakai, T. Kanno, S. Yotsuhashi, H. Adachi, and Y. Tokura, Jpn. J. Appl. Phys. 48, 097002 (2009). \n[25] Y . Taguchi, Y . Tokura, T. Arima, and F. Inaba, Phys. Rev. B 48, 511 (1993). \n[26] A. Fukushima, F. Iga, I. H. Inoue, K. Murata, and Y . Nishihara, J. Phys. Soc. Japan 63, 409 (1994). \n[27] I. H. Inoue, O. Goto, H. Makino, N. E. Hussey, and M. Ishikawa, Phys. Rev. B 58, 4372 (1998). \n[28] I. Nagai, N. Shirakawa, S. Ikeda, R. Iwasaki, H. Nishimura, and M. Kosaka, Appl. Phys. Lett. 87 , 024105 (2005). \n[29] K. Kadowaki and S. B. Woods, Solid State Commun. 58, 507 (1986). \n[30] K. Miyake, T. Matsuura, and C. M. Varma, Solid State Commun. 71, 1149 (1989). \n[31] N. E. Hussey, J. Phys. Soc. Japan 74, 1107 (2005). \n[32] R. D. Shannon, Acta Crystallogr. A32 , 751 (1976). \n[33] A. Radetinac, K. S. Takahashi, L. Alff, M. Kawasaki, and Y . To kura, Appl. Phys. Express 3, 073003 (2010). \n[34] M. Onoda, H. Ohta, and H. Nagasawa, Solid State Commun. 79, 281 (1991). " }, { "title": "2103.16047v2.Noise_resistant_Deep_Metric_Learning_with_Ranking_based_Instance_Selection.pdf", "content": "Noise-resistant Deep Metric Learning with Ranking-based Instance Selection\nChang Liu1,Han Yu1,Boyang Li1*,Zhiqi Shen1*,Zhanning Gao2*,\nPeiran Ren2,Xuansong Xie2,Lizhen Cui3,4,Chunyan Miao1*\n1School of Computer Science and Engineering, Nanyang Technological University (NTU), Singapore\n2Alibaba Group, Hangzhou, China3School of Software, Shandong University (SDU), Jinan, China\n4Joint SDU-NTU Centre for Artificial Intelligence Research (C-FAIR), SDU, Jinan, China\n*{boyang.li, zqshen}@ntu.edu.sg, zhanning.gzn@alibaba-inc.com, ascymiao@ntu.edu.sg\nAbstract\nThe existence of noisy labels in real-world data nega-\ntively impacts the performance of deep learning models. Al-\nthough much research effort has been devoted to improving\nrobustness to noisy labels in classification tasks, the prob-\nlem of noisy labels in deep metric learning (DML) remains\nopen. In this paper, we propose a noise-resistant training\ntechnique for DML, which we name Probabilistic Ranking-\nbased Instance Selection with Memory (PRISM). PRISM\nidentifies noisy data in a minibatch using average similarity\nagainst image features extracted by several previous ver-\nsions of the neural network. These features are stored in\nand retrieved from a memory bank. To alleviate the high\ncomputational cost brought by the memory bank, we intro-\nduce an acceleration method that replaces individual data\npoints with the class centers. In extensive comparisons with\n12 existing approaches under both synthetic and real-world\nlabel noise, PRISM demonstrates superior performance of\nup to 6.06% in Precision@1.\n1. Introduction\nCommonly resulting from human annotation errors or\nimperfect automated data collection, noisy labels in training\ndata degrade the predictive performance of models trained\non them [11, 47, 16]. Manual inspection and correction of\nlabels are labour-intensive and hence scale poorly to large\ndatasets. Therefore, training techniques that are robust to\nincorrect labels in training data play an important role in\nreal-world applications of machine learning.\nTo date, most works on noise-resistant neural networks\n[11, 17, 31, 52, 47, 48, 16] focus on image classification.\nLittle research effort has been devoted to noise-resistant\ndeep metric learning (DML). The goal of DML is to learn a\ndistance metric that maps similar pairs of data points close\ntogether and dissimilar pairs far apart, based on a predefinednotion for similarity. DML finds diverse applications such\nas image retrieval [18, 10, 33], landmark identification [49],\nand self-supervised learning [25].\nPair-based loss functions encourages DML networks to\ndistinguish a similar pair of data points from one or more\ndissimilar pairs. Large batch sizes often lead to improved\nperformance [6, 46, 5], as larger batches are more likely\nto contain informative examples. Pushing the idea of large\nbatches to an extreme, [46] collects all positive and negative\ndata samples from a memory bank. However, in the pres-\nence of substantial noise, indiscriminate use of all samples\ncould lower performance. Alternatively, [26] uses learnable\nclass centers to replace individual data samples in order to\nreduce computational complexity. Nonetheless, the cluster\ncenters can also be sensitive to outliers and label noise.\nWe propose a noise-resistant deep metric learning algo-\nrithm, Probabilistic Ranking-based Instance Selection with\nMemory (PRISM), which works with both the memory bank\napproach and the class-center approach. PRISM computes\nthe probability that a label is clean based on the similarities\nbetween the data point and other data points using features\nextracted during the last several training iterations. This\nmay be seen as modeling the posterior probability of the\ndata label. For data points with high probability, we ex-\ntract their features and insert them into the memory bank,\nwhich is used in subsequent model updates. In addition, we\ndevelop a smooth top- R(sTRM) trick to adjust the thresh-\nold for noisy data identification as well as an acceleration\ntechnique that replaces individual data points with the class\ncenters in the probability calculation.\nWe perform extensive empirical evaluations on both syn-\nthetic and real datasets. Inspired by the the “noise cluster”\nphenomenon observed from real-world data, we introduce\nthe Small Cluster noise model to mimic open-set noise in\nreal data. Experimental results show that PRISM achieves\nsuperior performance compared to 12 existing DML and\nnoise-resistant training techniques under symmetric noise,\nSmall Cluster noise, and real noise. In addition, the accel-\n1arXiv:2103.16047v2 [cs.CV] 12 Apr 2021eration trick speeds up the algorithm by a factor of 6.9 on\nSOP dataset. The code and data are available at https:\n//github.com/alibaba-edu/Ranking-based-\nInstance-Selection .\n2. Related Work\nNoise-resistant Training in Classification. Training under\nnoisy labels has been studied extensively for classification\n[2, 47, 48, 16, 54, 1]. A common approach is to gradu-\nally detect noisy labels and exclude them from the training\nset. F-correction [31] models the noise as a class transition\nmatrix. MentorNet [17] trains a teacher network that pro-\nvides weight for each sample to the student network. Co-\nteaching [11] trains two networks concurrently. Samples\nidentified as having small loss by one network is used to\ntrain the other network. Co-teaching+ [52] trains on sam-\nples that have small losses and different predictions from\nthe two networks.\nDiffering from the conventional classification problem,\nmetric learning learns an effective distance metric that\nworks well for unseen classes and is evaluated using\nretrieval-based criteria. In the experiments, PRISM demon-\nstrates superior performance to several noise-resistant clas-\nsification baselines.\nModels of Label Noise. Though noisy labels are preva-\nlent, it is often difficult to ascertain and control the degree\nof noise in natural datasets. Artificial noisy models are thus\ncommonly used as evaluation metrics for noise-resistant al-\ngorithms. In the symmetric noise model [40], a proportion\nRof data points belonging to one ground-truth class are\nuniformly distributed to all other classes. In pairwise noise\n(e.g., [11]), data points from each class are transferred to a\ndesignated target class. [16] curates a natural dataset with\ncontrolled levels of noise.\nOpen-set noise refers to the presence of data points that\ndo not belong to any classes recognized by the dataset. Un-\nder open-set noise, it is futile to model noise as a class tran-\nsition matrix, which adds to the difficulty. In classification,\n[47] simulates open-set noisy labels by adding data from\nother datasets. In this paper, we propose the Small Cluster\nnoise model for open-set label noise in metric learning.\nDeep Metric Learning. We broadly categorize deep met-\nric learning into 1) pair-based methods and 2) proxy-based\nmethods. Pair-based methods [34, 44, 43, 37] calculate loss\nbased on the contrast between positive pairs and negative\npairs, which is often calculated using contrastive loss [7],\ntriplet losses [14], or softmax loss [9]. In this process, iden-\ntifying informative positive and negative pairs becomes an\nimportant consideration [35, 12, 36, 6, 43, 37, 50]. Proxy-\nbased methods [26, 32, 6, 19, 38, 55, 8, 53, 4] represent each\nclass as one or more proxy vectors, and use the similarities\nbetween the input data and the proxies to calculate the loss.\nProxies are learned from data during model training, whichcould deviate from the class center under heavy noise and\ncause performance degradation.\nNoisy Labels in Metric Learning: To our knowledge, the\nonly method which explicitly handles noise in neural metric\nlearning is [42]. The technique estimates the posterior label\ndistribution using a shallow Bayesian neural network with\nonly one layer. Due to its computational complexity, the ap-\nproach may not scale well to deeper network architectures.\nA few works attempt to handle outliers in normal train-\ning data in DML, but do not explicitly deal with substan-\ntial label noise. Wang et al. [45] uses the pair-based loss\nand trains a proxy for each class simultaneously to adjust\nthe weights of the outliers, but substantial label noise may\ncause the learned proxies to be inaccurate. Ozaki et al. [30]\nhandles noisy data in DML by first performing label clean-\ning using a model trained on a clean dataset, which may not\nbe available in real-world applications. In this paper, we do\nnot rely on the existence of a clean dataset.\n3. The PRISM Approach\nThe detection of noisy data labels usually attempts to\ncatch data points that stand out from others in the same\nclass. However, distinguishing such data samples in DML\nrequires a good similarity metric. The learning of a good\nsimilarity metric in turn depends on the availability of clean\ntraining data, thereby creating a chicken-and-egg problem.\nTo cope with this challenge, PRISM adopts an online\ndata filtering approach. At every training iteration, we use\nthe features extracted during the past several iterations to\nfilter out a portion of training data. The rest are considered\nclean, added to the memory bank, and used in updating the\nmetric.\n3.1. Identifying Noisy Labels\nLet the training set be X={(x0,y0),(x1,y1),...,\n(xN,yN)}, wherexiis an image and yiis the correspond-\ning label.Nis the size of training set. The aim of DML is to\nlearn a convolutional neural network, f(·), which extracts a\nfeature vector f(xi)for imagexi, such that the cosine sim-\nilarityS(f(xi),f(xj))betweenf(xi)andf(xj)is high if\nyi=yjand low ifyi/negationslash=yj:\nS(f(xi),f(xj)) =f(xi)Tf(xj)\n/bardblf(xi)/bardbl/bardblf(xj)/bardbl. (1)\nIn addition, we denote the current mini-batch as B=\n{(x0,y0),(x1,y1),...,(xB,yB)}whereBis the batch size.\nA pair of feature (f(xi),f(xj))is called positive pair if\nyi=yj, negative pair if yi/negationslash=yj.\nTo identify noisy labels, we maintain a first-in first-out\nmemory bankM,M={(v0,y0),(v1,y1),...,(vM,yM)},\nto store historic features of data samples. Mis the size\nof memory bank. In every step of stochastic gradient de-\nscent, we separate the clean data from the noisy data, and\n2append the current feature viof clean data xito the mem-\nory bank. If the maximum bank capacity is exceeded, the\noldest features are dequeued from the memory bank, so that\nwe always keep track of the more recent features.\nWe compare the features of xiwith the content of the\nmemory bank to determine if its label yiis noisy. If yiis\na clean label, then the similarity between xiand other sam-\nples with the same class label in the memory should be large\ncompared to its similarity with samples from other classes.\nBased on this intuition, we define the probability of (xi,yi)\nbeing a clean data point, Pclean(i), as follows\nPclean(i) =exp (T(xi,yi))/summationtext\nk∈Cexp (T(xi,k))(2)\nT(xi,k) =1\nMk/summationdisplay\n(vj,yj)∈M,yj=kS(f(xi),vj) (3)\nMkis the number of samples in class kin the memory\nbank.T(xi,k)is the average similarity between xiand all\nthe stored features vjin classk.T(xi,k)may be seen as\nlogP(X=xi|Y=k)up to a constant. Equation 2 can be\nunderstood as the probability P(Y=k|X=xi), assuming\na uniform prior for P(Y=k)and identical normalization\nconstants for every class. Although similar math forms can\nbe found in applications such as metric learning [9, 32], data\nvisualization [39], and uncertainty estimation [24, 51], we\nnote that its use in noise-resistant DML is novel.\nWhenPclean(i)falls below a threshold m, we treat\n(xi,yi)as a noisy data sample. We propose two meth-\nods to determine the value of threshold m: the top-R\nmethod (TRM) and the smooth top- Rmethod (sTRM). Un-\nder TRM, we define a filtering rate ( i.e., estimated noise\nrate)R. In each minibatch, we treat (xi,yi)as noisy if\nPclean(i)falls in the smallest R%of all samples in the cur-\nrent minibatchB.\nIn contrast, sTRM keeps track of the average of the Rth\npercentile of Pclean(i)values over the last τbatches. For-\nmally, letQjbe theRthpercentilePclean(i)value inj-th\nmini-batch, the threshold mis defined as:\nm=1\nτt/summationdisplay\nj=t−τQj (4)\nCompared to TRM, the sliding window approach of sTRM\nreduces the influence of a single mini-batch and creates a\nsmooth and more accurate estimate of the Rthpercentile.\nTo create balanced minibatches, we first sample P\nunique classes and sample Kimages for each selected class,\nyieldingPK images in every minibatch.\n3.2. Accelerating PRISM\nThe above method requires computing the similarities\nbetween all pairs of data samples, which has high time com-\nplexity. We propose a simple technique for improving theefficiency. For the kthcluster, we replace its Mkdata sam-\nples with the mean feature vector wkof the class,\n/summationdisplay\n(vj,yj)∈M\nyj=kS(f(xi),vj)\nMk=\n1\nMk/summationdisplay\n(vj,yj)∈M\nyj=kvj\n/bardblvj/bardbl\nf(xi)\n/bardblf(xi)/bardbl\n=wkf(xi)\n/bardblf(xi)/bardbl,\n(5)\nwk=1\nMk/summationdisplay\n(vj,yj)∈M,yj=kvj\n/bardblvj/bardbl.(6)\nPlugging Eq. (5) into Eq. (2), Pclean(i)can be expressed\nas:\nPclean(i) = exp/parenleftbigg\nwyif(xi)\n/bardblf(xi)/bardbl/parenrightbigg\n//summationdisplay\nk∈Cexp/parenleftbigg\nwkf(xi)\n/bardblf(xi)/bardbl/parenrightbigg\n.\n(7)\nThe time complexity of Eq. (2) is O(PKN )for a mini-\nbatch of size PK and a training set of Nsamples. Adopting\nEq. (7) reduces the time complexity to O(PK|C|), where\n|C|is the total number of classes which is much smaller\nthanN. This yields an acceleration factor ofN\n|C|.\n3.3. The PRISM Algorithm\nWe now describe the PRISM algorithm, shown as Algo-\nrithm 1. In each iteration of training, PRISM first calculates\nPclean(i)for each (xi,yi)tuple. In the first iteration, when\nthe class center vector wyihas not been updated and is the\nzero vector, all data samples in class yiare considered clean\n(Line 3). At this moment, the memory bank does not con-\ntain any data points of class k, so we cannot compute the\nmean vector wk. After the first iteration, we will update\nthe center vector to a non-zero value and perform sample\nselection based on Pclean(i).\nAfter that, we compute the threshold mand add data\npoints withPclean(i)> m toBclean (Line 12). To reduce\ncomputational cost, we update only the center vectors of\nclasses inBclean (Line 17). Finally, the loss is calculated to\nupdate parameters of model f(·)(Line 19). PRISM com-\nputes the loss with clean labels and perform stochastic gra-\ndient descent. The loss functions are described in the fol-\nlowing section.\n3.4. Loss Functions\nThe traditional pair-based contrastive loss function [7]\ncomputes similarities between all pairs of data samples\nwithin the mini-batch B. The loss function encourages f(·)\nto assign small distances between samples in the same class\nand large distances between samples from different classes.\n3Algorithm 1: A training iteration of PRISM\nInput :B={(x0,y0),(x1,y1),...,(xB,yB)}:\na given minibatch of data with size B;\nf(·): a given deep metric model;\nParameter:{wk|k∈C}: the set of mean feature\nvectors of all classes, all initialized to\nzero before training commences;\n1for each (xi,yi)∈B do\n2 ifwyi=# »0then\n3Pclean(i) = 1 ;\n4 else\n5 CalculatePclean(i)according to Eq. (7);\n6 end\n7end\n8Calculate the threshold musing TRM or sTRM;\n9InitializeBclean as an empty set;\n10for each (xi,yi)∈B do\n11 ifPclean(i)>m then\n12 Add(f(xi),yi)toBclean ;\n13 end\n14end\n15EnqueueBclean into the Memory Bank\n16for each (vi,yi)∈Bclean do\n17 Updatewyiaccording to Eq. (6);\n18end\n19Calculate loss L(Bclean)and update the parameters\noff(·)\nMore formally, the loss for mini-batch Bis\nLbatch(B) =/summationdisplay\n(xi,yi)∈B,(xj,yj)∈B\nyi/negationslash=yjmax(S(f(xi),f(xj))−λ,0)\n−/summationdisplay\n(xi,yi)∈B,(xj,yj)∈B\nyi=yjS(f(xi),f(xj))(8)\nwhereλ∈[0,1]is a hyperparameter for the margin. With\na memory bankMthat stores the features of data samples\nin previous minibatches in a first-in-first-out manner [46],\nwe can employ many more positive and negative pairs in\nthe loss, which may reduce the variance in the gradient es-\ntimates. The memory bank loss can be written as:\nLbank(M,B) =/summationdisplay\n(xi,yi)∈B,(vj,yj)∈M\nyi/negationslash=yjmax(S(f(xi),vj)−λ,0)\n−/summationdisplay\n(xi,yi)∈B,(vj,yj)∈M\nyi=yjS(f(xi),vj).(9)\nThe total loss is the sum of the batch loss Lbatch(B)\nand the memory bank loss Lbank(M,B), referred to as thememory-based contrastive loss [46]. As we adopt the mem-\nory bank setup to identify data with noisy labels, PRISM\nworks well under the memory-based contrastive loss.\nAnother loss we employ with PRISM is the Soft Triple\nloss [32], a type of proxy-based loss function. This loss\nmaintainsHlearnable proxies per class. A proxy is a vector\nthat has the same size with the feature of an image. The\nsimilarity between an image to a given class of images is\nrepresented as a weighted similarity to each proxy in the\nclass. The loss is computed as the similarities between the\nminibatch data and all classes:\nLSoftTriple =−logexp(λ(S/prime\ni,yi−δ))\nexp(λ(S/prime\ni,yi−δ)) + exp(λS/prime\ni,j),(10)\nS/prime\ni,j=/summationtextH\nh=1exp/parenleftbig\nγf(xi)/latticetopph\nj/parenrightbig\nf(xi)/latticetopph\nj/summationtextH\nh=1exp/parenleftbig\nγf(xi)/latticetopph\nj/parenrightbig. (11)\nλandγare predefined scaling factors. δis a predefined\nmargin.ph\njis theh-th proxy for class j, which is a learnable\nvector updated during model training.\n4. Experimental Evaluation\n4.1. Datasets\nWe compare the algorithms on five datasets, including:\n•CARS [20], which contains 16,185 images of 196 dif-\nferent car models. Following [20], we use the first 98\nmodels for training and the rest for testing, and incor-\nporate synthetic label noise into the training set.\n•CUB [41], which contains 11,788 images of 200 dif-\nferent bird species. Following [41], we use the first\n100 species for training and the rest for testing, and\nincorporate synthetic label noise into the training set.\n•Stanford Online Products (SOP) [29], which con-\ntains 59,551 images of 11,318 furniture items on eBay.\nWe use 59,551 images in all classes for training and the\nrest for testing, and incorporate synthetic label noise\ninto the training set.\n•Food-101N [21], which contains 310,009 images of\nfood recipes in 101 classes. The test set is the Food-\n101 [3] dataset, which contains the same 101 classes\nas Food-101N. Images in Food-101N are obtained us-\ning search results from Google, Bing, Yelp, and Tri-\npAdvisor with an estimated noise rate of 20% [21]. In\nthe same evaluation setup with CARS, CUB, and SOP,\nwe use 144,086 images in the first 50 classes (in al-\nphabetical order) as the training set, and the remaining\n51 classes in Food-101 as the test set which contains\n51,000 images.\n4Figure 1: Example images in CARS-98N. Images in the\nfirst row have clean labels. The second row shows some im-\nages with noisy labels, including car interiors and car parts.\n•CARS-98N . We build a new noisy label dataset named\nCARS-98N by crawling 9,558 images for 98 car mod-\nels from Pinterest. We used the Pinterest image search\nengine to retrieve images using the 98 labels from the\nCARS training set as the query terms. The CARS-98N\nisonly used for training , and the test set of CARS is\nused for performance evaluation. Figure 1 shows ex-\nample images in this dataset. The noisy images often\ncontain the interior of the car, car parts, or images of\nother car models.\n4.2. Synthesizing Label Noise\nIn the experiments, we adopt two models for noisy label\nsynthesis: 1) symmetric noise and 2) Small Cluster noise.\nSymmetric noise [40] has been widely used to evaluate the\nrobustness of classification models (e.g., [11, 52, 31, 22]).\nGiven a clean dataset, the symmetric noise model assigns a\npredefined portion of data from every ground-truth class to\nall other classes with equal probability, without regard to the\nsimilarity between data samples. After the noise synthesis,\nthe number of classes remains unchanged.\nWe contend that noisy labels that occur naturally differ\nfrom the symmetric noise model. Observing Food-101N\nand CARS-98N, the two datasets with naturally occurring\nnoisy labels, we notice that some noisy data points are close\nto each other and can form small clusters. This is evident in\nFigure 1, where the car interior and car part images can form\ntheir own clusters. Further, the number of classes in metric\nlearning may not be fixed. For example, in person or vehicle\nreidentification, two people or vehicles with similar looks\nmay be inadvertently merged into one cluster. Conversely,\nimages of the same person with different outfits may be sep-\narated into different clusters.\nTo mimic these traits of naturally occurring label noise,\nwe propose a new noise synthesis model — Small Cluster.\nIn this method, we first cluster images from a randomly se-\nlected ground-truth class into a large number of small clus-\nters, using features extracted from a pretrained neural net-work. Here we use L2-normalized features from ResNet-18\npretrained on ImageNet. The number of clusters is set to\none half of the number of images in the class. Each cluster\nis then merged into a randomly selected ground-truth class.\nAfter every iteration, the number of classes decreases by\none. In this way, the Small Cluster model creates an open-\nset label noise scenario [47] as the ground-truth classes are\neliminated in the corrupted dataset.\n4.3. Baseline Techniques\nWe compare PRISM against 12 baselines, including\nfour baselines designed for noise-resistant classification and\neight baselines for deep metric learning:\n• Co-teaching [11], which trains two CNNs jointly. Data\nsamples assigned small losses by one model are used\nto train the other model.\n• Co-teaching+ [52]. Similar to Co-teaching, a model\nis trained using data samples that (1) the two models\ndisagree on, and (2) receive small losses from the other\nmodel.\n• Co-teaching [11] with Temperature [53], which adds a\ntemperature hyperparameter to the cross-entropy loss.\n• F-correction [31], which multiplies the class transition\nmatrix to the loss function. Since Small Cluster pro-\nduces open-set label noises, for which the class transi-\ntion matrix is not properly defined, this baseline is only\nused under symmetric noise settings.\n• Eight DML algorithms. Four of them use proxy-based\nlosses (including Soft Triple [32], FastAp [6], nSoft-\nmax [53] and proxyNCA [26]), and the other four use\npair-based losses (including MS loss [43], circle loss\n[37], contrastive loss [7] and memory contrastive loss\n(MCL) [46]). They treat all data samples as clean.\nWe train the classification baselines using cross-entropy.\nWhen retrieving images during inference, we use the L2-\nnormalized features from the layer before the final linear\nclassifier.\nFor Co-teaching, Co-teaching+ and Memory Contrastive\nLoss [46], we use the official implementation. The\nother DML algorithms are implemented by Pytorch Met-\nric Learning [28]. We follow the hyperparameter settings\ngiven in the respective papers or code repositories. For Co-\nteaching and Co-teaching+, we use the learning rate (LR)\nscheduler given in their code, while for others (including\nour algorithm), cosine LR decay [23] is used. We set the\nbatch size to 64 for experiments on all datasets and all mod-\nels. The input images are first resized to 256x256, then ran-\ndomly cropped to 224x224. A horizontal flip is performed\non the training data with a possibility of 0.5.\n5Table 1: Precision@1 (%) on CARS, SOP, and CUB dataset with symmetric label noise.\nCARS SOP CUB\nNoisy Label Rate 10% 20% 50% 10% 20% 50% 10% 20% 50%\nAlgorithms for image classification under label noise\nCo-teaching [11] 73.47 70.39 59.55 62.60 60.26 52.18 53.74 51.12 45.01\nCo-teaching+ [52] 71.49 69.62 62.35 63.44 67.93 58.29 53.31 51.04 45.16\nCo-teaching [11] w/ Temperature [53] 77.51 76.30 66.87 73.71 71.97 64.07 55.25 54.18 50.65\nF-correction [31] 71.00 69.47 59.54 51.18 46.34 48.92 53.41 52.60 48.84\nDML with Proxy-based Losses\nFastAP [6] 66.74 66.39 58.87 69.20 67.94 65.83 54.10 53.70 51.18\nnSoftmax [53] 72.72 70.10 54.80 70.10 68.90 57.32 51.99 49.66 42.81\nProxyNCA [26] 69.79 70.31 61.75 71.10 69.50 61.49 47.13 46.64 41.63\nSoft Triple [32] 76.18 71.82 52.53 68.60 55.21 38.45 51.94 49.14 41.46\nDML with Pair-based Losses\nMS [43] 66.31 67.14 38.24 69.90 67.60 59.58 57.44 54.52 40.70\nCircle [37] 71.00 56.24 15.24 72.80 70.50 41.17 47.48 45.32 12.98\nContrastive Loss [7] 72.34 70.93 22.91 68.70 68.80 61.16 51.77 51.50 38.59\nMemory Contrastive Loss (MCL) [46] 74.22 69.17 46.88 79.00 76.60 67.21 56.72 50.74 31.18\nMCL + PRISM (Ours) 80.06 78.03 72.93 80.11 79.47 72.85 58.78 58.73 56.03\nFor Soft Triple and Soft Triple with PRISM, we set the\nnumber of proxies per class H= 10 for CARS-98N and\nFood-101N. Other hyperparameters follow [32]. The size\nof the memory bank is set to the size of the training dataset\nas in [46].\nAgain following [46], when comparing performance on\nCARS, CUB and CARS-98N, we use BN-inception [15] as\nthe backbone CNN model for all algorithms. The dimension\nof the output feature is set as 512, the same as in [46]. No\nother tricks (e.g., freezing BN layers) are used during the\nexperiments. For SOP and Food-101N, we use ResNet-50\n[13] with a 128-dimensional output.\nTesting is based on the ranked list of the nearest neigh-\nbors for the test images. Specifically, we use Precision@1\n(P@1) and Mean Average Precision@R (MAP@R) [27] as\nthe evaluation metrics. The test sets are noise-free, as the\npurpose of the experiments is to evaluate the algorithms in\nthe presence of noisy labels in the training data.\n4.4. Results and Discussions\nSymmetric Label Noise. Table 1 shows the evaluation\nresults on CARS, SOP, and CUB under symmetric label\nnoise. PRISM with Memory Contrastive Loss achieves the\nhighest performance among all the compared algorithms.\nAs the noisy label rate increases, the Precision@1 scores\ndecrease for all approaches, but PRISM exhibits the least\nperformance drop among all methods. In CUB, the perfor-\nmance of PRISM decreases by 0.05% when the noise level\nincreases from 10% to 20%, and by less than 3% when noise\nincreases to 50%.\nThe robust classification methods, including Co-\nteaching, Co-teaching+, and F-correction, show a certain\nlevel of robustness to noisy labels. However, their perfor-Table 2: Precision@1 (%) on CARS, SOP, and CUB with\nSmall Cluster label noise.\nCARS SOP CUB\nNoisy Label Rate 25% 50% 25% 50% 25% 50%\nAlgorithms for image classification under label noise\nCo-teaching 70.57 62.91 61.97 58.08 51.75 48.85\nCo-teaching+ 70.05 61.58 62.57 59.27 51.55 47.62\nCo-teaching w/ Temperature 75.26 66.19 70.19 68.50 54.59 48.32\nDML with Proxy-based Losses\nFastAP 62.49 53.07 70.66 67.55 52.18 48.46\nnSoftmax 71.61 62.29 70.00 61.92 49.61 41.78\nProxyNCA 69.50 58.34 67.95 62.25 42.07 36.48\nSoft Triple 73.26 66.66 73.63 64.14 56.18 50.35\nSoft Triple + PRISM (Ours) 77.60 70.45 70.99 69.38 57.61 54.27\nDML with Pair-based Losses\nMS 63.92 43.73 67.32 62.17 53.60 41.66\nCircle 53.03 19.95 70.33 40.48 44.07 22.96\nContrastive Loss 65.60 26.45 68.25 64.27 47.27 39.43\nMemory Contrastive Loss (MCL) 69.46 36.43 75.61 68.71 52.25 41.58\nMCL + PRISM (Ours) 77.08 68.26 78.56 73.84 55.77 53.46\nmance is generally lower compared to DML approaches\nand PRISM. Applying temperature normalization to cross-\nentropy [53] boosts the performance of Co-teaching, espe-\ncially on the SOP dataset.\nApproaches with proxy-based loss achieve generally\nhigh scores under CUB and CARS, even at 50% noise.\nHowever, they generally perform worse than approaches\nwith pair-based loss under SOP. The average number of im-\nages per class in the SOP training set is only 5.26, in con-\ntrast to 82.18 in CARS, causing difficulties in accurately\nestimating the proxy centers in SOP.\nIn comparison, pair-based losses are subject to severe\nperformance drops under high noise rates. The pair-based\nloss takes a pair of samples (xi,xj)as unit. At 50% noise,\nthe correct pairs only account for 25% of all pairs, which\nbears on the performance heavily. In addition, both MS loss\n6Table 3: Precision@1 (%) and Mean Average Precision@R\n(%) on CARS-98N and Food-101N [21].\nCARS-98N Food-101N\nP@1 MAP@R P@1 MAP@R\nAlgorithms for image classification under label noise\nCo-teaching 58.74 9.10 59.08 14.66\nCo-teaching+ 56.66 8.40 57.59 14.72\nCo-teaching w/ Temperature 60.72 9.61 63.18 17.38\nDML with Proxy-based Losses\nProxyNCA 53.55 8.75 48.41 9.30\nSoft Triple 63.36 10.88 63.61 16.23\nSoft Triple + PRISM (Ours) 64.81 11.21 64.46 17.53\nDML with Pair-based Losses\nMS 49.00 5.92 52.53 9.82\nContrastive 44.91 4.76 50.04 9.42\nMemory Contrastive Loss (MCL) 38.73 3.34 52.58 9.88\nMCL + PRISM (Ours) 57.95 8.04 52.47 9.64\n[43] and circle loss [37] assign higher weights for learn-\ning the hard examples which could be the noisy data. This\ncauses the performance to drop significantly. The use of the\nmemory bank in MCL increases the performance. However,\nthe performance is still lower than that of proxy-based loss\napproaches.\nSmall Cluster Open-set Label Noise. Table 2 reports\nthe Precision@1 scores achieved by various approaches\non datasets with Small Cluster label noise. Incorporating\nPRISM into MCL improves the performance of the result-\ning model. The advantage of PRISM is especially pro-\nnounced under 50% noise rate. A similar trend of perfor-\nmance can be observed for SoftTriple + PRISM.\nReal-world Noisy Datasets. Table 3 displays the perfor-\nmance on two datasets with real-world label noise, CARS-\n98N and Food-101N [21]. In both datasets, using Soft\nTriple with PRISM achieves the best performance. In\nCARS-98N, MCL performs worse than the naïve con-\ntrastive loss [7] because the presence of noise in the memory\nbank hurts the performance of MCL. However, after adding\nPRISM to MCL, we improved Precision@1 by as much as\n19%. However, we do not observe performance improve-\nment on Food-101N from incorporating PRISM into MCL.\nWe attribute this to an interesting characteristic of Food-\n101N, that many small clusters of open-set noise are often\nunique to one ground-truth class. For example, images of\noatmeals often appear in the class apple-pie , but not in\nsimilar classes like crab-cakes orchocolate-cake .\nAs a result, the model may have learned to treat oatmeals\nto be special apple pies. The multi-center SoftTriple loss is\nless susceptible to this phenomenon.\nTraining Time. Table 4 reports the time required for 5,000\ntraining iterations. PRISM without centers (Eq. (2)) re-\nquires the longest training time because it needs to calcu-\nlate average similarities of all classes for each minibatchTable 4: Training time required with and without PRISM\nfor 5,000 iterations on the SOP dataset and 10% symmetric\nlabel noise. The time recording starts when the memory\nbank is completely filled at iteration 3,000.\nAlgorithm Training Time (Seconds)\nMemory Contrastive Loss (MCL) 1,679.22\nMCL + PRISM without centers 12,294.76\nMCL + PRISM with centers 1,777.38\nSoft Triple 1,685.47\nSoft Triple + PRISM with centers 1,767.97\ndata. However, by maintaining center vectors to identify\nnoise (Eq. (7)), the required training time decreases sig-\nnificantly. PRISM only incurs 6% more training time than\nthat of Memory Contrastive Loss on SOP Similar observa-\ntion can be found when we change the loss function to Soft\nTriple [32]. On CARS and CUB dataset, PRISM incurs up\nto 10.4% more training time. Due to space limitation, we\nshow detailed results in the supplementary material. The\nresults show that PRISM is an efficient method to handle\nnoisy labels.\n4.5. Ablation Study\nDesign ofPclean(i).We compare PRISM against slightly\ndifferent designs of the clean-data identification function\nPclean(i). As baselines, we adopt the following designs of\nPclean(i), which are compared with Eq. (2). The first base-\nline, Batch-positive, uses the average similarity between\nxiand same-class samples within the minibatch. This ap-\nproach neither uses the memory bank nor considers the neg-\native samples.\nPclean(i)∝1\nMyi/summationdisplay\n(xj,yj)∈B,yj=yiS(f(xi),f(xj)) (12)\nThe second baseline, Memory-positive, uses the average\nsimilarity between xiand other same-class samples re-\ntrieved from the memory bank, but still does not consider\nthe negative samples.\nPclean(i)∝1\nMyi/summationdisplay\n(vj,yj)∈M,yj=yiS(f(xi),vj) (13)\nTable 5 reports the performance on CARS with 25%\nSmall Cluster noise using different noise-filtering strategies\nwith the threshold mdetermined by TRM. We use Soft\nTriple [32] as the loss function. All filtering strategies im-\nprove performance relative to the scenario of no noise filter-\ning. The Memory-positive strategy works better than Batch-\npositive, showing the importance of using the entire mem-\nory bank to identify noise. PRISM, in the form of Equa-\ntion (2), achieves the best P@1 and MAP@R scores.\n7Table 5: Precision@1 (%) and MAP@R (%) under different\ndesigns of the noise identification function. We use TRM to\ndetermine the threshold mfor all methods.\nP@1 MAP@R\nNo noise filtering 73.40 15.21\nBatch-positive filtering, Eq. (12) 74.66 16.44\nMemory-positive filtering, Eq. (13) 75.12 17.11\nPRISM, Eq. (2) 75.40 17.40\n0 1000 2000 3000 4000 5000 6000 7000 8000\nIteration0.660.680.700.720.740.760.780.80Precision@1PRISM, Eq. (2)\nMemory-positive filtering, Eq. (13)\nBatch-positive filtering, Eq. (12)\nNo noise filtering\nFigure 2: The Precision@1 (%) vs number of iterations on\nCARS with 25% Small Cluster Noise.\nFigure 2 shows that the Precision@1 changes as training\niterations increase. Ignoring label noise makes the model\noverfit to the noisy data, which is shown as good initial\nperformance followed by a rapid fall. The batch-positive\nstrategy, which does not use the memory bank, can improve\nperformance, but also experiences a performance drop after\n5,000 training iterations. Under the the PRISM strategy of\nEq. (2), the model converges to the best performance.\nTRM vs sTRM. Figure 3 illustrates the model performance\nfor different choices of the sliding window size in sTRM.\nNote that TRM is a special case of sTRM, where the win-\ndow sizeτis set to 1. Across all different choices of τ,\nsTRM consistently outperforms TRM.\nNoise rateRfor CARS-98N. In PRISM, we use the Rth\npercentile of Pclean(i)values to determine the threshold m\nfor identifying noisy labels. Table 6 shows the performance\nunder different Rvalues. We use memory-based contrastive\nloss [46] as the loss function. The model achieves the best\nperformance when R= 50% . We can thus estimate that the\nnoisy label rate of CARS-98N is approximately 50%. We\nalso useR= 50% for Co-teaching and its extensions.\n0 5 10 15 20 25 30 35\nWindow size75.576.076.577.077.5Precision@1sTRM\nTRMFigure 3: The Precision@1 (%) vs. window size τ. The\ndataset used is CARS with 25% Small Cluster noise.\nTable 6: Precision@1 (%) and Mean Average Precision@R\n(%) when using different filtering rate Rfor identifying\nnoisy label. Models trained with filtering rate R= 50%\nobtained the best performance.\nR 0.0 0.3 0.4 0.5 0.6 0.7\nP@1 38.73 49.43 52.43 57.95 54.91 51.27\nMAP@R 3.34 5.47 6.29 8.04 7.43 6.29\n5. Conclusions\nIn this paper, we propose a simple, efficient, and effec-\ntive approach, Probabilistic Ranking-based Instance Selec-\ntion with Memory (PRISM), to enhance the performance\nof deep metric learning in the presence of training label\nnoise. Through extensive experiments with both synthetic\nand real-world datasets, we demonstrate that PRISM out-\nperforms 12 existing approaches.\n6. Acknowledgments\nWe gratefully acknowledge the support by the National\nResearch Foundation, Singapore through the AI Singa-\npore Programme (AISG2-RP-2020-019), NRF Investiga-\ntorship (NRF-NRFI05-2019-0002), and NRF Fellowship\n(NRF-NRFF13-2021-0006); Alibaba Group through Al-\nibaba Innovative Research and Alibaba-NTU Singapore\nJoint Research Institute (Alibaba-NTU-AIR2019B1); the\nNanyang Assistant/Associate Professorships; NTU-SDU-\nCFAIR (NSC-2019-011); NSFC No.91846205; the Inno-\nvation Method Fund of China No.2018IM020200; the RIE\n2020 Advanced Manufacturing and Engineering Program-\nmatic Fund (No. A20G8b0102), Singapore.\n8References\n[1] Görkem Algan and Ilkay Ulusoy. Meta soft label generation\nfor noisy labels. In ICPR , 2020. 2\n[2] Dana Angluin and Philip Laird. Learning from noisy exam-\nples. Machine Learning , 2(4):343–370, 1988. 2\n[3] Lukas Bossard, Matthieu Guillaumin, and Luc Van Gool.\nFood-101 – mining discriminative components with random\nforests. In ECCV , 2014. 4\n[4] Malik Boudiaf, Jérôme Rony, Imtiaz Masud Ziko, Eric\nGranger, Marco Pedersoli, Pablo Piantanida, and Ismail Ben\nAyed. A unifying mutual information view of metric learn-\ning: cross-entropy vs. pairwise losses. In ECCV , pages 548–\n564, 2020. 2\n[5] Andrew Brown, Weidi Xie, Vicky Kalogeiton, and Andrew\nZisserman. Smooth-ap: Smoothing the path towards large-\nscale image retrieval. In ECCV , 2020. 1\n[6] Fatih Cakir, Kun He, Xide Xia, Brian Kulis, and Stan\nSclaroff. Deep metric learning to rank. In CVPR , pages\n1861–1870, 2019. 1, 2, 5, 6\n[7] Sumit Chopra, Raia Hadsell, and Yann LeCun. Learning\na similarity metric discriminatively, with application to face\nverification. In CVPR , volume 1, pages 539–546. IEEE,\n2005. 2, 3, 5, 6, 7\n[8] Ismail Elezi, Sebastiano Vascon, Alessandro Torcinovich,\nMarcello Pelillo, and Laura Leal-Taixé. The group loss for\ndeep metric learning. In ECCV , pages 277–294, 2020. 2\n[9] Jacob Goldberger, Geoffrey E Hinton, Sam Roweis, and\nRuss R Salakhutdinov. Neighbourhood components anal-\nysis. Advances in neural information processing systems ,\n17:513–520, 2004. 2, 3\n[10] Albert Gordo, Jon Almazan, Jerome Revaud, and Diane Lar-\nlus. End-to-end learning of deep visual representations for\nimage retrieval. International Journal of Computer Vision ,\n124(2):237–254, 2017. 1\n[11] Bo Han, Quanming Yao, Xingrui Yu, Gang Niu, Miao\nXu, Weihua Hu, Ivor Tsang, and Masashi Sugiyama. Co-\nteaching: Robust training of deep neural networks with ex-\ntremely noisy labels. In NeurIPS , pages 8527–8537, 2018.\n1, 2, 5, 6\n[12] Ben Harwood, Vijay Kumar B G, Gustavo Carneiro, Ian\nReid, and Tom Drummond. Smart mining for deep metric\nlearning. In ICCV , 2017. 2\n[13] Kaiming He, Xiangyu Zhang, Shaoqing Ren, and Jian Sun.\nDeep residual learning for image recognition. In CVPR ,\npages 770–778, 2016. 6\n[14] Alexander Hermans, Lucas Beyer, and Bastian Leibe. In de-\nfense of the triplet loss for person re-identification. arXiv\npreprint arXiv:1703.07737 , 2017. 2\n[15] Sergey Ioffe and Christian Szegedy. Batch normalization:\nAccelerating deep network training by reducing internal co-\nvariate shift. In ICML , 2015. 6\n[16] Lu Jiang, Mason Liu Di Huang, and Weilong Yang. Beyond\nsynthetic noise: Deep learning on controlled noisy labels. In\nICML , 2020. 1, 2\n[17] Lu Jiang, Zhengyuan Zhou, Thomas Leung, Li-Jia Li, and\nLi Fei-Fei. Mentornet: Learning data-driven curriculum forvery deep neural networks on corrupted labels. In ICML ,\npages 2304–2313, 2018. 1, 2\n[18] Mahmut Kaya and Hasan ¸ Sakir Bilge. Deep metric learning:\nA survey. Symmetry , 11(9):1066, 2019. 1\n[19] Sungyeon Kim, Dongwon Kim, Minsu Cho, and Suha Kwak.\nProxy anchor loss for deep metric learning. In CVPR , pages\n3238–3247, 2020. 2\n[20] Jonathan Krause, Michael Stark, Jia Deng, and Li Fei-Fei.\n3d object representations for fine-grained categorization. In\nICCV workshops , pages 554–561, 2013. 4\n[21] Kuang-Huei Lee, Xiaodong He, Lei Zhang, and Linjun\nYang. Cleannet: Transfer learning for scalable image classi-\nfier training with label noise. In CVPR , 2018. 4, 7\n[22] Junnan Li, Yongkang Wong, Qi Zhao, and Mohan S Kankan-\nhalli. Learning to learn from noisy labeled data. In CVPR ,\npages 5051–5059, 2019. 5\n[23] Ilya Loshchilov and Frank Hutter. Sgdr: Stochastic gradient\ndescent with warm restarts. In ICLR , 2016. 5\n[24] Amit Mandelbaum and Daphna Weinshall. Distance-based\nconfidence score for neural network classifiers. arXiv\npreprint arXiv:1709.09844 , 2017. 3\n[25] Antoine Miech, Jean-Baptiste Alayrac, Lucas Smaira, Ivan\nLaptev, Josef Sivic, and Andrew Zisserman. End-to-end\nlearning of visual representations from uncurated instruc-\ntional videos. In CVPR , 2019. 1\n[26] Yair Movshovitz-Attias, Alexander Toshev, Thomas K Le-\nung, Sergey Ioffe, and Saurabh Singh. No fuss distance met-\nric learning using proxies. In ICCV , pages 360–368, 2017.\n1, 2, 5, 6\n[27] Kevin Musgrave, Serge Belongie, and Ser-Nam Lim. A met-\nric learning reality check. In ECCV , 2020. 6\n[28] Kevin Musgrave, Serge Belongie, and Ser-Nam Lim. Py-\ntorch metric learning. arXiv preprint arXiv:2008.09164 ,\n2020. 5\n[29] Hyun Oh Song, Yu Xiang, Stefanie Jegelka, and Silvio\nSavarese. Deep metric learning via lifted structured feature\nembedding. In CVPR , pages 4004–4012, 2016. 4\n[30] Kohei Ozaki and Shuhei Yokoo. Large-scale landmark re-\ntrieval/recognition under a noisy and diverse dataset. arXiv\npreprint arXiv:1906.04087 , 2019. 2\n[31] Giorgio Patrini, Alessandro Rozza, Aditya Krishna Menon,\nRichard Nock, and Lizhen Qu. Making deep neural networks\nrobust to label noise: A loss correction approach. In CVPR ,\npages 1944–1952, 2017. 1, 2, 5, 6\n[32] Qi Qian, Lei Shang, Baigui Sun, Juhua Hu, Hao Li, and Rong\nJin. Softtriple loss: Deep metric learning without triplet sam-\npling. In ICCV , pages 6450–6458, 2019. 2, 3, 4, 5, 6, 7\n[33] Jerome Revaud, Jon Almazán, Rafael S Rezende, and Cesar\nRoberto de Souza. Learning with average precision: Train-\ning image retrieval with a listwise loss. In ICCV , pages\n5107–5116, 2019. 1\n[34] Florian Schroff, Dmitry Kalenichenko, and James Philbin.\nFacenet: A unified embedding for face recognition and clus-\ntering. In CVPR , pages 815–823, 2015. 2\n[35] Hyun Oh Song, Yu Xiang, Stefanie Jegelka, and Silvio\nSavarese. Deep metric learning via lifted structured feature\nembedding. In CVPR , 2016. 2\n9[36] Yumin Suh, Bohyung Han, Wonsik Kim, and Kyoung Mu\nLee. Stochastic class-based hard example mining for deep\nmetric learning. In CVPR , 2019. 2\n[37] Yifan Sun, Changmao Cheng, Yuhan Zhang, Chi Zhang,\nLiang Zheng, Zhongdao Wang, and Yichen Wei. Circle\nloss: A unified perspective of pair similarity optimization.\nInCVPR , pages 6398–6407, 2020. 2, 5, 6, 7\n[38] Eu Wern Teh, Terrance DeVries, and Graham W Taylor.\nProxynca++: Revisiting and revitalizing proxy neighbor-\nhood component analysis. In ECCV , 2020. 2\n[39] Laurens van der Maaten and Geoffrey Hinton. Visualizing\ndata using t-sne. Journal of Machine Learning Research ,\n9(86):2579–2605, 2008. 3\n[40] Brendan Van Rooyen, Aditya Menon, and Robert C\nWilliamson. Learning with symmetric label noise: The im-\nportance of being unhinged. In NeurIPS , pages 10–18, 2015.\n2, 5\n[41] Catherine Wah, Steve Branson, Peter Welinder, Pietro Per-\nona, and Serge Belongie. The caltech-ucsd birds-200-2011\ndataset. Technical Report CNS-TR-2011-001, California In-\nstitute of Technology, 2011. 4\n[42] Dong Wang and Xiaoyang Tan. Robust distance metric learn-\ning via Bayesian inference. IEEE Transactions on Image\nProcessing , 27(3):1542–1553, 2017. 2\n[43] Xun Wang, Xintong Han, Weilin Huang, Dengke Dong, and\nMatthew R Scott. Multi-similarity loss with general pair\nweighting for deep metric learning. In CVPR , pages 5022–\n5030, 2019. 2, 5, 6, 7\n[44] Xinshao Wang, Yang Hua, Elyor Kodirov, Guosheng Hu,\nRomain Garnier, and Neil M Robertson. Ranked list loss\nfor deep metric learning. In CVPR , pages 5207–5216, 2019.\n2\n[45] Xinshao Wang, Yang Hua, Elyor Kodirov, Guosheng Hu, and\nNeil M Robertson. Deep metric learning by online soft min-\ning and class-aware attention. In AAAI , volume 33, pages\n5361–5368, 2019. 2\n[46] Xun Wang, Haozhi Zhang, Weilin Huang, and Matthew R\nScott. Cross-batch memory for embedding learning. In\nCVPR , pages 6388–6397, 2020. 1, 4, 5, 6, 8\n[47] Yisen Wang, Weiyang Liu, Xingjun Ma, James Bailey,\nHongyuan Zha, Le Song, and Shu-Tao Xia. Iterative learn-\ning with open-set noisy labels. In CVPR , pages 8688–8696,\n2018. 1, 2, 5\n[48] Hongxin Wei, Lei Feng, Xiangyu Chen, and Bo An. Com-\nbating noisy labels by agreement: A joint training method\nwith co-regularization. In CVPR , pages 13726–13735, 2020.\n1, 2\n[49] Tobias Weyand, Andre Araujo, Bingyi Cao, and Jack Sim.\nGoogle landmarks dataset v2 – a large-scale benchmark for\ninstance-level recognition and retrieval. In CVPR , 2020. 1\n[50] Chao-Yuan Wu, R Manmatha, Alexander J Smola, and\nPhilipp Krahenbuhl. Sampling matters in deep embedding\nlearning. In ICCV , pages 2840–2848, 2017. 2\n[51] Chen Xing, Sercan Arik, Zizhao Zhang, and Tomas Pfister.\nDistance-based learning from errors for confidence calibra-\ntion. In ICLR , 2020. 3[52] Xingrui Yu, Bo Han, Jiangchao Yao, Gang Niu, Ivor Tsang,\nand Masashi Sugiyama. How does disagreement help gen-\neralization against label corruption? In ICML , pages 7164–\n7173, 2019. 1, 2, 5, 6\n[53] Andrew Zhai and Hao-Yu Wu. Classification is a strong\nbaseline for deep metric learning. In BMVC , 2019. 2, 5,\n6\n[54] Guoqing Zheng, Ahmed Hassan Awadallah, and Susan Du-\nmais. Meta label correction for noisy label learning. In AAAI ,\nvolume 35, 2021. 2\n[55] Yuehua Zhu, Muli Yang, Cheng Deng, and Wei Liu. Fewer is\nmore: A deep graph metric learning perspective using fewer\nproxies. In NeurIPS , 2020. 2\n10Supplementary Materials for\nNoise-resistant Deep Metric Learning with Ranking-based Instance Selection\n1. Generating Small Cluster Noise\nTo mimic characteristics of natural label noise, we pro-\npose a new model of noise synthesis called Small Cluster.\nIn Algorithm 1, we show the pseudo-code for generating\nSmall Cluster noise from a clean dataset. The algorithm\nfirst clusters images from a randomly selected ground-truth\nclass into a large number of small clusters, using features\nextracted from a pretrained neural network. The number of\nclusters is set to 1/Zof the number of images in the class\nso each cluster is expected to have Zimages. Each cluster\nis then merged into a randomly selected ground-truth class.\nThe procedure is repeated until, out of the total of Nim-\nages, the number of misplaced images reaches or exceeds\nthe predefined percentage R.\nIn our experiments, we choose Z= 2and set the random\nseed to 0. We use Mini-batch K-means [7] as our clustering\nalgorithm.\nAlgorithm 1: Synthesizing Small Cluster Noise\nInput : X={(x0,˜y0),(x1,˜y1), ...,(xN,˜yN)}:\ntraining dataset\nR: the noise rate\nZ: mean number of images per cluster\nOutput : Y: the corrupted labels\n1Y= [ ˜y0,˜y1, ..., ˜yN]\n2while #misplaced images Γ and magnetic fields. At small magnetic\nfields the conjecture of the independence of the inelastictimeτinon the electric field Eis supported by direct\nevaluation of the variation (broadening) of the distribu-\ntion function, which is found to be small at the dcbiases\nused in the experiment. The small variation provides a\nnegligibly small correction to the inelastic collision in-\ntegral and to the inelastic scattering rate. Moreover at\nkT≥Γ the energy space available for inelastic scatter-\ning of an electron inside Landau sub-band contains, in\nfact, all levels of the sub-band. This may provide the\nweak dependence of the inelastic electron scattering on\nthe energy ǫinside the Landau level.\nAt a strong magnetic field, at which Landau levels are\nwell separated, we have found a scaling behavior of the\nnonlinear resistance (see fig.9,10). In this regime the ex-\nperiment and the theory demonstrate a remarkable cor-\nrespondence even at a strong variation of the nonlinear\nresistance. This behavior is unexpected since the strong\nvariation of the resistance implies a substantial deviation\nof the electron distribution function from the equilibrium\nand, therefore, an apparent inapplicability of the τap-\nproximation with the constant τin. Below we provide\narguments, which shed a light on this interesting phe-\nnomenon.\nAt a strong magnetic field, at which Landau levels are\nwell separated, the spectral diffusion between Landau\nlevels is absent due to the lack of the available electron\nstates (ν= 0). In this regime the total broadening of\nthe distribution function is absent and, therefore, the to-\ntal number of Landau levels participating in the spectral\ndiffusion is fixed. There is, however, a spectral diffu-\nsion inside Landau levels, generating local spectral flows.\nSince the spectral diffusion conserves the total number\nof particles and since there is no electron transport be-\ntween Landau levels, the total number of electrons inside\nany Landau level is preserved and equal to the thermal\nequilibrium value despite considerable deviations of the\nelectron distribution function from the thermal equilib-\nrium inside the level. It is clear that in this condition\nthe total number of empty states in each Landau level is\nalso fixed and equal to the value at the thermal equilib-\nrium (at zero dcbias). Thus for the isolated Landau lev-\nels the averaged spectral distribution of electron states,\nwhich are available for the inelastic scattering of an elec-\ntron, is independent on the applied electric field. This\nmayprovidethesignificantstabilityofthe inelasticrelax-\nation rate with respect to the dcbias. These arguments\nare valid, when the electron distribution inside a Landau\nlevel is not changing substantially with the electron en-\nergy. This regime holds at relatively high temperature:\nkT >Γ.\nAt low temperatures kT <Γ the only oneLandau level\nis involved in electron transport and at the thermal equi-\nlibrium the electron distribution changes strongly inside\nthe level. An application of a dcbias changes apprecia-\nbly the distribution of electrons. At kT <Γ the nu-\nmerical calculations done in the τapproximation deviate5\nsubstantially from the experiment (see fig.8c), indicating\na limited applicability of the approximation at the low\ntemperatures.\nThe numerical calculations are done in several steps.\nThe goal of the first step is to find the density of elec-\ntron states ν(ǫ) from a comparison with the experi-\nment. The density of states ν(ǫ) of the 2D electrons\ncan be approximated by different theoretical expressions\n[58, 64, 65, 66, 67]. We have found that the numeri-\ncal results for the temperature dependence of the inelas-\ntic scattering rate are robust with respect to particular\nchoice of the expressions for the density of states (see\nbelow). Most of the numerical results, presented in the\npaper, are obtained using a Gaussian form of the DOS\n[65]:\nν(ǫ) =ν0√ωcτq/summationdisplay\nnexp/parenleftbigg\n−(ǫ−nωc)2\nωc/πτq/parenrightbigg\n,(4)\nwhere the τqis the quantum scattering time. To find\nthe DOS we compare normalized longitudinal resistance\nRxx/R0with the numerical evaluation of the normal-\nized longitudinalconductivity σnl/σDobtained fromeq.1\nwith thermal equilibrium distribution function fT(ǫ).\nTheR0is the resistance of the sample in zero magnetic\nfield. In the leading approximation and at classically\nstrong magnetic field ( ωcτtr≫1) the two ratios equal to\neach other: Rxx/R0=σnl/σD. From the comparison we\nhaveobtained the quantum scatteringtime τqand, there-\nfore, have approximated the density of electron states in\neq.4. Comparablevaluesofquantumscatteringtimehave\nbeen obtained using other methods, in particular, from\nanalysis of magnitude of the quantum oscillations [58].\nIn the second step we use the DOS to numerically cal-\nculate the distribution function f(ǫ) using eq.3 in the\nlimitt≫τin. In this limit the distribution function\nreaches a stationary state corresponding to the dcre-\nsponse. The distribution function is calculated at differ-\nent values of the electric field E.\nInthe thirdstepthe normalizednonlinearconductivity\nσnl/σDis calculated using eq.1 for different electric field.\nThe results are compared with the normalized resistance\nRxx/R0. The inelastic scattering time τinis found from\nthe best fit between dependencies of the normalized re-\nsistance Rxx/R0and the calculated normalized conduc-\ntivityσnl/σDon thedcbias.\nIn accordance with eq.3 the spectral diffusion gener-\nates an electron spectral flow Jǫfrom low energy re-\ngions (occupied levels) to high energies (empty levels).\nThe spectral flow is proportional to the coefficient of the\nspectral diffusion Dǫand to the gradient of the distribu-\ntion function ∂f/∂ǫ:Jǫ=D(ǫ)·∂f/∂ǫ. In a stationary\nstate the spectral electron flow Jǫis constant. As a re-\nsult, the gradient of the distribution function ∂f/∂ǫis\nstrong in the regions of weak spectral diffusion (between\nLandau levels) and is small in the regions with strong/s45/s49/s48 /s45/s53 /s48 /s53 /s49/s48/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48/s49/s46/s50/s49/s46/s52/s49/s46/s54/s49/s46/s56\n/s40/s109/s101/s86/s41/s68/s79/s83/s32/s97/s110/s100/s32/s100/s105/s115/s116/s114/s105/s98/s117/s116/s105/s111/s110/s32/s102/s117/s110/s99/s116/s105/s111/s110/s102/s102\nFIG. 2: (color online) Normalized density of states ˜ ν, distri-\nbution function fand non-equilibrium part of the distribu-\ntion function ∆ f=f−fTare shown as function of electron\nenergy. The distribution function fis obtained by numeri-\ncal evaluation of eq. 3, using physical parameters typical f or\nexperiments presented below: Idc=377 (µA);τin=0.55 (ns);\nτq=1.1 (ps); B=0.924 (T) and T=10.7 (K)\nspectral diffusion (centers of the Landau levels). It is\nimportant to realize that a weakinelastic scattering can-\nnot change significantly the robust dynamic flow in the\nenergy space and, therefore, the behavior of the distri-\nbution function. This corresponds to our numerical cal-\nculations. Fig.2 demonstrates the density of states, dis-\ntribution function and non-equilibrium part of the func-\ntion induced by dccurrentIdc. Indeed the gradient of\nthe distribution function is considerably suppressed in-\nside Landau levels. This is due to both the fast spectral\ndiffusion inside Landau levels and the slow diffusion be-\ntween them. Such non-equilibrium distribution function\ncan not be described by a temperature [54]. In accor-\ndance with eq.1 the small gradient of the distribution\nfunction inside conducting Landau levels makes the net\nvalue of the nonlinear longitudinal conductivity (resistiv-\nity) to be significantly smaller than the linear, unbiased\nvalue. Belowwepresentthedetailedcomparisonbetween\nthe experiments and the numerical calculations.\nRESULTS AND DISCUSSION\nFig.3 demonstrates dependencies of the longitudinal\nresistance of two dimensional electrons on the magnetic\nfield in sample N2. Two upper curves present dependen-\ncies obtained at different temperatures T=2.16K (dotted\ncurve)andT=4.2K(solidcurve)atzero dcbias. At small\nmagnetic fields B <0.1T the magnetoresistance demon-6\n/s48/s46/s48 /s48/s46/s53 /s49/s46/s48/s45/s54/s48/s45/s52/s48/s45/s50/s48/s48/s50/s48/s52/s48/s54/s48/s56/s48/s49/s48/s48/s49/s50/s48/s49/s52/s48/s49/s54/s48/s49/s56/s48/s50/s48/s48\n/s32/s84/s61/s50/s46/s49/s54/s32/s40/s75/s41/s44/s32/s73\n/s68/s67/s61/s48\n/s32/s84/s61/s52/s46/s50/s32/s40/s75/s41/s44/s32/s32/s32/s73\n/s68/s67/s61/s48\n/s32/s84/s61/s50/s46/s48/s52/s32/s40/s75/s41/s44/s32/s73\n/s68/s67/s61/s54/s32/s40 /s65/s41/s82/s101/s115/s105/s115/s116/s97/s110/s99/s101/s32/s40/s79/s104/s109/s41\n/s77/s97/s103/s110/s101/s116/s105/s99/s32/s102/s105/s101/s108/s100/s32/s40/s84/s41\nFIG. 3: (Color online), Dependencies of the longitudinal re -\nsistance rxxon magnetic field at different temperatures with\nnodcbias (black solid and dotted lines) and with applied dc\nbiasIdc=6 (µA) at T=2.04 K (grey solid line (red online)).\nArrow indicates magnetic field B=0.1 T above which the elec-\ntron spectrum is modulated due to quantization of electron\nmotion: Landau levels.\nstrates the classical independence on the magnetic field\n[63]. At B >0.1T the electron spectrum is quantized\nandat temperature T=0.3Kthe resistancedemonstrates\nquantum oscillations (not shown). An arrow marks the\nmagnetic field B=0.1T above which the electron spec-\ntrumis modulatedduetothe quantizationoftheelectron\nmotion in magnetic fields.\nAt magnetic fields B <0.3T the two traces at\nT=2.16K and at T=4.2K are almost identical, indicat-\ningaveryweaktemperaturedependenceoftheresistance\n(drxx/dT >0). At stronger magnetic fields the quantum\noscillations (Shubnikov de Haas, SdH) are observed. The\noscillations are result of Landau quantization of the elec-\ntron spectrum in the magnetic fields. At thermal equi-\nlibrium the amplitude Aof the oscillations follows from\neq.1 and eq.2 with the Fermi-Dirac distribution function:\nA∼XT/sinh(XT),XT= 2π2kT/¯hωc[57, 58]. At small\nmagnetic fields ¯ hωc≪kTthe amplitude of the SdH os-\ncillations is small due to an effective averaging of the\nconductivity oscillations σ(ǫ) (see eq.2) over the temper-\nature interval kTin eq.1. Fig.3 shows that the increase\nof the temperature reduces the magnitude of the oscil-\nlations symmetrically toward a background, which is an\naveragedvalue between maximums and minimums of the\noscillations.\nAdifferent behaviorofthe resistanceis found in the re-\nsponsetothe dcbias[54]. Infig.3thelowercurvepresents\natypicaldependenceofthedifferentialresistanceonmag-\nnetic field at a finite dcbias. At B >0.1T, at whichthe Landau quantization appears, the resistance shows\na considerable decrease with the dcbias (drxx/dI <0).\nThe decrease of the resistance cannot be explained by a\ntemperature increase due to the dcheating. The temper-\natureincreaseraisesthe resistance( drxx/dT >0). More-\nover the quantum oscillations at the finite dcbias do not\nhave the canonical shape, corresponding to the two up-\nper curves at zero dcbias. Instead a strong increase of\nhigher harmonics of the oscillations is obvious. The en-\nhancement of the higher harmonic content is in apparent\ncontradiction with the description of the dcbiased elec-\ntrons by an elevated temperature Te: high temperature\nreduces exponentially the higher harmonic content of the\noscillations [54, 57, 58].\nBelow we show that the strong decrease of the re-\nsistance with the dcbias is result of the non-uniform\nspectral diffusion of 2D electrons through Landau levels.\nWe consider in detail two regimes. One regime corre-\nsponds to small magnetic fields, at which Landau levels\nare overlapped and the temperature is higher than the\nlevel separation: kT≫¯hωc. In this regime the quan-\ntum oscillations are absent and the resistance depends\nweakly on the temperature. At the small magnetic fields\nthe spectral diffusion equation is solved both numerically\nand analytically[28]. Another regimecorrespondsto high\nmagnetic fields at which the Landau levels are separated:\n¯hωc>Γ. For sample N2 the first regime corresponds to\nB <0.2T whereas the second regime is at B >0.7T (see\nfig. 3).\nSmall magnetic fields\nAt small magnetic fields the separation between Lan-\ndau levels ¯ hωcis less than the effective width of the levels\nΓ = ¯h/τq. At low temperatures the width Γ is predom-\ninantly determined by the elastic impurity scattering of\nthe 2D electrons. At small magnetic fields the density of\nstatesν(ǫ) is weakly oscillating with the energy ǫ, mak-\ning the spectral diffusion to also be a weakly modulated\nfunction of the energy. We consider a regime of high\ntemperatures: kT≫¯hωc. In this regime the quantum\noscillationsareabsentandtheresistanceincreasesweakly\nwith the temperature T.\nFig.4(a)showsthedependenceofnormalizedresistance\nR/R0of the sample N1 on electric current at a small\nmagnetic field B=0.343 (T) and temperature T=12.75\n(K). The parameter R0is the resistance at zero mag-\nnetic field. At small dcbiases the normalized resistance\ndecreases with the electric current. We consider the de-\ncrease as a result of the non-uniform spectral diffusion\nof 2D electrons. At higher biases the resistance increases\nwith the electric current due to other mechanisms of the\nnonlinearity [31, 32]. In accordance with the theory [28]\nthe decrease of the resistivity obeys the following rela-\ntion:7\n/s45/s51/s48/s48 /s48 /s51/s48/s48/s48/s46/s57/s56/s48/s46/s57/s57/s49/s46/s48/s48/s49/s46/s48/s49\n/s45/s53 /s48 /s53/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48/s49/s46/s50\n/s45/s53 /s48 /s53/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48/s49/s46/s50/s82/s47/s82\n/s48\n/s73\n/s100/s99/s32/s40 /s65/s41/s84/s61/s49/s50/s46/s55/s53/s32/s40/s75/s41/s59/s32/s66/s61/s48/s46/s51/s52/s51/s52/s32/s40/s84/s41/s59/s32/s83/s97/s109/s112/s108/s101/s32/s49\n/s40/s97/s41\n/s40/s98/s41\n/s102/s68/s79/s83/s44/s32/s100/s105/s115/s116/s114/s105/s98/s117/s116/s105/s111/s110/s32/s102/s117/s110/s99/s116/s105/s111/s110/s32/s102/s32/s97/s110/s100/s32/s102/s45/s102\n/s84\n/s40/s109/s101/s86/s41/s68/s79/s83/s44/s32/s71/s97/s117/s115/s115\n/s102/s32/s120/s32/s49/s48\n/s40/s109/s101/s86/s41/s40/s99/s41\n/s102/s32/s120/s32/s49/s48/s68/s79/s83/s44/s32/s83/s67/s66/s65\n/s102\nFIG. 4: (Color online), (a) Dependence of normalized lon-\ngitudinal resistance Rxx/(R0= 37.75Ω) on electric current .\nSymbols are experimental data points. Solid lines present a n-\nalytical results (eq.5) and numerical evaluation of the nor mal-\nized resistance at γ= 0.9931,τq= 1.138 (ps) and τin=23.65\n(ps) for the gaussian form of the DOS. Thin dotted line is the\nnumerical evaluation of the resistance, using the SCBA den-\nsity of states with γ= 0.9931,τq= 1.132 (ps) and τin=21.4\n(ps); (b) density of states, electron distribution functio nf\nand the non-equilibrium part of the function ∆ f=f−fTat\ndcbiasIdc= 177.6µA, (Gaussian DOS) ; (c) density of states,\nelectron distribution function fand the non-equilibrium part\nof the function ∆ f=f−fTatdcbiasIdc= 192.5µA, (SCBA\nDOS); T=12.75 (K), B=0.3434 (T), sample N1.\nσxx/σD=γ+2δ2[1−4Qdc\n1+Qdc], (5)\nwhereγ= 1,δ=exp(−π/ωcτq) is the Dingle factor. The\nparameter Qdctakes into account the electric field E(\nHall electric field [69]):\nQdc=2τin\nτtr(eEvF\nωc)2(π\n¯hωc)2. (6)\nTocomparewith the experimentwehaveusedthe Din-\ngle factor δ(τq) and the inelastic scattering time τinas\nfitting parameters. We also have varied parameter γto\ntake into account possible memory effects [27, 70] and\nother deviations from the Drude magnetoconductivity\n[71], which are ignored at γ= 1. A solid line presents the\ntheoretical dependence (see eq.5) of the normalized resis-\ntivity at γ= 0.9931,τq= 1.138 (ps) and τin=23.65 (ps).\nAnothersolidline,whichisindistinguishablefromthean-\nalytical result, presents the numerical evaluation of the\nnormalized resistivity, using eq.3 with the same fitting\nparameters γ= 0.9931,τq= 1.138 (ps) and τin=23.65(ps) and the Gaussian form of the DOS [65]. A thin dot-\nted line in fig.4(a) demonstrates the numerical evaluation\nof the resistance, using the SCBA density of states with\nγ= 0.9931,τq= 1.132 (ps) and τin=21.4 (ps). The\ndensity of states, electron distribution function fand\nthe non-equilibrium part of the function ∆ f=f−fT\nare shown in fig.4(b) (Gaussian DOS) and 4(c) (SCBA\nDOS). Fig.4(a) demonstrates good agreement between\nthe experiment and the theory at small dcbiases.\nFig.5(a) shows the dependence of the resistance of the\nsample N2 on the direct current at different tempera-\ntures as labeled. Solid lines present experimental depen-\ndencies. Dashed lines demonstrate results of numerical\nevaluation of the resistance, using eq.3 with SCBA DOS\nat T=2.34 (K) and T=4.41 (K). The numerical calcu-\nlations demonstrate strong nonlinear suppression of the\nlongitudinal resistance with the dcbias. The result is\ndue to drastic modulation of the SCBA density of states\nand, therefore, spectral diffusion with the energy.\nThe SCBA DOS, distribution function and the non-\nequilibrium part of the function are presented in the\nfig.5(b) at temperature T=4.41 (K). The DOS demon-\nstrates sharp drops to almost zero values between Lan-\ndau levels. Such strong modulation of the DOS creates\nsignificant suppression of the energy exchange between\ndifferent levels facilitating the electron ”warming” inside\nthe levels [54]. The results, however, are apparently less\ncompatible with the experiment than the one obtained\nwith a smoother Gaussian DOS.\nIn fig.5(a) symbols present results of the numerical\nevaluation of the longitudinal resistivity, using eq.3 with\nthe GaussianDOS and the quantum scatteringtimes and\ninelastic times shown in the fig.6(a). The numerical sim-\nulations demonstrate good agreement with the experi-\nment in a considerably broader range of the dcbiases.\nGaussian DOS is shown in the fig.5(c), demonstrating\nmoderate oscillations with energy.\nThe experiment and the numerical calculations cor-\nrespond well to each other at small electric currents\nIdc. At higher currents considerable deviations be-\ntween the experiment and the theory occur. The de-\nviations are expected. At higher currents there are\nadditional mechanisms of the 2D electron nonlinearity\n[3, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32], which\nare not taken into account in eq.3. These nonlinearities\nare beyond the scope of the present paper. Moreover\nan additional contribution to the deviations may occur\ndue to the conjecture of the constant inelastic relaxation\nrate 1/τinin eq.3. At very small dcbiases, at which the\nelectron distribution is near the thermal equilibrium, the\nvariationoftheinelasticratewiththe dcbiasisalsosmall\nsince the phase space available for the inelastic scattering\nofanelectronisnearlythe sameasattheequilibrium. At\nstronger dcbiasesthedistributionfunctionisbroaderand\nthe inelastic scattering rate can be considerablystronger.\nToestimatethebroadeningofthedistributionfunction8\n/s48 /s53/s48 /s49/s48/s48/s48/s46/s54/s48/s46/s56/s49/s46/s48\n/s45/s51 /s45/s50 /s45/s49 /s48 /s49 /s50 /s51/s48/s46/s48/s48/s46/s52/s48/s46/s56/s49/s46/s50/s49/s46/s54\n/s45/s51 /s45/s50 /s45/s49 /s48 /s49 /s50 /s51/s48/s46/s48/s48/s46/s52/s48/s46/s56/s49/s46/s50/s49/s46/s54/s52/s46/s52/s49/s40/s75/s41/s54/s46/s49/s55/s40/s75/s41/s56/s46/s52/s49/s40/s75/s41\n/s50/s46/s51/s52/s40/s75/s41\n/s73\n/s100/s99/s32/s40 /s65/s41/s82\n/s120/s120/s47/s82\n/s48\n/s40/s97/s41\n/s40/s98/s41\n/s40/s109/s101/s86/s41/s68/s79/s83/s32/s100/s105/s115/s116/s114/s105/s98/s117/s116/s105/s111/s110/s32/s102/s117/s110/s99/s116/s105/s111/s110/s32/s102/s32/s97/s110/s100/s32/s102/s45/s102\n/s84\n/s40/s99/s41\nFIG. 5: (Color online), (a) Dependence of normalized lon-\ngitudinal resistance Rxx/R0on electric current at different\ntemperatures as labeled. Solid lines are experimental curv es.\nSymbols present result of numerical calculations of the res is-\ntance, using Gaussian DOS (eq.4) with γ= 1 and τqand\nτinpresented in fig.6(a); dotted lines demonstrate numerical\nevaluation of the R/R0using SCBA DOS with γ= 1 and τq\nandτinpresented in fig.6(a). (b) Dependencies of normalized\nSCBA density of states ˜ ν(ǫ) =ν(ǫ)/ν0, electron distribution\nfunction fand non-equilibrium part of the function ∆ fon\nelectron energy ǫcounted with respect to Fermi energy µ.\nDistribution function a is solution of eq.3 using SCBA DOS\nwithτq=3.8 (ps), temperature T=4.41 (K) and electric cur-\nrentIdc=50.6 (µA). (c) Dependencies of normalized Gaussian\ndensityof states ˜ ν(ǫ) =ν(ǫ)/ν0, electron distribution function\nfand non-equilibrium part of the function ∆ fon electron en-\nergyǫ. The distribution function is a solution of.(3 using the\nGaussian DOS with τq=3.96 (ps), temperature T=4.41 (K)\nand electric current Idc=56.4 (µA);R0(2.34K) = 44.6(Ω),\nR0(4.41K) = 46.36(Ω),R0(6.17K) = 49.29(Ω),R0(8.41K) =\n52.47(Ω); B=0.2 (T); sample N2.\nat small magnetic fields, at which the spectrum is weakly\nmodulated, we approximate the distribution function by\nan elevated temperature Te. At a stationary condition\nan increase of the Joule heat: dP=d(J2·ρ) is bal-\nancedbyanincreaseoftheheatdissipation: dE/τr(Te) =\nc(Te)dT/τr(Te), where c(Te) =c0Teis the electron heat\ncapacity, τris a time of the relaxation of the total elec-/s50 /s51 /s52 /s53 /s54 /s55 /s56 /s57 /s49/s48/s49/s48/s45/s51/s49/s48/s45/s50/s49/s48/s45/s49/s49/s48/s48\n/s49 /s49/s48/s49/s48/s45/s51/s49/s48/s45/s50/s49/s48/s45/s49/s49/s48/s48/s113/s105/s110/s66/s61/s48/s46/s50/s32/s40/s84/s41/s83/s97/s109/s112/s108/s101/s32/s50\n/s49/s46/s56/s47/s84/s50/s105/s110/s32/s97/s110/s100/s32\n/s113/s32/s32/s40/s110/s115/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s40/s97/s41\n/s40/s98/s41 /s113/s105/s110\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s66/s61/s48/s46/s53/s32/s40/s84/s41/s83/s97/s109/s112/s108/s101/s32/s49\n/s51/s46/s48/s47/s84/s50\nFIG. 6: Dependencies of the inelastic scattering time τinand\nthe quantum time τqon temperature. (a) Filled squares show\ninelastic scattering time τin, obtained numerically using eq.3\nwith Gaussian DOS; open circles present τinobtained, using\neq.3 with SCBA DOS. Magnetic field B=0.2 (T). Sample N2.\n(b) Sample N1. Gaussian DOS. Magnetic field is 0.5 (T).\ntron energy, Jis current density and ρis electron resis-\ntivity per square. In our case the time τris controlled\nby the electron-phonon scattering, since the electron-\nelectron scattering cannot stabilize the global broaden-\ning of the distribution function. For the estimation of\nthe broadening we use τr=τe−ph/T3withτe−ph= 20\n(ns/K3) [60, 77]. An integration of both sides of the\nbalanced equation yields: T5\ne−T5\nL= 5τe−phJ2ρ/c0. At\nthe lattice temperature TL=2.34(K) the temperature in-\ncrease ∆Te=Te−TL=0.14 (K) is found at Idc=9 (µA).\n∆Te=0.34 (K) is at Idc=17 (µA), at which a deviation\nbetween the solution of eq.3 with a constant τinand the\nexperimentisevident. Thustheestimationindicatesthat\nthe deviation between the experiment and the theory at\nhighdcbiases can be also related to the variation of the\ninelastic scattering time τinwith the dcbias. Similar\nresults are found for sample N1.\nTo obtain agreement between the experimental and9\nnumerical dependencies in fig.5a we have used the con-\nstant inelastic scattering time τinas a fitting parame-\nter. The temperature dependence of the time τin, ob-\ntained from fitting at different temperatures, is shown\nin fig.6 for two samples. For sample N2 (fig.6(a) black\nsquares) the inelastic time follows the dependence τin=\n1.8(±0.3)/T2(±0.15)(ns). The time is obtained using\nGaussian DOS shown in fig.5(c). Open circles in fig.6(a)\npresent the inelastic time τin, obtained using the SCBA\nDOS shown in fig.5(b). The SCBA DOS results in con-\nsistently shorter inelastic times than the Gaussian DOS\ndoes, but with essentially the same temperature depen-\ndence. This holds for other magnetic fields and temper-\natures. Taking into account the better overall agreement\nwith the experiment obtained for numerical simulations\nwith the Gaussian DOS, from now on we will only show\nnumerical results for this density of states.\nSimilar temperature dependence of the inelastic scat-\ntering time τinis found for the sample N1 with a higher\nelectron density and considerably shorter quantum scat-\ntering time τq. The dependence is shown in fig.6(b). The\ndependence is obtained at magnetic field B=0.5 (T) and\ncorrespondsto the Gaussian DOS, which is similar to the\none presented in fig.5(c). The quantum scattering times\nτqin both samples are also shown for comparison and\ncompleteness in the figure. The time τqis much shorter\nthe inelastic scattering time τin. The quantum scattering\ntime has weak temperature dependence.\nIn accordance with the theory the temperature de-\npendence of the inelastic time τin∼T−2indicates the\ndominant contribution of the electron-electron scatter-\ning into the inelastic relaxation of the distribution func-\ntion. We have compared the experimental results with\ntheoretical calculations of the inelastic relaxation due to\nelectron-electron interaction [28, 72, 73]. For the param-\neters corresponding to fig.6 the theoretical values of the\ninelastic time are found to be: τtheor\nin= 1.2/T2(ns) for\nsample N2 (fig.6(a)) and τtheor\nin= 2.5/T2(ns) for sample\nN1 (fig.6(b)). The theoretical values are in good agree-\nment with the experiment. A longer inelastic relaxation,\nfound in the experiments, could be a result of an addi-\ntional screening by X-electrons in our samples [62]. The\nscreening is not taken into account in the comparison.\nFig.6 demonstrates a longer inelastic time for sample N1\nwith a higher electron density in agreement with the the-\nory [28, 72, 73].\nWhen considering the spectral diffusion of electrons in\ncrossed electric and small magnetic fields at high tem-\nperatures, the results presented in this section demon-\nstrate good quantitative agreement between the exper-\niments and the theory. The numerical and analytical\nevaluation of the distribution function shows significant\ndeviations of the electron distribution function from the\nFermi-Dirac form leading to the nonlinear transport. At\nthese conditions the rate of the inelastic relaxation of the\nnon-equilibrium distribution function is found to be pro-/s45/s48/s46/s48/s53/s48/s46/s48/s48/s48/s46/s48/s53\n/s45/s48/s46/s53 /s48/s46/s48 /s48/s46/s53/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48/s48/s46/s53/s49/s46/s48/s49/s46/s53\n/s32/s32/s32\n/s32\n/s32\n/s40/s109/s101/s86/s41/s32/s100/s105/s115/s116/s114/s105/s98/s117/s116/s105/s111/s110/s32/s102/s117/s110/s99/s116/s105/s111/s110/s44/s32/s32 /s102\n/s32/s48/s43\n/s49/s43/s102/s68/s79/s83\n/s32\n/s40/s97/s41\n/s45/s48/s46/s51/s48/s45/s48/s46/s49/s53/s48/s46/s48/s48/s48/s46/s49/s53/s48/s46/s51/s48\n/s45/s52 /s45/s50 /s48 /s50 /s52/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48/s48/s49/s50\n/s32/s32/s32\n/s32\n/s40/s109/s101/s86/s41\n/s32/s32/s100/s105/s115/s116/s114/s105/s98/s117/s116/s105/s111/s110/s32/s102/s117/s110/s99/s116/s105/s111/s110/s44/s32/s32 /s102\n/s40/s98/s41/s47\n/s48/s43 /s61/s32\n/s49/s43/s102/s68/s79/s83\nFIG. 7: (color online) (a) Relaxation of the non-equilibriu m\npart of the distribution function ∆ fby an electron-electron\nscattering at small magnetic fields and/or high temperature s.\nTwo electrons near maximum of ∆ fat energy ǫ0scatter into\nnearest minimums at energies ǫ1=ǫ0−∆ǫandǫ2=ǫ0+∆ǫ.\nTheprocess conservesthetotalelectronenergy ǫ0+ǫ0=ǫ1+ǫ2\nand can be accomplished by the electron-electron interacti on.\n(b) Inelastic relaxation at high magnetic fields and/or low\ntemperatures. The relaxation flows from overpopulated high\nenergy levels ( ǫ0) toward under-populated low energy region\n(ǫ1,ǫ2). The relaxation flow does not conserve the total en-\nergy of 2D electron system and cannot be accomplished by\ne−escattering. The electron-phonon scattering provides the\nrelaxation.\nportional to the square of the temperature: 1 /τin∼T2.\nHigh magnetic fields\nAthighmagneticfieldsthedensityofstatesand, there-\nfore, the spectral diffusion are strongly modulated with\nthe energy. Between completely separated Landau levels\n(Γ≪¯hωc) the spectral diffusion is expected to be very10\nweak. This may create a strong thermal isolation of the\nLandau levels and a stratification of the dynamic flow in\nthe phase space in the response to the dcbias. In a lim-\niting case of a single isolated level at low temperatures\nthe global spectral flow is absent and the slope (gradient)\nof the distribution function df/dǫis determined solely by\nintra-levelinelasticprocesses. Fortheintra-levelinelastic\ntransitions the electron-electron interaction may not be\neffective, because the interaction conserves the total en-\nergy of electron system. Fig.7 demonstrates a difference\nbetween the inelastic relaxation of distribution function\nthrough several Landau levels (fig.7(a)) and the relax-\nation involving only one isolated Landau level (fig.7(b)).\nThe first case (fig.7(a)) corresponds to a high tem-\nperature regime: kT≫¯hωc. In the first case the\nelectron-electron interaction can effectively reduce the\nnon-equilibrium part of the distribution function ∆ f\nthrough the processes similar to the one shown in the\nfigure. Two electrons near a maximum of the oscillating\nfunction ∆ frelax into the two nearest minimums. This\nprocess reduces the non-equilibrium part of the distri-\nbution function ∆ fsmoothing out the oscillations. In\nthis process the total electron energy is conserved and\nthe relaxation can be accomplished by electron-electron\nscattering.\nThe second case (fig.7(b)) corresponds to low temper-\natures (high magnetic field) kT <Γ<¯hωc. Under\nthese conditions the only Landau level (sub-band), lo-\ncated near the Fermi energy, is involved in the spectral\ndiffusion. Lower energy levels are gapped and populated\ncompletely. They cannot participate in spectral trans-\nport due to the Pauli principle. The higher energy levels\nare empty, but, again, are inaccessible at low T due to\nthe cyclotron gap. A typical non-equilibrium part of the\ndistribution function corresponding to this case is shown\nin fig.7(b). The main flow of the relaxation to the ther-\nmal equilibrium is from overpopulated high energy levels\ninto the under-populated low energy region of the Lan-\ndau level. The relaxationflow doesnot conservethe total\nenergy of electron system, and, therefore, cannot be ac-\ncomplished by the electron-electron scattering.\nA possible candidate for inelastic electron relaxation is\nelectron-phonon scattering. Electron-phonon scattering\ndoes not conserve the total electron energy and, there-\nfore, can be the mechanism responsible for the inelastic\nrelaxation inside the isolated Landau level at low tem-\nperatures. Moreover, due to a stronger temperature de-\npendence [76, 77], the electron-phonon scattering could\nbe the dominant mechanism of the relaxation at high\ntemperature. Below we show the interplay between dif-\nferent regimes of the inelastic electron relaxation, which\nare observed in our samples.\nFig.8(a) presents dependencies of the normalized re-\nsistance of the sample N2 at B= 0.784 (T) and at high\ntemperatures as labeled. The magnetic field corresponds\nto a maximum of the SdH oscillations. At small currents/s45/s54 /s45/s52 /s45/s50 /s48 /s50 /s52 /s54/s48/s50\n/s45/s52 /s45/s50 /s48 /s50 /s52/s48/s49/s50\n/s45/s50 /s45/s49 /s48 /s49 /s50/s48/s49/s50/s51/s48 /s50/s48 /s52/s48 /s54/s48 /s56/s48/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48/s49/s46/s50/s49/s46/s52/s49/s46/s54\n/s84/s61/s56/s46/s49/s51/s32/s40/s75/s41/s72/s61/s48/s46/s55/s56/s52/s32/s40/s84/s41/s82/s47/s82\n/s48\n/s73\n/s100/s99/s32/s40 /s65/s41/s84/s61/s54/s32/s40/s75/s41/s40/s97/s41/s40/s109/s101/s86/s41/s107/s84/s62/s62\n/s48 /s53 /s49/s48 /s49/s53 /s50/s48 /s50/s53/s48/s49/s50/s51/s52\n/s40/s99/s41/s40/s98/s41/s82/s47/s82\n/s48\n/s73\n/s100/s99/s32/s40 /s65/s41/s73\n/s116/s104\n/s40/s109/s101/s86/s41/s107/s84 /s61\n/s48 /s53 /s49/s48/s48/s51/s54\n/s73\n/s100/s99/s32/s40 /s65/s41/s82/s47/s82\n/s48\n/s40/s109/s101/s86/s41/s107/s84/s60\nFIG. 8: (color online) (a) Dependence of normalized re-\nsistance R/R0ondcbias at high temperatures as labeled.\nR0(6K) = 49.29(Ω),R0(8.13K) = 52.12(Ω). Insert demon-\nstrates dependence of density of states, distribution func tion\nand non-equilibrium part of the function ∆ fon energy ǫ;\nT=8.13 (K), Idc=58.5 (µA),τin=151 (ps), τq=1.9 (ps).\n(b) Dependence of normalized resistance on dcbias at in-\ntermediate temperatures from top to bottom at zero bias:\nT=1.48(R0= 43.68(Ω)), 1.97( R0= 44.33(Ω)), 2.44( R0=\n44.99(Ω)), 2.93( R0= 45.45(Ω)), 3.52( R0= 45.89(Ω)),\n4.08(R0= 46.37(Ω)) (K). The electron system undergoes a\ntransition to state with zero differential resistance at Idc> Ith\nandT <3 (K). Insert demonstrates dependence of density\nof states, distribution function and non-equilibrium part of\nthe function ∆ fon energy ǫ; T=2.44 (K), Idc=18.2 (µA),\nτin=3.77 (ns), τq=2.75 (ps). (c) Dependence of normalized\nresistance on dcbias at low temperatures from top to bot-\ntom at zero bias: T=0.27( R0= 42(Ω)), 0.71( R0= 42.64(Ω)),\n1.06(R0= 42.99(Ω)) (K). Insert demonstrates dependence of\ndensity of states, distribution function and non-equilibr ium\npart of the function ∆ fon energy ǫ; T=0.71 (K), Idc=6.67\n(µA),τin=17.7 (ns), τq=3.65 (ps). Symbols are numerical\ncalculations and solid lines are experiments. Magnetic fiel d is\n0.784 (T). Sample N2.11\nthe numerical simulation describes well the experiment.\nThe insert to the figure shows the normalized density of\nstates, distribution function fand non-equilibrium part\nof the function ∆ fatdcbias 58.5 ( µA). The regime cor-\nresponds to the condition kT≫Γ.\nFig.8(b) presents dependencies of the normalized resis-\ntance at medium temperatures kT∼Γ. Again, at small\ncurrentsthenumericalsimulation, obtainedin the τinap-\nproximation of the right side of eq.3, works well, provid-\ningverygoodfitoftheexperimentdata. Attemperatures\nbelow 3 (K) a sudden deviation between the experimen-\ntal data and the simulation occurs above a threshold cur-\nrent ofIth= 6.6 (µA). An arrow in the figure marks this\ncurrent. It has been shown, that above the current Ith\nthe electron system undergoes a transition into the zero\ndifferential resistance state [36, 37]. In this state the dif-\nferential resistance ofthe sample is nearly zeroin a broad\nrange of the current Idc> Ith. Non-uniform, domain-like\nstructures, propagatingin realspace, havebeenproposed\nto explain the origin of the electron state with zero dif-\nferential resistance[27, 36]. Such states are beyond the\nregime described by the spatially uniform eq.3.\nIt is interesting that the transition to the nonlinear\nstate with zero differential resistance happens at a nor-\nmalized value of the resistance Rtr=R/R0≈1.5, which\nis almost independent on the temperature. Moreover\nat this point ( Rtr,Ith) the nonlinear resistance demon-\nstrates a transition from an insulating-like ( dR/dT < 0)\nto a metallic-like ( dR/dT > 0) behavior. These unex-\npected features are currently not understood and will be\nsubject of future studies. The insert to the figure shows\nthe normalized density of states, distribution function f\nand non-equilibrium part of the function ∆ fobtained at\ndcbias 18.2 ( µA).\nFinally fig.8(c) presents data at very low temperature\nkT <Γ. At this condition only one Landau level pro-\nvides the electron transport. At the low temperatures\nthe theory, used in the τinapproximation, fits with the\ndata only at very small currents. At the lowest temper-\nature T=0.27K, numerical results deviate almost imme-\ndiately from the experiment. The comparison indicates\nthat the approximation of the inelastic collision integral\nin eq.3 by a constant relaxation time τindoes not work\nin these conditions. At very low temperature the equilib-\nrium distribution changes very rapidly with the energy\nǫinside the Landau level on a scale, which is much nar-\nrowerthanthelevelwidth Γ: kT≪Γ. Sincethe inelastic\nprocesses are extremely weak at the low T, the spectral\ndiffusion broadens easily the electron distribution to a\nscale comparable with the width of the level Γ even at\nsmalldcbiases. This process increases significantly the\nphase space available for the inelastic electron scattering,\nenhancing the scattering rate 1 /τinappreciably. Thus at\nkT <Γ the inelastic scattering depends strongly on the\ndcbias and the spectral diffusion equation (eq.3) with a\nconstant τindoes not describe the nonlinear resistanceappropriately. More work is required to evaluate quan-\ntitatively the shape of the distribution function in this\nregime. However we suggest that even in the regime\nkT <Γ the distribution function will be qualitatively\nsimilar to the one shown in the insert to fig.8(c), which\nis obtained in the τapproximation. At a high dcbias\nthe function can not be described by an elevated elec-\ntron temperature as it is shown in the figure (see also\n[54]).\nAdditional analysis of the curves at the high magnetic\nfields reveals an interesting scaling behavior of the non-\nlinear resistance. Applying two linear transformations\n(y′=Ky·yandx′=Kx·x) along y and x-axes one\ncan collapse all dependencies at different temperatures\npresented in fig.8(a,b) on a single curve. Fig.9(a) shows\nthe result. The y-transformation normalizes the resis-\ntance at zero bias to unity: R(I) =R(I)/R(I= 0). The\nlinear x-transformation, applied along the x-axes, pro-\nvides the final result. Solid curves are experimental de-\npendencies measured in temperature interval (1.48-8.13)\n(K). Open circles show a result of numerical calculations\nof the nonlinear resistance obtained using eq.3 with the\nequilibrium electron distribution at T=4.08 (K) and\nτq= 2.75 (ps). The same scaling is found for sample\nN1 in a broader range of temperatures. The result is\nshown in fig.10(a). All dependencies are plotted versus\na parameter A1/2= (σD\ndcE2τin/ν0)1/2∼Idc. At a fixed\ndensity of states ν(ǫ) the variable A∼E2τinis the main\nparameter, which determines the deviation of the elec-\ntron distribution ffrom the thermal equilibrium fTin\neq.3.\nFig.9(a) demonstrates a good scaling and a remark-\nable correspondence with numerical results obtained at\nA1/2<0.15, using eq.3 with a fixed τin. The cor-\nrespondence between the experiment and the theory is\neven more impressive for a curve at the lowest tempera-\nture (T=2.34 (K)) presented in fig.10(a). Almost perfect\nagreement between the experiment at T=2.34 (K) and\nthe theory is found at substantially stronger dcbiases\n(A∼1). The scaling of the nonlinear resistance and the\nexcellent agreement with the theory indicates strongly\nthe presenceof the spectral diffusion with a constant rate\nof the inelastic relaxation 1 /τin.\nWe suggestthat the scaling is a result ofa specific non-\nlinear regime, which occurs for separated Landau levels.\nAswehavealreadymentionedinthesection”Theoryand\nNumerical Simulations”, the spectral diffusion between\nwell-separated Landau levels is absent. In this regime\nthere isno globalbroadeningofthe distribution function.\nMoreover inside each of the Landau levels the local spec-\ntral flow preserves the number of electrons and, there-\nfore, the number of the empty states. Thus the strati-\nfied spectral diffusion keeps the spectral distribution of\nthe available phase space (averaged over each Landau\nlevel), to be fixed and the same as the one at the ther-\nmal equilibrium ( E= 0). The invariance of the phase12\n/s48/s46/s48 /s48/s46/s49 /s48/s46/s50 /s48/s46/s51 /s48/s46/s52/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48\n/s40/s97/s41/s84/s61/s56/s46/s49/s51/s32/s40/s75/s41/s82/s47/s82/s40/s73/s61/s48/s65/s41\n/s65/s48/s46/s53/s48/s46/s48 /s48/s46/s50 /s48/s46/s52/s48/s46/s48/s48/s46/s53/s49/s46/s48/s82/s47/s82/s40/s65/s61/s48/s41\n/s65/s48/s46/s53\n/s49 /s49/s48/s49/s48/s45/s51/s49/s48/s45/s50/s49/s48/s45/s49/s49/s48/s48/s49/s48/s49/s49/s48/s50\n/s40/s98/s41\n/s105/s110\n/s54/s54/s47/s84/s51\n/s113/s105/s110/s32/s97/s110/s100/s32\n/s113/s32/s40/s110/s115/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s66/s61/s48/s46/s55/s56/s52/s32/s40/s84/s41/s83/s97/s109/s112/s108/s101/s32/s50/s49/s49/s46/s54/s47/s84/s49/s46/s50/s54\nFIG. 9: (a) Scaling of normalized resistance with parameter\nA0.5∼Idc. All curves presented in fig.8(a,b) at different tem-\nperatures (1.48-8.13) (K) follow the same dependence on the\nparameter A0.5<0.15 (solid curves). Open circles present\nresults of numerical calculations of the normalized resist ance,\nusing eq.3 with τq= 2.75 (ps), T= 4.08 (K), B=0.784 (T)\nand parameter A1/2= (σD\ndcE2τin/ν0)1/2; insert shows inde-\npendence of variations of the normalized resistance with A\non temperature T. The results are obtained using eq.3 at\nT=3(K) -open circles, T=4.08(K) - solid curve, and T=6(K)-\nfilled circles. (b)Dependences of inelastic scattering tim eτin,\nobtained from comparison between experiment and numerical\nevaluation of nonlinear resistance, using eq.3 (filled squa res)\nand from scaling (open circles) on temperature. Open square s\npresent temperature dependence of quantum scattering time\nτq. Magnetic field B=0.784 (T). Sample N2.\nspace available for inelastic processes could provide the\nindependence of the inelastic scattering time τinon the\ndcbias fixing the time at the thermal equilibrium value:\nτin(E) =τin(E= 0). The constant inelastic scattering\nrate makes the evolution of the electron distribution and\nthe nonlinear resistance to be universal in a broad range\nof thedcbiases.\nThe scaling reveals another interesting property of the/s48/s46/s48 /s48/s46/s51 /s48/s46/s54 /s48/s46/s57 /s49/s46/s50/s48/s46/s48/s48/s46/s53/s49/s46/s48\n/s40/s97/s41/s82/s47/s82/s40/s73/s61/s48/s65/s41\n/s65/s48/s46/s53/s84/s61/s50/s46/s51/s52/s32/s40/s75/s41/s84/s61/s50/s52/s46/s54/s32/s40/s75/s41\n/s49 /s49/s48/s49/s48/s45/s51/s49/s48/s45/s50/s49/s48/s45/s49/s49/s48/s48/s49/s48/s49\n/s113/s32/s105/s110/s32\n/s55/s48/s47/s84/s51\n/s66/s61/s48/s46/s57/s50/s52/s32/s40/s84/s41/s83/s97/s109/s112/s108/s101/s32/s49/s105/s110/s32/s97/s110/s100/s32\n/s113/s32/s40/s110/s115/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s57/s47/s84/s50\n/s40/s98/s41\nFIG. 10: (a) Scaling of normalized resistance (solid curves )\nwith parameter A0.5∼Idcat different temperature from bot-\ntom to top: 2.34, 4.2, 5.4, 7.6, 10.7, 14.8, 20.1, 24.6 (K).\nOpen circles present results of numerical calculations of t he\nnormalized resistance, using eq.3 with τq= 1.1 (ps),T= 2.34\n(K),B=0.924 (T) and parameter A1/2= (σD\ndcE2τin/ν0)1/2;\n(b)Dependencesofinelastic scatteringtime τin, obtainedfrom\ncomparison between experiment and numerical evaluation of\nnonlinear resistance, using eq.3 (filled squares) and from s cal-\ning (open circles) on temperature. Open squares present tem -\nperaturedependenceofquantumscatteringtime τq. Magnetic\nfieldB=0.924 (T). Sample N1.\nnonlinear regime. Fig.9(a) shows that variations of the\nnormalized resistance with parameter A1/2<0.15 is the\nsame at different temperatures and, therefore, does not\ndepend on the initial, equilibrium distribution fTof 2D\nelectrons in eq.3. The equilibrium distribution fTis sub-\nstantially different in the temperature interval, in which\nthe scaled dependencies have been measured: (1.4 - 8.13)\n(K). We suggest that the independence of the nonlin-\near resistance on the fTis also a result of the absence\nof thedcbias induced spectral flows between Landau\nlevels. Without the inter-level spectral flow the levels\nare, in essence, independent from each other and, there-13\nfore, absorb the energy from electric field independently.\nThe absorption inside each Landau level is determined\nby the same spectral dynamics, assuming that the den-\nsity of states is the same for each level. An estimation\nof the nonlinear conductivity in a model of separated\n(independent) levels supports the suggestion [74]. The\nnumerical evaluation of the nonlinear behavior of the re-\nsistance, which has been done for different temperatures,\nusing eq.3, demonstrates also the independence of the\nnormalized nonlinear resistance on the temperature in\nthis regime. In particular, the numerical values of the\nnormalized resistance obtained for T=3K, T=4.08K and\nT=6K at a fixed density of states ( τq= 2.75 (ps)) differ\nby less that 3% at any A <0.4. This is shown in the\ninsert to fig.9(a).\nThescalingofthenonlinearresistanceprovidesaneasy\npractical access to the variation of the inelastic relax-\nation time with the temperature since it does not re-\nquire the solution of the eq.3. The scaling coefficient\nKx∼E·(τin(T))1/2takes into account the temperature\nvariations. A comparison of the inelastic time τinob-\ntained from the scaling (open circles) and from the direct\ncomparison with the numerical calculation of the nonlin-\near resistance using eq.3 (solid squares) are presented in\nfig.9(b) (sample N2) and fig.10(b) (sampleN1). There is\na good overallagreementbetween twoapproaches. A dif-\nference appearssince the numericalcalculationtakes into\naccount a variation of spectral dynamics with the tem-\nperature due to changes in density of states (see the time\nτqpresented in the figures) and a temperature variation\nof the transport scattering rate.\nDeviations from the scaling depend on the tempera-\nture. Presented in fig.9(a) and fig.10(a) at higher tem-\nperatures experimental curves deviate up from the scal-\ning behavior at a smaller A. Taking into account the\nstrong reduction of the inelastic scattering time τinwith\nthe temperature, one can find that the deviations from\nthe scaling occur at progressively higher dcbiases:E∼\n(A/τin)1/2. This indicates that corrections to the scaling\ndue to other nonlinearmechanisms, arising at high biases\n[3, 31, 32], decreases with the temperature increase. The\nlater agrees with the temperature dumping of a magni-\ntude of the dcbias induced magneto-oscillations of the\nnonlinear resistance [53] due to inter-level scattering [3].\nAt high dcbiasesA1/2>0.15 sample N2 demonstrate\nan additional abrupt deviation down from the scaling at\ntemperatures below 3K (see fig.9(a)). As we have men-\ntioned at this condition a transition to the zero differen-\ntial resistance state appears [36, 37], which may break\ndown the description of the 2D electron system by the\nspatially uniform spectral equation (eq.3) [36].\nBelow we discuss the temperature dependence of the\ninelastic scattering time. Fig.9b presents the temper-\nature dependence of the time τinat magnetic field\nB=0.784 (T) for the sample N2. Two temperature\nregimes are clearly observable. At temperatures T >2Kthe inelastic relaxation time τinis inversely proportional\ntoT3:τin= 66(±10)/T3(±0.15)(ns). At temperatures\nbelow 2K the inelastic time depends weaker on the tem-\nperature: τin= 11.6(±2)/T1.26±0.15(ns).\nThe observed T3dependence of the inelastic time\nτin= 66/T3(ns) correlates with the one obtained in Si-\nMOSFETs : τin= (10−60)/T3(ns) at temperatures\n1.5< T < 4.2K [59] and with the dependence found\nin a GaAs/AlGaAs heterojunction: τin= 20/T3(ns)\nat temperatures 1 < T < 3K [60]. In both papers\nthe temperature dependence has been attributed to the\nelectron-phonon scattering. We suggest that the tem-\nperature dependence observed at T >2K is also due to\nan electron-phonon scattering in Bloch-Gruneisen (BG)\nregime at which the wave vector of a typical thermal\nphononqT=kT/¯hsis smaller than the size of the Fermi\ncircle 2kF:qT<2kF. Heresis sound velocity and kF\nis Fermi wave vector [63]. In our high density samples\nthe BG regime exists at temperatures below TBG≈20K,\nwherekTBG= 2kF·¯hs[75]. A theoretical evaluation\nof the inelastic electron-phonon scattering time in GaAs\nquantum wells due to screened piezoelectric (PZ) cou-\npling yields: τPZ≈16/T3(ns) at temperatures of few\nK at zero magnetic field [76, 78]. Deformation potential\n(DP) yields a comparable contribution to the electron-\nphonon scattering rate at T >4K. At a weak screen-\ning the electron-phonon scattering time is found to be\nτDP≈18/T3(ns) [77] at zero magnetic field.\nTheT−3temperature dependence is found also for\nthe sample N1 at high temperatures. Fig.10b presents\nthe temperature dependence. At T >10K the inelas-\ntic scattering time is proportional to 1 /T3:τin=\n70(±10)/T3±0.2(ns). The dependence is the same as\nthe one observed in the sample N2. At lower tempera-\nturesT <10 (K) the inelastic relaxation time deviates\nconsistently from the T−3dependence. The temperature\ndependence τin= 9(±2)/T2(±0.2)provides a reasonable\napproximation, indicating a possible contribution of the\nelectron-electron interaction to the inelastic relaxation\nrate. The same ( T−2) temperature dependence is ob-\nserved at small magnetic fields for both samples but at\nconsiderablystrongerrelaxationrate. Thus the tempera-\nture dependence below 10(K) appears as an intermediate\nregime at which the electron-electron scattering is signif-\nicant but is suppressed considerably by the quantization\nof the electron spectrum. At the beginning of the section\nwe have discussed the possible reason for the reduction\nof the contribution of the e−escattering to the inelastic\nrelaxation in strong magnetic fields.\nOur experiment demonstrates a correlation between\nmodulation of the density of states, the inelastic time\nτinand the temperature dependence of the time. At\nlow magnetic field B=0.2 (T) the density of states of\nthe sample N2 is weakly modulated at about ±40% (see\nfig.5). The time of inelastic relaxation equals to 1 .8/T2\n(ns) below 8K. At the magnetic field B=0.784 (T) the14\n/s48/s46/s50 /s48/s46/s52 /s48/s46/s54 /s48/s46/s56 /s49/s46/s48 /s49/s46/s50/s49/s48/s45/s51/s49/s48/s45/s50/s49/s48/s45/s49/s49/s48/s48\n/s113/s84/s61/s56/s32/s40/s75/s41/s105/s110/s32/s97/s110/s100/s32\n/s113/s32/s32/s40/s110/s115/s41\n/s77/s97/s103/s110/s101/s116/s105/s99/s32/s102/s105/s101/s108/s100/s32/s40/s84/s41/s84/s61/s50/s46/s49/s56/s32/s40/s75/s41\n/s105/s110\n/s49/s47/s84/s50/s49/s47/s84/s51\nFIG. 11: Dependences of inelastic scattering time τinand\nquantum scattering time τqon magnetic field at two different\ntemperatures as labeled. Two shaded areas indicate two dif-\nferent temperature regimes of the inelastic electron relax ation\nobserved in the sample. Sample N2.\nmodulation of the density of states of the sample N2 is\nsignificantly stronger approaching 95 % of the averaged\nvalue (see fig.8(a,b)). The inelastic time equals to 66 /T3\nat 2< T <8 (K). In magnetic field B=0.924 (T) the\nmodulation of the density of states of the sample N1 is\nabout 60% and the inelastic time is between the two pre-\nvious values: 1 .8/T2<9/T2<66/T3atT <7 (K).\nIn accordance with the correlation one should expect a\ngradual reduction of the contribution of electron-electron\nscattering to the inelastic relaxation and an increase of\nthe relaxation time τinwith an increase of the modula-\ntion of the density of states. An increase of the magnetic\nfieldBenhances the DOS modulation. Fig.11 presents\nthe dependence of the inelastic time τinon the magnetic\nfield for sample N2 at two different temperatures as la-\nbeled. Magnetic field increases the relaxation time τin.\nThe temperature dependence of the inelastic relaxation\nrate changes from T2at low magnetic field to T3at high\nmagnetic fields. In the figure, two rectangular shaded ar-\neas indicate the two different temperature regimes of the\ninelastic relaxation. These regimes are presented in more\ndetails in fig.6(a) and fig.9(b). Similar enhancement of\nthe relaxation time τinwith the increase of the magnetic\nfield is found for sample N1 (not shown).\nCONCLUSION\nWe havestudied the nonlinearresponseof2Delectrons\nplaced in crossed electric and quantized magnetic fields\nat low temperatures. The resistance of 2D electrons de-\ncreasesstronglywith anincreaseofthe electricfield. The\ndecrease of the resistance is in good quantitative agree-ment with theory considering the nonlinear response as\na result of non-uniform spectral diffusion of 2D electrons\nlimited by inelastic electron scattering. Comparison be-\ntween the experiments and the theory has revealed dif-\nferent regimes of the electron inelastic relaxation.\nAt low magnetic fields, at which the Landau levels are\nwell overlapped and the spectral diffusion is weakly mod-\nulated with the electron energy, the inelastic scattering\nrate is found to be proportional to the square of the tem-\nperature T2in temperature interval (2-10 (K)). The de-\npendence indicates the electron-electronscatteringas the\ndominant mechanism of the inelastic relaxation. At high\nmagnetic fields, at which the Landau levels are well sep-\narated, the spectral diffusion is strongly modulated and\nthe rate of the inelastic relaxation is proportional to T3.\nThis suggests the electron-phonon scattering to be the\ndominant inelastic mechanism. At fixed temperature the\ninelastic time τinincreases with the magnetic field. At\nvery small temperatures kT <Γ and well separated Lan-\ndau levels an additional regime of the inelastic electron\nrelaxation is identified: 1 /τin∼T1.26.\nAt the high magnetic fields the nonlinear resistance\ndemonstrates scaling behavior in a broad range of tem-\nperaturesexceedingthewidthofLandaulevels. Thescal-\ning indicates specific regime of the dcheating in electron\nsystems with discrete electron spectrum. A temperature\ncannot describe the heating. The spectral diffusion lim-\nited by the inelastic relaxation with constant rate de-\nscribes remarkably well the scaling in broad range of the\ndcbiases.\nS. Vitkalov thanks I. Aleiner, I. Dmitriev and A.\nSergeev for valuable discussions and comments. This\nwork was supported by National Science Foundation:\nDMR 0349049 and by Russian Fund for Basic Research,\nproject No.08-02-01051\n∗Corresponding author: vitkalov@sci.ccny.cuny.edu\n[1] M.A. Zudov, R. R. Du, J. A. Simmons, and J. R. Reno,\nPhys. Rev. B 64,201311(R) (2001).\n[2] P.D. Ye, L. W. Engel, D.C. Tsui, J. A. Simmons, J. R.\nWendt, G. A. Vawter, and J. L. Reno, Appl. Phys.Lett\n79,2193 (2001).\n[3] C. L.Yang, J. Zhang, and R. R. Du, J. A. Simmons and\nJ. L.Reno, Phys. Rev. Lett. 89, 076801 (2002).\n[4] S. I. Dorozhkin, JETP Lett. 77, 577 (2003).\n[5] R. L. Willett, L. N. Pfeiffer, and K. W. West, Phys. Rev.\nLett93026804 (2004).\n[6] R.G. Mani, J. H. Smet, K. von Klitzing, V. Narayana-\nmurti, W. B. Johnson, and V. Umansky, Phys. Rev. 69,\n193304 (2004).\n[7] I. V. Kukushkin, M. Ya. Akimov, J. H. Smet, S. A.\nMichailov, K. von Klitzing, I. A. Aleiner, and V. I. Falko,\nPhys. Rev. Lett. 92, 236803 (2004).\n[8] S. A. Studenikin, M. Potemski, A. Sachrajda, M. Hilke,\nL. N. Pfeiffer, and K. W. West, Phys. Rev. B 71, 245313,15\n(2005).\n[9] A. A. Bykov, Jing-qiao Zhang, Sergey Vitkalov, A. K.\nKalagin, and A. K. Bakarov Phys. Rev. B 72, 245307\n(2005).\n[10] A. A. Bykov, A. K. Bakarov, D. R. Islamov, A. I.\nToropov, JETP Letters 84, 391 (2006).\n[11] Jing-qiao Zhang, Sergey Vitkalov, A. A. Bykov, A. K.\nKalagin, and A. K. Bakarov Phys. Rev. B 75, 081305(R)\n(2007).\n[12] W. Zhang, H.-S. Chiang, M. A. Zudov, L.N. Pfeiffer, and\nK.W. West, Phys. Rev. B 75, 041304(R) (2007).\n[13] K. Stone, C. L. Yang, Z. Q. Yuan, R. R. Du, L. N. Pfeif-\nfer, and K. W. West Phys. Rev. B 76, 153306 (2007).\n[14] S. A. Studenikin, A. S. Sachrajda, J. A. Gupta, Z.\nR. Wasilewski, O. M. Fedorych, M. Byszewski, D. K.\nMaude, M. Potemski, M. Hilke, K. W. West, and L. N.\nPfeiffer, Phys. Rev. B 76, 165321 (2007)\n[15] A.T.Hatke, H.-S.Chiang, M.A. Zudov, L.N.Pfeiffer, and\nK.W. West, Phys. Rev. B 77, 201304(R) (2008).\n[16] S. Wiedmann, G. M. Gusev, O. E. Raichev, T. E. Lamas,\nA. K. Bakarov, and J. C. Portal Phys. Rev. B 78, 121301\n(2008).\n[17] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, andK. W. West\nPhys. Rev. Lett. 102, 066804 (2009).\n[18] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, andK. W. West\nPhys. Rev. Lett. 102, 086808 (2009)\n[19] S. I. Dorozhkin, I. V. Pechenezhskiy, L. N. Pfeiffer, K.\nW. West, V. Umansky, K. von Klitzing, and J. H. Smet\nPhys. Rev. Lett. 102, 036602 (2009).\n[20] A. C. Durst, S. Sachdev, N. Read, and S. M. Girvin,\nPhys. Rev. Lett. 91, 086803 (2003).\n[21] V. I. Ryzhii Sov. Phys. Solid State 11, 2078 (1970).\n[22] P. W. Anderson and W. F. Brinkman,\ncond-mat/0302129.\n[23] J. Shi and X. C. Xie, Phys. Rev. Lett. 91, 086801 (2003).\n[24] X. L. Lei and S. Y. Liu, Phys. Rev. B 72, 075345 (2005).\n[25] J. Dietel, L. I.Glazman, F. W.Hekking, andF.vonOpen\nPhys. Rev. B 71, 045329 (2005).\n[26] J. Inarreaand G. Platero Phys. Rev. B 72, 193414 (2005)\n[27] M. G. Vavilov and I. L. Aleiner Phys. Rev. B 69, 035303\n(2004).\n[28] I. A. Dmitriev, M.G. Vavilov, I. L. Aleiner, A. D. Mirlin ,\nand D. G. Polyakov, Phys. Rev. B 71, 115316 (2005).\n[29] J. Alicea, L. Balents, M.P.A. Fisher, A. Paramekanti, L .\nRadzihovsky, Phys. Rev. B 71, 235322 (2005).\n[30] E. E. Takhtamirov and V. A. Volkov JETP 104, 602\n(2007).\n[31] M.G. Vavilov, I.L Aleiner, and L.I. Glazman, Phys.Rev.\nB76,115331 (2007).\n[32] I. A. Dmitriev, A. D. Mirlin, and D. G. Polyakov,Phys.\nRev B75, 245320 (2007).\n[33] R. G. Mani, V.Narayanamurti, K. von Klitzing, J. H.\nSmet, W. B. Jonson, and V. Umansky, Nature(London)\n420, 646 (2002).\n[34] M.A. Zudov, R. R. Du, L. N. Pfeiffer, and K. W. West,\nPhys. Rev. Lett 90046807 (2003).\n[35] W. Zhang, M.A. Zudov, L. N. Pfeiffer, and K. W. West\nPhys. Rev. Lett. 98,106804 (2007).\n[36] A. A. Bykov, Jing-qiao Zhang, Sergey Vitkalov, A. K.\nKalagin, and A. K. Bakarov Phys. Rev. Lett. 99, 116801\n(2007).\n[37] W. Zhang, M. A. Zudov, L.N. Pfeiffer, and K.W. West,\nPhys. Rev. Lett. 100, 036805 (2008)\n[38] A. V. Andreev, I. L. Aleiner, and A. J. Millis, Phys.Rev.Lett.91, 056803 (2003).\n[39] A. Auerbach, I Finkler, B. I. Halperin, and A. Yacoby,\nPhys. Rev. Lett. 94, 196801 (2005).\n[40] L. DiCarlo, C. M. Marcus, J. S. Harris, Jr., Phys.Rev.\nLett.91, 246804 (2003).\n[41] Jiang Wei, Michael Shimogawa, Zenghui Wang, Iuliana\nRadu, RobertDormaier, andDavidHenryCobden, Phys.\nRev. Lett. 95, 256601 (2005).\n[42] R. Leturcq, D. Sanchez, G. Gotz, T. Ihn, K. Ensslin,\nD. C. Driscoll, and A. C. Gossard, Phys. Rev. Lett. 96,\n126801 (2006).\n[43] D. M. Zumbuhl, C. M. Marcus, M. P. Hanson and A. C.\nGossard, Phys. Rev. Lett. 96, 206802 (2006).\n[44] A. Lofgren, C. A. Marlow, I. Shorubalko, R. P. Taylor,\nP. Omling, L. Samuelson, and H. Linke, Phys. Rev. Lett.\n92, 046803 (2004).\n[45] J.Q. Zhang, S.Vitkalov, Z. D. Kvon, J. C. Portal, A.\nWieck, Phys. Rev. Lett. 97, 226807 (2006).\n[46] P. W. Brouwer, Phys. Rev. B 63,121303(R) (2001).\n[47] M. G. Vavilov, V. Ambegaokar and I. L. Aleiner Phys.\nRev. B63,195313 (2001).\n[48] D. Sanchez and M. Buttiker, Phys. Rev. Lett. 93,106802\n(2004).\n[49] B. Spivak and A. Zyuzin, Phys. Rev. Lett. 93, 226801\n(2004).\n[50] M. L. Polianski and M. Buttiker Phys. Rev. Lett. 96,\n156804 (2006).\n[51] A. V. Andreev and L. I. Glazman Phys. Rev. Lett. 97,\n266806 (2006).\n[52] N. C. Mamani, G. M. Gusev, O. E. Raichev, T. E.\nLamas, A. K. Bakarov, preprint, cond-mat 0811.4597,\nhttp://arxiv.org/abs/0811.4597\n[53] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W. West\nPhys. Rev. B 79, 161308 (2009)\n[54] N. Romero Kalmanovitz, A. A. Bykov, Sergey Vitkalov,\nand A. I. Toropov Phys. Rev. B 78, 085306 (2008)\n[55] A. B. Fowler, F. F. Fang, W. E. Howard, and P. J. Stiles\nPhys. Rev. Lett. 16,901 (1966), V.T. Dolgopolov A. A.\nShashkin, S. I. Dorozhkin, and E. A. Vyrodov JETP 62,\n1219 (1985), M. G. Blyumina it et al. JETP Lett. 44, 331\n(1986); K. Hirakawa and H. Sakaki, Appl. Phys. Lett. 49,\n889 (1986); S. J. Manion et al.Phys. Rev. B 35, 9203\n(1987); A. M. Kreschuk et al.Solid State Commun. 65,\n1198 (1988); M. J. Barlow et al., Solid State Electron.\n31, 501 (1988); D. R. Leadley it et al. Semicond. Sci.\nTechnol. 4879 (1989); Y. Ma et al, Phys. Rev B 43,\n9033 (1991); R. Fletcher et alPhys. Rev. B 45, 6659\n(1992); C. Prasad et al.Semicond. Sci. Technol. 19, S60\n(2004); G. Stoger et al.Semicond. Sci. Technol. 9, 765\n(1994); M. Cankurtaran et al.Tr. J. of Physics, 23565\n(1999); Y. S. Gui et al.Phys Rev. B 70, 195328 (2004).\n[56] The breakdown of the Hall effect, which occurs at\nstronger electric fields at minima of the quantum oscilla-\ntions is beyond the scope of the paper. M. E. Cage, R. F.\nDziuba,B. F. Field,E. R. Williams, S. M. Girvin, A. C.\nGossard, D. C. Tsui, and R. J. Wagner, Phys. Rev. Lett.\n51, 1374 (1983); G. Ebert, K.vonKlitzing, K. Ploog, and\nG. Weimann, J. Phys. C 16, 5441(1983); M. I. Dyakonov,\nSolid State Commun. 78, 817 (1991).\n[57] D. Shoenberg ”Magnetic oscillations in metals”, Cam-\nbridge University Press (1984)\n[58] T. Ando, A. B. Fowler, and F. Stern, Rev. of Mod. Phys.\nB54, 437 (1982).\n[59] V.T. Dolgopolov A. A. Shashkin, S. I. Dorozhkin, and E.16\nA. Vyrodov JETP 62, 1219 (1985).\n[60] N. J. Appleyard, J. T. Nicholls, M. Y. Simmons, W. R.\nTribe, and M. Pepper, Phys. Rev. Lett. 81, 3491 (1998).\n[61] E. Chow, H.P. Wei, S. M. Girvin and M. Shayegan Phys.\nRev. Lett. 77, 1143 (1996)\n[62] K. J. Friedland, R. Hey, H. Kostial, R. Klann, and K.\nPloog, Phys. Rev. Lett. 77, 4616 (1996).\n[63] J. M. Ziman ”Principles of the theory of solids” Cam-\nbridge at the University Press, (1972)\n[64] T.AndoandY.Uemura, J.Phys.Soc.Jpn. 36, 959(1976)\n[65] M. E. Raikh and T. V. Shahbazyan, Phys. Rev. B 47,\n1522 (1993)\n[66] X. C. Xie, Q. P. Li, and S. Das Sarma Phys. Rev. B\n42,7132 (1990)\n[67] A. Endo, and Y. Iye, Journal of Physical Society of\nJapan,77, 064713 (2008).\n[68] N. Romero, S. McHugh, M. P. Sarachik, S. A. Vitkalov,\nand A. A. Bykov Phys. Rev. B 78, 153311 (2008)\n[69] In classically strong magnetic field ( ωcτtr≫1) the elec-\ntric field Eis almost perpendicular to the current Idc.The field is determined from relation E=Idc/(Rxy·d),\nwhereRxyis Hall resistance and dis width of the sam-\nple. In the experiments the Hall resistance demonstrated\nnegligibly small variations with the dcbias.\n[70] A. D. Mirlin, J Wilke, F. Evers, D. G. Pollyakov, and P.\nWolfle Phys. Rev. Lett 83,2801 (1999).\n[71] M. M. Fogler, A. Yu. Dobin, V. I. Perel, and B. I.\nShklovskii Phys. Rev. B 56, 6823 - 6838 (1997).\n[72] A. V. Chaplik, Sov. Phys. JETP 33, 997 (1971).\n[73] Gabriele F. Giuliani and John J. Quinn Phys. Rev. B 26,\n4421 (1982)\n[74] S. A. Vitkalov, unpublished.\n[75] H. L. Stormer, L. N. Pfeiffer, K. W. Baldwin, and K. W.\nWest Phys. Rev. B bf 41, R1278 (1990).\n[76] P. J. Price J. Appl. Phys. 53, 6863 (1982)\n[77] A. Sergeev, M. Yu. Reizer, V. Mitin, Phys. Rev. Lett.\n94, 136602, (2005).\n[78] C. Jasiukiewicz and V. Karpus, Semicond. Sci. technol.\n11, 1777 (1996)." }, { "title": "0907.2598v1.Transport_properties_and_the_anisotropy_of_Ba__1_x_K_xFe_2As_2_single_crystals_in_normal_and_superconducting_states.pdf", "content": "Transport properties and the anisotropy of Ba 1-xKxFe2As2 single crystals \nin normal and superconducting states. \n \n \nV.N.Zverev1, A.V.Korobenko1,2, G.L.Sun3, D.L.Sun3, \nC.T.Lin3, and A.V.Boris3,4 \n \n1) Institute of Solid State Physics, Russian Academy of Science s, Chernogolovka, \nMoscow region, 142432 Russia \n2) Moscow Institute of Physics and Technology, Dolgoprudny, Moscow Region, \nRussia \n3) Max-Planck -Institut fűr Festkörperforschung, Heisenbergstraße 1, 70569 \nStuttgart, Germany \n4) Department of Physics, Loughborough University, Loughborough, LE11 \n3TU, United Kingdom \n \nThe transport and superconducting properties of Ba 1-xKxFe2As2 single cryst als with Tc ≈ \n31 K were studied. Both in -plane and out -of plane resistivity was measured by modified \nMontgomery method. The in -plane resistivity for all studied samples, obtained in the course of \nthe same synthesis, is almost the same, unlike to the out -of plane resistivity, which differ \nconsiderably. We have found that the resistivity anisotropy =c /ab is almost temperature \nindependent and lies in the range 10 -30 for different samples. This, probably, indicates on the \nextrinsic nature of high out -of-plane resistivity, which may appear due to the presence of the flat \ndefects along Fe -As layers in the samples. This statement is supported by comparatively small \neffective mass anisotropy, obtained from the upper critical field measurements, and from the \nobservation of the so -called “Friedel transition”, which indicates on the existence of some \ndisorder in the samples in c -direction. \n \nAfter the discovery of high -temperature superconductivity in the iron \narsenides [1,2], both experimental and theoretical act ivity were directed on the \nstudy of the band structure, transport properties and the pairing symmetry in the \nsuperconducting state. Despite the intensive studies, many important physical \nissues concerning the properties of these new materials are still di scussed \ncontroversially. In particular, this is true for such an important parameter as the \nanisotropy. The high anisotropy was expected according to band structure \ncalculations [3] and was supported by the experiments in non -superconducting \nBaFe 2As2 [4], SrFe 2As2 [5] and superconducting electron -doped BaFe 2-xCoxAs2 [6], \nwhere the out -of-plane c to in-plane ab resistivity ratio =c /ab was found to be \nabout 100, covering the range between 21 [5] and 150 [4]. Recently the anisotropy \nwas measured in the s amples of pristine AFe2As2 (A=Ca, Sr, Ba) [7] and Co -\nsubstituted BaFe 2As2 [8] using the Montgomery method and the ratio c /ab \nproved to be well below 10. This result is in agreement with the measurements of \nthe upper critical field H c2(0) anisotropy [8], taking into account that this \nanisotropy has to be equal to about 1/2. Such a huge discrepancy in c /ab values, \nobtained by different groups, is still unclear. One has to take into account that the \nanisotropy measurements are often complicated and can contain considerable error when as -grown samples are so thin that the out -of-plane component is hard to \nmeasure. \nIn this paper we have studied the transport properties and the anisotropy of \nhole-doped superconducting Ba 1-xKxFe2As2 single crystals with Tc ≈ 31 K, which, \nunlike to the parent compounds, do not have the anomalies in (T) dependence due \nto the structural phase transition. Recent studies have demonstrated that the slightly \nunderdoped Ba 1-xKxFe2As2 samples preserve microscopically the tetragonal \nsymmetry down to the lowest temperatures, while showing a phase -separated \nmagnetic order below ~ 70 K [9,10]. \nSingle crystals of Ba 1-xKxFe2As2 were grown using Sn as flux in a zirconia \ncrucible sealed in a quartz ampoule filled with Ar. A mixture of Ba, K, Fe, As, and \nSn in a weight ratio of (Ba 1-xKxFe2As2):Sn =1:85 was heated in a box furnace up to \n850 C and kept constant for 2 –4 hours to soak the sample in a homogeneous melt. \nAn extra of K with 30 wt% was added into the mixtures to compensate the loss \nfrom high melting temperature. A cooling rate of 3 C/h was then applied to \ndecrease the temperature to 550 C, and the grown crystals were then decanted \nfrom the flux. The growth method and the crystal structure and composition \ncharacterization are described in detail in Ref. [11]. The samples grown at very the \nsame conditions have been extensively studied by muon -spin rotation [9] and \nangle -resolved photoemission spectroscopy [12, 13]. \nSample resistance was measured using a four -probe technique by a Lock -in \ndetector at 20Hz alternating current in the temperature range (300 -4.2) K. We have \ntested fore samples obtained in the course of the same synthesis. For three of them \nboth in -plane and out -of-plane resistivity tensor components were measured using \nmodifie d Montgomery method [14]. This method takes into account the real \ncontact positions on the sample surface (see Fig.1) unlike the traditional \nMontgomery method [15], for which the contacts have to be placed on the corners \nof rectangular plate. The samples w ere the plates with about 0.60x0.30x0.15 mm3 \ncharacteristic sizes. Two contacts were prepared to each of two opposite sample \nsurfaces, oriented along (ab) plane, with conducting silver paste. In the experiment \nwe could measure either R || = V 12/J34 or R= V 24/J13 when the current J was run \nmainly parallel or perpendicular to (ab) plane respectively (Fig.1). From R || and R \nvalues the resistivities c and ab were calculated. The accuracy of the calculated \nresistivity values is about 30% and it is determi ned mainly by the non -ideal shape \nof the samples. The control measurements were carried out on the thin (about 0.03 \nmm) sample using standard 4 -probe technique. In this experiment in -plane \nresisitivity tensor component was obtained directly from the sample resistance. On \nthe same sample the Hall measurements and the measurements of the upper critical \nfield were also carried out. According to Hall measurements our samples have p -\ntype conductivity with carrier concentration about 2·1021 cm-3. \nTypical R ||(T) and R(T) dependences are shown in Fig.1 for one of the \nsamples. The results of resistivity measurements ab(T) for all samples are \nsummarized in Fig.2. The curves ab(T) are convex with the tendency to saturate at \nhigh temperature that is consistent with the results of the previous reports for hole -doped Ba 1-xKxFe2As2 [2, 6], whereas ab(T) of electron -doped BaFe 2-xCoxAs2 \nreveals roughly a linear behavior [8]. The saturation could be brought for the \nproximity to the so -called Ioffe -Regel limit. At T 30 K the mean free path value \nfor our samples l3·10-7 cm is considerably greater than the lattice parameters ( a = \n3.9·10-8 cm, c = 1.3·10-7 [2]), but l goes down when the temperature increases and \nnear the room temperature these parameters could become compar able. \nAlternatively, the saturation could be explained in the frame of a two -band model \n[16]. In the case of two bands with different parameters the conductivity of one \nband can “shunt” the conductivity of another, leading to the saturation of the total \nresistance at high temperature. This scenario can also explain the qualitative \ndifference in the shape of ab(T) between electron - and hole-doped systems by a \nprofound difference in their electronic structure [17]. \nThe resistivity anisotropy c/ab, which is almost temperature independent, is \npresented in the Insert to Fig.2. We would like to emphasize that i n-plane \nresistivity values for all studied samples proved to be close to each other both for \nMontgomery and 4 -probe measurements. This is not true for the out -of-plane \nresistivity. One can see that the c/ab values differ considerably for three studied \nsamples and the difference is much higher than the experimental error. In contrast \nto the dc measurements, the anisotropy ratio extrapolated from our recent far -\ninfrared conductivity measurements is lower by a factor of 2 -3 even for the highly \nconductive #3 sample (blue curve in the inset in Fig. 2) [18]. This result \ndemonstrates that, unlike to ab, which is almost the same for all our samples, c \nvalue is considerably differ and, probably, has the extrinsic origin. This \nphenomenon is well known for the layered systems (graphite, layered \nsemiconductors, etc.) in which the out -of-plane conductivit y is limited by the \npresence of the flat defects. \n The superconducting transition temperature T c, determined from R ||(T) at the \nmidpoint between 10% and 90% transition level, lies in the interval (29.5 -30.5) K \nfor our samples. Interestingly, T c value prov ed to be slightly dependent of the \ncurrent orientation. This effect is demonstrated in Fig.3. As seen from the Figure, \nfor J|| c Tc value is about 1K smaller than for J||(ab) . This result does not depend on \nthe current value and, hence, has nothing to do w ith the electron system \noverheating which could take place because of the difference in the power \ndissipation for longitudinal and transversal geometries. The same, but more \npronounced effect was observed earlier in the layered high -Tc superconductors [19, \n20]. The possible physical reason for the different T c values obtained from \nlongitudinal and transversal resistance measurements is a layer decoupling \ntransition, the so -called \"Friedel transition\" [21], which occurs for a disordered \nlayer array [22]. \n The influence of the magnetic field on the superconducting transition for B|| c \nis shown in Fig.4. One can see that the transition shifts to low temperature region \nwithout considerable broadening. For B||(ab) the behavior is similar, but the effect \nof magnet ic field is more feeble. The temperature dependence of the upper critical \nfield H c2(T), obtained from these data, are shown in Fig.5. The slopes dH c2/dT for B||(ab) and B|| c near T c are equal to -12 T/K and -5.0 T/K respectively. Using the \nWerthammer -Helfand-Hohenberg formula [23] H c2(0)=-0.69(d H c2/dT| Tc )Tc one \ngets Hab\nc2(0)=248 kOe and Hc\nc2(0)=105.6 kOe for T c=30 K and the critical field \nanisotropy 2.4. This last value gives the effective mass anisotropy about 5.8. We \nrealize that the effective masses in anisotropic Ginzburg -Landau model are not the \nsame that the masses, which describe the normal state transport properties. \nNevertheless, the fact that the resistivity anisotropy is considerably greater than \nthat obtained from the critical field measureme nts support our statement about the \nextrinsic origin of the out -of-plane resistivity. \n In conclusion, we have measured the anisotropy of transport and \nsuperconducting properties of Ba 1-xKxFe2As2 single crystals. We have found that \nthe in -plane resistivity for all studied samples, obtained in the course of the same \nsynthesis, is almost the same, unlike to the out -of plane resistivity, which differ \nconsiderably. This, probably, indicates on the presence of flat defects parallel to \nFe-As layers in the samples . This statement is supported by the comparatively \nsmall effective mass anisotropy, obtained from the upper critical field \nmeasurements, and from the observation of the so -called “Friedel transition”, \nwhich indicates on the existence of some disorder in c-direction. \n Acknowledgment: We thank A.A.Golubov and O.V.Dolgov for valuable \ndiscussions and suggestions. \n \nReferences \n \n[1] Y. Kamihara et al., J. Am. Chem. Soc. 130, 3296 (2008). \n[2] M. Rotter, M. Tegel, and D. Johrendt, Phys. Rev. Lett. 101, 107006 (2 008). \n[3] S. Lebegue, Phys. Rev. B75, 035110 (2007); Fengjie Ma and Zhong -Yi Lu, \nPhys. Rev. B78, 033111 (2008). \n[4] X.F,Wang, T.Wu, G.Wu, H.Chen, Y.L.Xie, J.J.Ying, Y,J,Yan, R.H.Liu, and \nX.H.Chen, Cond -Mat. 0806.2452. \n[5] G.F.Chen, Z.Li, J.Dong, G.Li, W.Z .Hu, X.D.Zhang, X.H.Song, P.Zheng, \nN.L.Wang, and J.L.Luo, Phys. Rev. B 78, 224512 (2008). \n[6] X.F,Wang, T.Wu, G.Wu, R.H.Liu, H.Chen, Y.L.Xie, and X.H.Chen, Cond -\nMat. 0903.0820. \n[7] M.A.Tanatar, N.Ni, G.D.Samolyuk, S.L.Bud’ko, P.C.Canfield, and \nR.Prozorov , Phys. Rev. B 79, 134528 (2009). \n[8] M.A.Tanatar, N.Ni, C.Martin, R.T.Gordon, H.Kim, V.G.Kogan, \nG.D.Samolyuk, S.L.Bud’ko, P.C.Canfield, and R.Prozorov, Phys. Rev. B 79, \n094507 (2009). \n[9] Park J.T. , D.S. Inosov, Ch. Niedermayer, G.L. Sun, D. Haug, N.B. \nChristensen, R. Dinnebier, A.V. Boris, A.J. Drew, L. Schulz, T. Shapoval, U. \nWolff, V. Neu, Xiaoping Yang, C.T. Lin, B. Keimer, V. Hinkov, Phys. Rev. Lett. \n102, 117006 (2009). \n[10] Inosov D.S., A. Leineweber, Xiaoping Yang, J.T. Park, N.B. Christensen, R. \nDinnebier, G.L. Sun, Ch. Niedermayer, D. Haug, P.W. Stephens, J. Stahn, O. Khvostikova, C. T. Lin, O.K. Andersen, B. Keimer, and V. Hinkov, Phys. Rev. B \n79, 224503 (2009). \n[11] G. L. Sun, D. L. Sun, M. Konuma, P. Popovich, A. Boris, J. B. Peng, K. -Y. \nChoi, P. Lemmens and C. T. Lin, Cond -Mat. 0901.2728. \n[12] Zabolotnyy V.B. , D.S. Inosov, D.V. Evtushinsky, A. Koitzsch, A.A. \nKordyuk, G.L. Sun, J.T. Park, D. Haug, V. Hinkov, A.V. Boris, C.T. Lin, M. \nKnupfer, A.N. Yaresko, B. Büchner, A. Varykhalov, R. Follath , S.V. Borisenko, \nNature 457, 569 (2009). \n[13] Evtushinsky D.V. , D.S. Inosov, V.B. Zabolotnyy, A.Koitzsch, M. Knupfer, \nB. Büchner, G.L. Sun, V. Hinkov, A.V. Boris, C.T. Lin, B. Keimer, A. \nVarykhalov, A.A. Kordyuk, S.V. Borisenko, Phys. Rev. B 79, 054517( 2009), \n[14] L. I.Buravov, Zh. Tekh. Fiz. 59(4), 138 (1989) [Sov.Phys. Tech. Phys. 34, 464 \n(1989)]. \n[15] H.C. Montgomery, J. Appl. Phys., 42, 2971 (1971). \n[16] O. V. Dolgov, private communications. \n[17] Y. Sekiba, T. Sato, K. Nakayama, K. Terashima, P. Rich ard, J. H. Bowen, H. \nDing, Y -M. Xu, L. J. Li, G. H. Cao, Z. -A. Xu, and T. Takahashi, New J. Phys.11, \n025020 (2009) \n[18] A.V. Boris et al., unpublished. \n[19] V. L. Arbuzov, O. M. Bakunin, A. E. Davletshin, S. M. Klotsmanet, M. B. \nKosmyna, JETP Lett. 48, 44 0 (1988) \n[20] V.N.Zverev, D.V.Shovkun, and I.G.Naumenko, JETP Lett. 68, 332 (1998). \n[21] J. Friedel, J. Phys. (Paris) 49, 1561 (1988). \n[22] M. Dzierzava, M. Zamora, D. Baeriswyl, and X. Bagnoud, Phys. Rev. Lett. \n77, 3897 (1996) \n[23] N.R.Werthamer, E.Helf and, and P.C.Hohenberg, Phys. Rev. 147, 295 (1966). \n \n \n 0 100 200 3000.00.30.60.91.2\n0102030\nRV12/J34RV24/J13 R (mOhm)\nT (K)1 2\n3 4\n \n R (mOhm) \n \n \nFig.1.Temperature dependences R ||(T) and R (T). The contact positions for \nMontgomery measurements are shown in the Insert. \n 0 50 100 150 200 250 3000.00.10.20.30.4\n0 50 100 150 200 2500102030\n \n #1 (Montg.)\n #2 (Montg.)\n #3 (Montg.)\n #4 (4-probe)ab (m·cm)\nT(K) T(K)\n c /ab \n \nFig.2. The resu lts of the resistivity ab(T) measurements. The resistivity anisotropy \nis shown in the Insert. \n 26 27 28 29 30 31 32 33 3402468\n0.000.050.100.150.20 R(m)\nT (K)\n R||(m)\nRR \n \nFig.3. The influence of the current direction on the superconducting transition \ntemperature. \n \n 26 28 30 320.000.010.020.03\n R (m)\nT (K)0H=0 7TH (ab) \n \nFig.4. The influence of magnetic fi eld on the superconducting transition for B|| c. \n \n 27.5 28.0 28.5 29.0 29.5 30.0012345678\nHc\n 0Hc2 (T)\nT (K)Hab \n \nFig.5. The temperature dependence of the upper critical field H c2(T). \n \n " }, { "title": "2203.09269v1.Quasi_solid_state_electrolyte_for_ultra_high_safety_and_cycle_stability_battery.pdf", "content": "Quasi -solid -state electrolyte for ultra -high safety and cycle stability battery \n \nYuewang Yang, Sijing Liu, Baoling Huang \n \nDepartment of Mechanical and Aerospace Engineering, The Hong Kong University of Science and \nTechnology, Clear Water Bay, Kowloon, Hong Kong SAR, China. \n \n \nAbstract: \nAll-solid-state lithium batteries (ASSLB) have been regarded as the most promising candi date to \nachieve the next generation energy storage with high energy and high safety. However, some \nbottlenecks, including high interfacial resistance, bad electrochemical stability , and low conductivity, \nhave hindered its further development. Here, we developed a Pyr 13FSI/LiFSI -based gel electrolyte and \nused it in the LFP/LTO full battery system to achieve a lithium -ion battery with high safety and cycle \nstability . The presence of ionic liquid in the electrolyte reduce s the crystallinity of PVDF -HFP polymer \nmatrix , increase s the ion conductivity of the electrolyte , and greatly improve s the electr ode-electrolyte \ninterface contact . These advantages enable the battery to work at room temperature and reach a specific \ncapacity of 1 23mAh/g at the current of 1C. The slightly change in interfacial resistances between the \ngel electrolyte and electrodes with the increase of the cycle number s is confirmed through \nelectrochemical impedance spectroscopy . The high electrochemical stability of the electrolyte in the \nLFP/LTO system makes the battery exhibit good cycle stability, and the battery maintains 80% of its \ninitial capacity after 2000 cycles at the current of 1C . In addition, benefitting from the excellent \nproperties of ionic liquids, such as non-flammability, negligible vapour pressure , and high conductivity , \nthe obtained gel electrolyte based LFP/LTO pouch battery exhibits high safety and cycle stability . Introduction \nLithium -ion batteries (LIBs) with the advantages of high energy and cycle stability have occupied an \nabsolute dominant position in the field of ener gy storage applications, such as portable devices, electric \nvehicles , since its commercializati on by Sony Corporation in 19911. Current commercial LIBs use \norganic liquid electrolytes (lithium salts dissolved in carbonate solvents), which have significant \nadvantages of high conductivity and excellent wettability on electrodes surfaces2. However, high \ntemperature caused by short circuit, overchargin g, abuse, or other failure mechanism will decompose \norganic electrolyte into combustible gas , including CO, CH 4, C2H4, C2H6, C3H6 and so on , which cause \nsecurity issues (fire and explosion)3. In addition, thermally induced degradation , including heat \ngeneration and gas evolution, is serious for commercial graphite anode at high temperature due to \nbreakdown of the solid electrolyte interphase (SEI) and reaction between the lithiated carbon and \nelectrolyte4–6. Safety accidents caused by batter y failure s in portable devices and electric vehicles have \nbeen happening all the time , seriously threatening people’s safety and hindering further expansion of \nlarge -scale implication for LIBs. Therefore, t he development of a safer LIBs system is urgent . \nSolid -state polymer electrolyte s with superior features such as high safety, no leakage, non-\nflammability, good flexibility, and thermal stability7 have received a huge of attention in achieving \nhigh-safety LIBs since the first PEO/Li based solid polymer electrolyte found in 1978 by Armand8. \nThough the advantages mentioned above, the commerc ialization of polymer electrolyte is still out of \nreach due to the low conductivity and high electrolyte -electrode interface resistance. Therefore, gel \npolymer electrolyte s were developed using liquid electrolyte s as the plasticizers to increase ion \nconductivity and improve interface contact9. Currently, PEO10, PMMA11, PAN12, PVDF13, PVDF -\nHFP14 are commonly used polymer matrix for gel electrolyte s. Among them, PVDF -HFP with high \ndielectric constant (=8.4) , good mechanical properties, and good liquid uptake ability has become a \npopular candidate14. On the other hand, t he commonly used plasticizers are flammable organic \nelectrolyte s, which make gel electrolytes still unsafe to a cert ain degree. To completely solve the \npotential safety hazards, while maintaining good conductivity and improved interface contact, ionic liquid s (ILs) -based gel electrolytes were developed15 due to the outstanding characteristics (negligible \nvolatility, non -flammability, thermal and electrochemical stability)16 of ILs . \nThe ILs are entirely composed of ions and maintain a liquid state at room temperature . The properties \nof the ILs are easy to be adjusted through changing the species of the anions and cations . Room \ntemperature ionic liquids (ILs) have attracted extensive research as promising electrolyte s for batteries \nand super capacitors due to their high safety and high stability . Commo n cations include pyridinium \n(Py), imidazolim (Im), pyrrolidinium (P yr), ammonium17. Among them, Im based ionic liquid s have \nthe highest conductivity but are not chemical ly stable enough compare d with others due to the double \nΠ bond in the structure , while Pyr based ionic liquids are chemically stable and ha ve acceptable \nconductivity due to the low viscosity. Common anions , including PF6-, TFSI-, FSI-, BF 4-, and BOB-, are \nthe same as used in lithium salts and its properties are consistent with those shown in corresponding \nlithium salts . Commercial LiPF 6 is thermally instable and moisture sensitive, decompos ing to produce \nPF5 through LiPF 6(s)⇒LiF (s) + PF 5(g), which in turn reacts with solvents to gen erate highly toxic \nsubstances17. In contrast, LiFSI exhibits stability towards hydrolysis and high temperature . In addition, \nits conductivity is higher than other salts due to its small size. The order of conductivity of different \nsalts follow s LiFSI>LiTFSI>LiC lO4>LiBF 4 in EC/EMC solution18,19. \nIn addition to the safety issues caused by electrolyte s, the electrodes also need to be taken into \nconsidera tion. Commercial graphite anode has low operating voltage (below 0 V vs Li+/Li) , which \nresults in no electrolyte that can be stabilized with the graphite anode and inevitable interfacial reaction. \nThe high activity of the graphite anode brings about the continuous growth of solid electrolyte \ninterphase (SEI) during the cycling process and safety problem s of lithium dendrite growth20. The \nformation of SEI consumes the lithium and reduce s the capacity and coulombic efficiency of the battery. \nWhat’s more, continuous growth of dendrites will pierce the separator, causing a short circuit and \neven tually developing into a fire21. To overcome the mentioned problems of the anode materials, various \nanode materials with improved specific capacities and stability have been proposed for lithium -ion \nbatteries, such as Si -based22, Sn-based23, hard carbons24 and Li 4Ti5O12 (LTO)25. Among them, LTO has \nbeen regarded as th e most advantageous competitor , because it has negligible volume change during lithiation and delithiation , excellent cycle reversibility, and none solid -electrolyte -interphase (SEI) due \nto the high plateaus (1.55V vs Li/Li+), which make the LTO anode s can guarantee high er safety and \ncycle stability than graphite anode s25. Though mentioned advantages, its high working plateaus renders \nthe overall energy den sity low in the full battery when it is used as anode s. \nHold ing the goal of thoroughly realizing battery safety, we de signed a full battery with PVDF -\nHFP/Pyr13FSI/LiFSI based gel as electrolytes, LTO as anode s, and LFP as cathode s. The Pyr 13FSI/LiFSI \nsolution was chosen to form gel polymer electrolyte (GPE) with PVDF -HFP for good chemical stability, \nthermal stability , and high ion conductivity . The obtained GPE possesses high conductivity ( 3.3 mS/cm) \nat room temperature , wide electrochemical window , and good incombustibility . And the demoed full \nbattery exhibits acceptable rate performance and outstanding cycle stability at room temperature. \nAdditionally , the full battery shows super security due to the high safety of electrolyte and LTO anode \nmaterials . \n \n \n \n \n \n \n \n \n \n Experimental \nMaterials \nPoly(vinylidenefluoride -co-hexafluoropropylene) (PVDF -HFP, Sigma Aldrich, Mw = 4 ×105), \npoly(vinylidene fluoride) (PVDF, Kelude, Mw =1×105), lithium titanate (LTO, Kelude, 459.1448 \ng/mol), lithium iron phosphate (LFP, Kelude, 157.76 g/mol), lithium bis(fluorosulfonyl) imide (LiFSI, \nKelude, 187 g/mol), lithium bis(trifluoromethanesulfonyl) imide (LiTFSI , Kelude, 287 g/mol ), carbon \nblack (CB, Kelude, 12g/mol), N -propyl -N-methylpyrrolidinium bis(fluoro sulfonyl) imide (Pyr 13FSI, \nChengjie, 308.37g/mol ), N-butyl-N-methylpyrrolidinium bis(trifluoromethanesulfonyl) imide \n(Pyr 14TFSI, Chengjie, 422 g/mol). All chemicals were used as received. \nSynthesis and characterization of the GPE \nThe GPE was synthesized by a simple casting method. First ly, PVDF -HFP w as dissolved in acetone \n(concentration: 0.1 g/ml ) followed by stirring for 3 hours to form a homogenous and transparent solution. \nMeanwhile, LiFSI was dissolved in Pyr 13FSI to ge t a liquid electrolyte with the concentration of 1 mol/L \nand store d in the glove box . Then, the liquid electrolyte was added into the PVDF -HFP solution with \nthe mass ratio between the liquid electroly te and PVDF -HFP of 3:1. After 3 hours of stirring , casting \nthe solution to a petri -dish and heated for 2 hours at 50oC to form a transparent membrane. Finally, \nbaked the membrane under vacuum (<0.1 MP) at 80 oC for ten hours to remove the remaining water \nand acetone. Then q uickly transfer ed to the glove box for fu rther use. The control group (traditional \norganic electrolyte -based gel electrolyte ) was prepared by the phase -separation method. Firstly, PVDF -\nHFP, glycerol, and DMF were mixed at the weight ratio of 1:2:10. Then, evaporate d the DMF under \nvacuum at 80 oC for 12 hours to get the preliminary porous membrane . The membrane was further \nimmersed into DI water to extract glycerol to form micropores. Finally, the gel electrolyte was obtained \nby soaking the membrane in the electrolyte of 1 M LiPF 6 in EC/EMC. \nThermal stability of the GPE was studied by Thermogravimetric Analysis (TGA) in Nitrogen up to 600 \nwith a heating rate of 10 oC/min using a Netzsch STA 409. FTIR spectra for GPE were recorded on a Perkin Elmer Spectrum 100 spectrometer in the 650 –1650 cm-1 range using the Attenuated Total \nReflectance (ATR) accessory with a resolution of 2 cm-1. \nElectrochemical measurements \nThe ion conductivity of the gel electrolyte was measure d through electrochemical impedance \nspectroscopy (EIS) test using symmetric stainless steel (SS)/GPES/(SS) cell, and the frequency range \nfrom 0.1 Hz to 1MHz with an amplitude of 10 mV . The electrochemical window of the gel electrolyte \nwas measured through linear sweep voltammetry (LSV) method u sing Metrohm Autolab \nPGSTAT302N electrochemical workstation . Stainless steel used as working electrode, lithium foil used \nas reference and counter electrode. Two same coin cells were assembled using same electrolyte s. One \nscanned from open circuit voltage to 6 V, and the other scan ned from open circuit voltage to -1 V. \nCyclic voltammograms (CV) of the full battery were recorded using Autolab workstation at the scan \nrange of 0.8 -2.8 V with different scan rate . Electrochemical impedance spectrum (EIS) analysis of the \nfull battery was tested in the frequency range of 0.1-105Hz with a 10mV perturbation. \nBattery assembl y and test \nCathode or anode active material s, carbon black , and poly (vinylidene fluoride) binder were dispersed \nin NMP solution in a weight ratio of 92:3:5 (wt.%) . The raw material s were then uniformly mixed using \nplanetary ball milling with the speed of 300 r/min for 2 hours. The obtained slurr ies were coated on the \ncarbon coated Al foil current collector and dried overnight in the vacuum oven at 393 K (100 oC) to get \nthe electrode s. Then the electrode s were compressed using a roll squeezer to increase the compaction \ndensity and the contact between acti ve material s and the foil. The mass loading s of cathode and anode \nare around 5.5 mg/cm2 and 4.8 mg/cm2, respectively , and the anode is the limited electrode . The pouch \ncell batteries were assembled in a glove box (water content<0.1 ppm, oxygen<0.1 ppm) using GPEs , \nLTO anode and LFP cathode. All the batteries were tested on xinwei cell test system and cycled between \n0.8-2.8 V for different current s at room temperature. \n Results and discussion \nThe GPE s with different mass ratio of IL solution and PVDF -HFP were synthesized by a simple casting \nmethod (Fig . 1a). Due to the good miscibility between PVDF -HFP and Pyr 13FSI, the GPE exhibit s high \noptical transmittance (Fig . 1b), which reach es a high value of 90% in the visible wavelength region \nfrom 400 to 800 nm. And t he photograph of the transparent GPE is presented in the inset of Fig. 1c and \nthe HKUST logo underneath the GPE c an be clearly observed. The ionic liquid act s as the plasticizer \nin the gel electrolyte and turn s crystalline dominated polymer into amorphous dominated polymer, \nwhich facilitates the transport of ions. The FTIR results confirm transformation from \nFig. 1 (a) S chematic of the process for fabrication of GPEs. (b) C ompar ison of the ion conductiv ity of \nFSI--based and TFSI--based GPE s in different mass ratios. (c) Transmittance test of the GPE in the \nvisible range. \n \na \nb \n c crystalline (α phase) to amorphous (β phase) for PVDF -HFP after adding ionic liquid solution (Fig. S1 \nand Fig. S2 ). In addition, low viscos ity of Pyr 13FSI and small size of LiFSI make the final GPE have \nhigh conductivity, reach ing 3.3 mS/cm at the mass ratio of 3:1, as shown in Fig. 2b and Fig. S3. We \nmake a comparison with the commonly used TFSI- anion ILs and f ind that the ion conductivity of FSI- \nbased are always higher than the that o f TFSI- of the same proportion. \nFig. 2 (a) Flammability of the ionic liquid based GPE . (b) Traditional organic electrolyte based gel \nelectrolyte. (c) Volatility comparison, after storing the pouch cell batter ies at 80oC for 10 hours (left: \nGPE -based battery, right: organic electrolyte -based battery) . (d) C ycle stability test for GPE -based \npouch cell battery before and after 80 oC storage for 10 hours. (e) Cycle stability test for organic \nelectrolyte -based pouch cell battery before and after 80 oC storage for 10 hours. \n \na \nc \nb \nd \n e To characterize the high safety of GPE, the flammability test s of the electrolytes were conducted . When \nexposing to fire, the GPE does not catch fire at all (Fig. 2a). However, the traditional organic based gel \nelectrolyte is still burning even after we remove d the lighter (Fig. 2b). Thus, highly improving safety is \nachieved using GPE compared with traditional organic electrolyte -based gel electrolyte s. This \nflammability test confirm s the non -flammability of the GPE and provided a basis for the realization of \na safe battery. In addition, the GPE exhibit s excellent n on-volatility, which further ensures high safety. \nAfter baking the pouch cell battery at a high temperature of 80 oC for 10 hours, the appearance of the \nGPE based battery remains the same as the initial state (Fig. 3c). However, the traditional organic \nelectrolyte -based battery swells due to the volatilization of the organic electrolytes inside . Besides the \nobvious difference in appearance, th ere is also a significant difference in the cycle stability of the \nbatter ies. The GPE -based pouch cell battery exhibit s the same specific capacity (around 120 mAh/g) \nand cycle stability before and after 80 oC storage (Fig. 3e). In contrast, the electrodes have been peeled \noff due to the volatilization of the electrolyte for the traditional organic electrolyte -based battery and \nthe battery fails completely after 80oC storage (Fig. 3f) . The specific capacity (120 mAh/g) of the GPE -\nbased pouch cell battery is slightly smaller than the specific capacity (135 mAh/g) of the traditional \norganic electrolyte -based battery, because the GPE has lower conductivity and poor electrode -\nelectrolyte interface wettability compared with traditional organic electrolyte s. \nTo further confirm the thermal stability of the GPE, thermogravimetric analysis (TGA) w as performed \nfrom 30 oC to 600 oC at a heating rate of 10 oC/min under N 2 (Fig. 3b). The traditional organic electrolyte \nis easy to volatilize and lose 80 % of its weight before 150oC. However, t here is almost no weight loss \na \n b Fig. 3 (a) LSV test s of different mass ratios between ionic liquid and PVDF -HFP, the test range is from \n-1V to 6V. (b) TGA test s of organic electrolyte, PVDF -HFP, LiFSI in Pyr 13FSI, and GPE. \n \nbelow 200 oC for ion ic liquid solution , PVDF -HFP, and GPE due to the low vapour pressure of the \nionic liquid and the thorough removal of the cosolve nt (acetone) . The TGA results prove the high \nthermal stability of the GPE compared with the traditional organic electrolyte . The samples containing \nPyr 13FSI begins to slowly lose weight at 200oC and lose weight sharp ly above 300oC. The FSI- anion \ndecompose s at 183oC and the possible decomposition reaction is proposed by Huang and \nHollenkamp26,27. \nIn addition to considering the high safety of the electrolyte itself, the electrochemical stability of the \nelectrolyte when in contact with the electrode material s is also very critical. The electrochemical \nstabilities towards oxidation and reduction of the GPE are investigated by LSV as shown in Fig. 4b . \nThe GPE s with different ionic liquid content s show basically the same electrochemical stability. There \nis no obvious significant oxidation peak until 5 V, indicating that the obtained GPE s are \nelectrochemically stable up to 5 V (vs. Li/Li+). For the cathodic scan , a small peak can be observed at \naround 1.4 V vs. Li/Li+, which corresponds to the cathodic limiting potential. The wide electrochemical \nwindow, 1.4 -5 V vs. Li/Li+ cover s the working potential for LFP/LTO system (1.55 -3.5 V vs Li/Li+), \nindicating that the GPE is completely electrochemically stable to the LFP/LTO battery system . What’s \nmore, s ince the cathodic limiting potential of the GPE is smaller than that of the LTO , the \nelectrochemical -based SEI is not existed in this LFP/ GPE/ LTO system. The absence of the \nelectrochemical -based SEI means less lithium source consumption, high cycle stability , and high safety . To evaluate the performance of the GPE in the battery , an LFP/LTO pouch cell battery was assembled \nusing GPE , in which the weight ratio of ionic liquid solution and PVDF -HFP is 3 to 1. Batteries using \npolymer -based all-solid -state elect rolyte s cannot work at room temperature due to the low conductivity \nof the electrolytes and large electrolyte -electrode interfacial impedance , which greatly Fig. 4 (a) \nDischarge and charge profiles of the full batteries at different r ates. (b) Specific capacities of the full \nbattery at different rates. (c) EIS of GPE -based battery after different cycles . (d) EIS of traditional \norganic electrolyte -based battery after different cycles. (e) Cycling performance test at 1C for 2000 \ncycles. \na \n b \nc \ne \nd limits its practicability. The ionic liquid in the GPE leads to high conductivity (3.3 mS/cm) of the \nelectrolyte and improv es the electrolyte -electrode interface contact, making the battery can work well \nat room temperature. Fig. 4a show s the speci fic capacities of the GPE based full battery are 145, 123, \n76, and 49 mAh/g at the currents of 0.5C, 1C, 2C and 4C, respectively, at room temperature. The \nspecific capacity we measured at low current (0. 5C) is close to the theoretical specific capacity for the \nLFP/LTO full batter y, which is 170 mAh/g. The specific capacity is still acceptable at the current of 1C, \nreaching 123 mAh/g. In addition, the specific capacit y is measured again after running at high current \ndensity (4C) and it still reach es 143 mAh/g (0.2C) , which mean s that the battery ha s good stability and \nnegligible deterioration under high current. The gap between charging and discharging voltage platform \nobviously increases as the current increases due to the increased polarization (Fig. 4a ). This polarization \nis also verified in the cyclic voltammetry (CV) test, as shown in Fig S5 , the gap between anodic current \npeak and cathodic current peak increase s from 0.3 to 0.5 V as the scan rate increase s from 0.1 mV/s to \n0.5 mV/s. At low scan rate of 0.1 mV/min , the peaks at 2.04 V and 1.70 V correspond to the insertion \nand extraction of lithium ions from LTO. \nThe long cycle stability of the pouch cell full battery at room temperature is shown in Fig. 4e . The \nspecific capacity still reach es 98 mAh/g after 2000 cycles at 1C, which is the 80% of the initial specific \ncapacity (1 23 mAh/g). The outstanding capacity retention comes from the high electrochemical stability \nof the GPE and the good matching between the GPE and electrode materials. In addition, the Coulombic \nefficiency of every cycle is close to 100%, indicating the good reversibil ity at charging and discharging \nprocess. The electrochemical stability of the GPE in LFP/LTO full battery have been theoretically \nanalysed through LSV in the previous results. Here, the interfacial stability between the GPE and LTO, \nLFP electrodes is evaluated by the interfacial impedance analysis. Electrochemical impedance \nspectroscopy ( EIS) spectra of the full batter ies are investigated after different cycl es, and the EIS test is \nperformed at a frequency range of 105 and 10-1 HZ when the battery is fully discharged and standing \nfor half an hour to reach a stable open circuit potential. The comparison of EIS for the full batteries \nusing GPE and traditional organic electrolyte after different cycles are shown in Fig. 4c and Fig. 4d. \nThe inset s in Fig . 4c and Fig. 4d are the equivalent circuit model s used to fit the EIS data and the fitting results are given in Table S3 and Table S4 . The internal resistance (Rs, high frequency intercept with \nreal axis) is determined by the ionic resistance of the electrolyte, the intrinsic resistance of active \nmaterials, and the contact resistance between active material s and current collector s. The interfacial \nresistance (Rsei, high frequency semicircle ) is determined by the solid electrolyte interface between \nLTO and electrolyte s. The charge transfer resistance s for anode (Rcta, medium to low frequency \nsemicircle) and for cathode (Rctc , medium to low frequency semicircle) represent the kinetic resistance \nof the charge transfer at the boundary between electrodes and electrolyte s. The Rs of the GPE -based \nfull battery is slightly higher than traditional organic electrolyte -based one due to the lower conductivity \nof the GPE compared with liquid electrolyte s. The Rsei, Rct a, and Rct c of the GPE-based full battery \nchanges very little after 100, 500, 1000 , and 2000 cycles , which proves the good stability of the solid \nelectrolyte interface, charge transfer among the electrolyte and electrodes during the cycle (Table S3 ). \nIn contrast, the R sei and Rct of the organic electrolyte -based full battery change greatly, which means \nthe interfaces in the battery varies gre atly and the system is unstable (Table S4 ). Overall , the EIS test s \nconfirm the high stability of our GPE in the LFP/LTO system, and the GPE plays a decisive role in \nimproving cycle life and safety of the battery . \nFig. 5 (a) Flammability test of the GPE -based full battery . (b) Cutting test of the GPE -based full battery. \nTo verify the safety property of the full battery, we cut it into several pieces with scissors. During the \ncutting process, t here is no leakage or fire caused by short circuit, and the battery still can light the LED \nafter cutting (Fig. 5 b). What’ more, even after placing the fracture of the battery on the fire, the battery \nis still in good condition, without short circuit, fire, explosion or other dangerous situations, and the \na \n b LED work s normally (Fig. 5 a). The tests under these harsh conditions prove the superior safety of the \nGPE -based LFP/LTO full battery. \nConclusion \nIn summary, high safety and cycle stability battery system using Pyr 13FSI/LiFSI /PVDF -HFP in \nLFP/LTO is developed. The low viscosity ionic liquid (Pyr 13FSI) and small size lithium salt ( LiFSI ) in \nthe GPE reduce the interface impedance and greatly improve the ion mobility, which allows the battery \nto work at room temperature and deliver the specific capacity of 1 23 mAh /g (1C). Benefitting from the \nnon-flammability and negligible vapour pressure of the ionic liquid , the battery can still work normally \nafter being stored at 80 oC for ten hours. The wide electrochemical window of the GPE and the suitable \nworking voltage of the LTO anode allow the battery to exhibit outstanding cycle stability, maintaining \n80% of the initial capacity after 2000 cycles. Consequently, two major hazards faced by lithium batter ies, \nflammable liquid electrolyte s and the growth of lithium dendrites in anode, do not exist in our system \nand ultra -high safety is guaranteed. \n \n \n \n \n \n \n \n \n \n \n \n \n 1. Kim, T. H. et al. The current move of lithium ion batteries towards the next phase. Adv. \nEnergy Mater. 2, 860 –872 (2012). \n2. Guo, W. et al. Surface and Interface Modification of Electrode Materials for Lithium -Ion \nBatteries With Organic Liquid Electrolyte. Front. Energy Res. 8, 1–20 (2020). \n3. Ohsaki, T. et al. Overcharge reaction of lithium -ion batteries. J. Power Sources 146, 97–100 \n(2005). \n4. Spotnitz, R. & Franklin, J. Abuse behavior of high -power, lithium -ion cells. J. Power Sources \n113, 81–100 (2003). \n5. Wang, Q., Sun, J., Yao, X. & Chen, C. Thermal Behavior of Lithiated Graphite with \nElectrolyte in Lithium -Ion Batteries. J. Electrochem. Soc. 153, A329 (2006). \n6. Finegan, D. P. et al. In-operando high -speed tomography of lithium -ion batteries during \nthermal runaway. Nat. Commun. 6, 1–10 (2015). \n7. Finegan, D. P. et al. In-operando high -speed tomography of lithium -ion batteries during \nthermal runaway. Nat. Commun. 6, 1–10 (2015). \n8. Armand, M. B. Polymer Electrolytes. Annu. Rev. Mater. Sci. 16, 245 –261 (1986). \n9. Liang, S. et al. Gel polymer electrolytes for lithium ion batteries: Fabrication, characterization \nand performance. Solid State Ionics 318, 2–18 (2018). \n10. Li, W. et al. A PEO -based gel polymer electrolyte for lithium ion batteries. RSC Adv. 7, \n23494 –23501 (2017). \n11. Mathew, C. M., Kesavan, K. & Rajendran, S. Structural and Electrochemical Analysis of \nPMMA Based Gel Electrolyte Membranes. Int. J. Electrochem. 2015 , 1–7 (2015). \n12. Sekhon, S. S., Arora, N. & Agnihotry, S. A. PAN -based gel electrolyte with lithium salts. \nSolid State Ionics 136–137, 1201 –1204 (2000). \n13. Periasamy, P. et al. Studies on PVdF -based gel polymer electrolytes. J. Power Sources 88, 269–273 (2000). \n14. Jie, J. et al. High -performance PVDF -HFP based gel polymer electrolyte with a safe solvent in \nLi metal polymer battery. J. Energy Chem. 49, 80–88 (2020). \n15. Navarra, M. A. Ionic liquids as safe electrolyte components for Li -metal and Li -ion batteries. \nMRS Bull. 38, 548 –553 (2013). \n16. Karuppasamy, K. et al. Ionic liquid -based electrolytes for energy storage devices: A brief \nreview on their limits and applications. Polymers (2020) doi:10.3390/POLYM12040918. \n17. Mousavi, M. P. S. et al. Ionic Liquids as Electrolytes for Electrochemical Double -Layer \nCapacitors: Structures that Optimize Specific Energy. ACS Appl. Mater. Interfaces 8, 3396 –\n3406 (2016). \n18. Han, H. B. et al. Lithium bis(fluorosulfonyl)imide (LiFSI) as conducting salt for nonaqueous \nliquid electrolytes for lithium -ion batteries: Physicochemical and electrochemical properties. J. \nPower Sources (2011) doi:10.1016/j.jpowsour.2010.12.040. \n19. Kerner, M., Plylahan, N., Scheers, J. & Johansson, P. Thermal stability and decomposition of \nlithium bis(fluorosulfonyl)imide (LiFSI) salts. RSC Adv. 6, 23327 –23334 (2016). \n20. Guo, Z., Zhu, J., Feng, J. & Du, S. Direct in situ observation and explanation of lithium \ndendrite of commercial graphite electrodes. RSC Adv. 5, 69514 –69521 (2015). \n21. Luo, J. et al. A proof -of-concept graphite anode with a lithium dendrite suppressing polymer \ncoating. J. Power Sources 406, 63–69 (2018). \n22. Dimov, N., Kugino, S. & Yoshio, M. Carbon -coated silicon as anode material for lithium ion \nbatteries: Advantages and limitations. Electrochim. Acta 48, 1579 –1587 (2003). \n23. Park, M. G., Lee, D. H., Jung, H., Choi, J. H. & Park, C. M. Sn -Based Nanocomposite for Li -\nIon Battery An ode with High Energy Density, Rate Capability, and Reversibility. ACS Nano \n12, 2955 –2967 (2018). 24. Rao, X. et al. Polyacrylonitrile Hard Carbon as Anode of High Rate Capability for Lithium Ion \nBatteries. Front. Energy Res. 8, 1–9 (2020). \n25. Sun, X., Rad ovanovic, P. V. & Cui, B. Advances in spinel Li4Ti5O12 anode materials for \nlithium -ion batteries. New J. Chem. 39, 38–63 (2015). \n26. Huang, J. & Hollenkamp, A. F. Thermal behavior of ionic liquids containing the FSI anion and \nthe Li + cation. J. Phys. Chem . C 114, 21840 –21847 (2010). \n27. Zhou, Q., Henderson, W. A., Appetecchi, G. B., Montanino, M. & Passerini, S. Physical and \nelectrochemical properties of N -alkyl -N-methylpyrrolidinium bis(fluorosulfonyl)imide ionic \nliquids: PY13FSI and PY 14FSI. J. Phys. Ch em. B 112, 13577 –13580 (2008). \n \n \n \n \n " }, { "title": "1405.1090v1.Colossal_negative_magnetoresistance_in_a_2D_electron_gas.pdf", "content": "arXiv:1405.1090v1 [cond-mat.mes-hall] 5 May 2014Colossal negative magnetoresistance in a 2D electron gas\nQ. Shi,1P. D. Martin,1Q. A. Ebner,1M. A. Zudov,1,∗L. N. Pfeiffer,2and K. W. West2\n1School of Physics and Astronomy, University of Minnesota, M inneapolis, Minnesota 55455, USA\n2Department of Electrical Engineering, Princeton Universi ty, Princeton, New Jersey 08544, USA\n(Received March 5, 2014)\nWe report on a colossal negative magnetoresistance (MR) in G aAs/AlGaAs quantum well which,\nat low temperatures, is manifested bya drop of the resistivi ty bymore than an order of magnitude at\na magnetic field B≈1 kG. In contrast to MR effects discussed earlier, the MR repor ted here is not\nparabolic, even at small B, and persists to much higher in-plane magnetic fields and tem peratures.\nRemarkably, the temperature dependence of the resistivity atB≈1 kG is linearover the entire\ntemperature range studied (from 1 to 30 K) and appears to coin cide with the high-temperature\nlimit of the zero-field resistivity, hinting on the importan t role of acoustic phonons.\nPACS numbers: 73.43.Qt, 73.63.Hs, 73.40.-c\nOne of the most interesting, and perhaps the most\nstudied, properties of two-dimensional electron systems\n(2DES) is the magnetoresistance (MR), i.e., the change\nof the resistivity ρfrom its zero-field value ρ0due to\napplied perpendicular magnetic field B. At high B, the\nenergy spectrum is quantized into Landau levels and MR\nexhibits well-known Shubnikov-de Haas oscillations and\nquantum Hall effects [1, 2]. However, significant MR of-\nten exists even at low B, where quantization is not yet\nimportant.\nWhilenegative MR (δρ=ρ(B)−ρ0<0) has been\nknown for three decades [3–5], recent studies using high\nmobility ( µ∼106−107cm2/Vs) 2DES [6–11] pre-\nsented a challenge to both quantum and quasiclassi-\ncal theories. Although quantum theories, considering\nelectron-electron interactions [12–15], explained MR in\nlow-mobility2DES[5], the predictedMRiswaytoosmall\nto explain experiments on high-mobility 2DES [6–11].\nQuasiclassical theories, on the other hand, can, at\nleast in principle, produce strong negative MR in high-\nmobility 2DES. These theories consider memory effects,\noccurring because the probability of an electron to ex-\nperience multiple collisions with the same impurity in-\ncreases with Band, as a result, the probability for an\nelectrontoscatteroffdifferent impurities isreduced. The\nlow-temperature mobility (at B= 0) can be expressed as\nµ−1=µ−1\nL+µ−1\nS, whereµLandµSaccount for scatter-\ning offlong-range (smooth) disorder, e.g. from remote\nionized impurities, and short-range (sharp) disorder, e.g.\nfrom residual background impurities, respectively. While\nquasiclassical MR is the strongest in the limit of purely\nsharp disorder [16–19], it can also be significant in the\ncase ofmixeddisorder with µL≫µS[20, 21]. In this\ncase, the theory [20, 21] predicts initially parabolic neg-\native MR which crosses over to a broad minimum char-\nacterized by\nρ⋆/ρ0≈µS/µL≪1. (1)\nThe strongest negative MR reported to date, ρ⋆/ρ0≈\n0.02 (atB≈1 kG), was observed in 2DES with µ≈2.2×107cm2/Vs [6, 22]. While Ref.6 concluded that the\nMR can be explained by Eq.(1), such a scenario appears\nhighly unlikely as it implies µL>109cm2/Vs, which\nexceeds theoretical estimates [23–25] by a factor of 50-\n100 [26]. Indeed, according to Refs.23 and 27,\nµL= 16(e/h)(kFd)3/n2D, (2)\nwherekF=√2πneis the Fermi wavenumber, neis the\nelectron density, and n2D≃neis the concentration of\nremote impurities located at a distance dfrom the 2D\nchannel. For sample A used in Ref.6 ( d= 80 nm, ne=\n2.9×1011cm−2), Eq.(2) yields µL≈1.7×107≪109\ncm2/Vs [28]. Interestingly, Eq.(2) [27] further implies\nthat in ultra-high mobility samples µL≃µ[26] and\ntherefore Eq.(1) should never apply. As a result, existing\ntheories predict that giant MR, with ρ⋆/ρ0/lessorsimilar0.1, can oc-\ncuronlyin 2DES (of typical design) with µ≃µS/lessorsimilar106\ncm2/Vs, in contradiction with experiments [6–8].\nIn this Rapid Communication we report on a colos-\nsal negative MR effect in a moderate-mobility ( µ≈106\ncm2/Vs) 2DES hosted in a GaAs/AlGaAs quantum well.\nThe hallmark of this effect is a sharp drop of ρ(B) fol-\nlowed by a saturation at B=B⋆≈1 kG near ρ⋆≡\nρ(B⋆)≈0.08ρ0atT≃1 K. Even though the condition\nρ⋆/ρ0≃µS/µLappears to be satisfied in our 2DES, the\neffect cannot be explained by Ref.20. In particular, the\nlow-BMR correction, −δρ(B) =ρ(B)−ρ0>0, is found\nto increase roughly as B1.4, in contrast to B2found in\nboth theory [18, 20, 29] and recent experiments [6, 7, 9–\n11]. Furthermore, the MR in our 2DES remains essen-\ntially unaffected by very strong in-plane magnetic fields,\nup toB/bardbl≈30 kG. This finding contrasts with recent\nstudies [7, 10, 11], in which MR was greatly suppressed\nbyB/bardbl/lessorsimilar10 kG. Finally, the MR in our 2DES remains\nsignificant up to T= 30 K, in contrast to Ref.10, where\nit disappeared above 2 .5 K. The most striking feature is\nthatρ⋆increases linearlyover the entireTrange, follow-\ningρ⋆(T) =ρ0\n⋆(1+T/T0), withρ0\n⋆≈1.0 Ω and T0≈1.1\nK. Interestingly, ρ⋆(T) mimics the high- Tlimit ofρ0(T),\nwhich is known to originate from electron-phonon scat-2\n25\n20\n15\n10\n5\n0\n\u0001\u0003\u0004\u0005-2 -1 0 1 2\nB (kG)\n\u0000 \u0002a\nMirlin et al. \n(2001)\nBaskin et al.\n(1978)\nExperiment T = 0.25 K\n\u0006B = 1\n10-310-210-1100- \n\u0007\b\t\n670.012345670.12345671\nB (kG)b\nMirlin et al. \n(2001)~ B 1.4\n\u000bB = 1\nFIG. 1. (Color online) (a) ρ(B)measured at T≃0.25 K(solid\ncurve), calculated according to Ref.20 using ni=(0.8µm)−2,\nµS/µL= 0.1 (dashed curve) and Ref.16 using µ=µS(dotted\ncurve). (b) −δρ/ρversus magnetic field B, plotted on a log-\nlog scale. The fit (solid line) to the data at B≤0.15 kG\ngives−δρ/ρ= (B/¯B)1.4with¯B≈0.28 kG. For comparison,\nB2-dependenceaccording toRef.20 is shown bya dashed line.\ntering. Taken together, these observations suggest that\nwe have observed a colossal negative MR effect which is\ndistinct from the effects reported previously.\nOur sample is a Hall bar of width w= 200µm\nfabricated from a symmetrically doped, 29 nm-wide\nGaAs/AlGaAs quantum well, with the Si δ-doping lay-\ners separated from the active channel by spacers of width\nd≈80 nm. At T≃1 K, the electron density and the\nmobility were ne≈2.8×1011cm−2and andµ≈1.0×106\ncm2/Vs. The magnetoresistivity ρ(B) was measured in\nsweeping magnetic fields by a standard four-terminal\nlock-in technique at temperatures up to 30 K.\nIn Fig.1(a) we present ρ(B) measured at T= 0.25\nK (solid curve) showing a dramatic decrease which ter-\nminates at B=B⋆≈1 kG with ρ⋆≈0.08ρ0. Since\nthis value is close to µS/µL≈µ/µL≈0.07, where\nµL≈1.7×107cm2/Vs was obtained from Eq.(2), it ap-pears possible that our data can be explained by Ref.20,\nwhich proposed Eq.(1). However, as we show next, the\nMR in our 2DES is much stronger than all existing the-\noretical predictions.\nAt low magnetic fields, for µ≈µS≪µL, the theory\nwith mixed disorder model [20] predicts\nρ(B)/ρ0= 1−B2/B2\n0, (3)\nwhereB0= (h/e)√nine(2µS/µL)1/4andniis the 2D\ndensity of strong scatterers. From 2 laBni= 1, where lis\nthe mean free path and aB≈10 nm is the Bohr radius\nin GaAs, we estimate ni= (0.42µm)−2and then obtain\nB0= 3.5 kG. Using this value and Eq.(3), we calculate\nρ(B) and present the result in Fig.1(a) (dashed line). It\nis clear that there a big discrepancy exists between the\ntheoretical and experimental ρ(B). Although the theory\ndoes predict a significant drop of ρ(B), the experiment\nshows a much steeper drop, i.e. the MR effect develops\natmuchlower magnetic fields .\nThe negative MR in our data is even stronger than the\nlimit of purely sharp disorder (Lorentz gas model) [16–\n18],µ=µS, which predicts the largest possible negative\nMR due to classical memory effects. According to this\nmodel,ρ(B)/ρ0is given by\nρ(B)/ρ0= 1−e−2π/µB, (4)\nwheree−2π/µBis the fraction of electrons which com-\nplete cyclotron orbits without colliding with impurities\nand thus do not contribute to the resistivity. While\nthis simple model underestimates the MR at very low\nB[18], the difference between Eq.(4) and our data re-\nmains significant even at high B, see Fig.1(a). Indeed,\natB=B⋆≈1 kG, Eq.(4) gives ρ/ρ0≈0.5, almost an\norder of magnitude higher than our data.\nWe further demonstrate that MR in our 2DES is not\nquadratic in B, in contrast to both present theoretical\n[18, 20, 29] and recent experimental [6, 7, 9–11, 30] stud-\nies. In Fig.1(b) we plot −δρ/ρ0versusBon a log-log\nscale. The fit (solid line) to the lower Bpart of the\ndata,B≤0.15 kG, gives −δρ/ρ0= (B/¯B)1.4, with¯B\n= 0.28 kG. At higher B,−δρ(B) slows down and even-\ntually saturates. Comparison to the theoretical curve,\nEq.(3) (dashed line), reveals a two orders of magnitude\ndifference at B≈0.1 kG.\nWe next discuss the effect of an in-plane magnetic field\non the colossal negative MR. The measurements were\nperformed with the sample tilted by angle θwith re-\nspect to the magnetic field B. To facilitate the discus-\nsion, we introduce B⊥=BcosθandB/bardbl=Bsinθ, which\ndenote out-of-plane and in-plane magnetic field, respec-\ntively. Figure 2(a) shows ρ(B) measured at different θ\nfrom 0◦to 88.2◦atT≃0.25 K. As one can see, the MR\ncorrection δρ(B) gets considerably smaller with increas-\ningθ, as one would expect if the MR effect is caused\nprimarily, if not solely, by B⊥. To see if this is the case,3\n20\n10\n0U\u0003\u000b:\f\n-2 -1 0 1 2\nBA (kG)T = 0°T = 88.2°Bb\nyz\nT\nx20\n10\n0U\u0003\u000b:\f\n-2 -1 0 1 2\nB (kG)T = 0°T = 88.2°a\n20\n10\n04 3\nBA (kG)162432\nF\nFIG. 2. (Color online) (a) ρ(B) measured at T≃0.25 K at\ndifferent tilt angles θ= 0◦, 60◦, 75.6◦, 80.3◦, 82.8◦, 86.4◦,\n87.6◦, 88.2◦. (b)ρversus perpendicular magnetic filed B⊥\nat the same tilt angles. Inset shows Shubnikov-de Haas os-\ncillations at different values of B/B⊥which are marked by\nintegers. The traces are vertically offset for clarity by 4 Ω.\nwepresent in Fig.2(b) the samedataas afunction of B⊥.\nThe inset illustrates the evolution of Shubnikov-de Haas\noscillations as a result of enhanced spin splitting and ef-\nfective mass renormalization in our finite-width 2DES\n[31]. Remarkably, all of the curves collapse into one uni-\nversalcurvedemonstratingthat thecolossalnegativeMR\nremains essentially unchanged up to the highest angle, θ\n= 88.2◦, corresponding to B/bardbl/B⊥≈32. Indeed, even at\nB/bardbl≈32 kG, the drop of the resistivity is still about one\norder of magnitude, ρ⋆/ρ0≈0.11. This result is vastly\ndifferent from previousstudies ofnegative MR [7, 10, 11],\nwhere the negative MR was found to be strongly sup-\npressed by B/bardblsmaller than 10 kG.\nWe next discuss perhaps the most intriguing exper-\nimental aspect of this colossal negative MR effect, its\ntemperature dependence. In Fig.3(a) we present ρ(B)\nat different temperatures from 1 to 30 K. As the tem-\nperature is elevated, MR becomes weaker but remains\nsignificant up to 30 K, in contrast to previous study [10],30\n20\n10\n0U\u0003\u000b:\f\n30 20 10 0\nT (K) 0 kG\n 0.1 kG\n 0.2 kG\n 0.5 kG\n 1 kG\n 2 kGFb30\n20\n10\n0U\u0003\u000b:\f\n-2 -1 0 1 2\nB (kG)T = 1 KT = 30 K\nC Ca\nF F\nFIG. 3. (Color online) (a) ρ(B) at different temperatures T\nfrom 1 to 30 K. Weak phonon-induced resistance oscillations\ncan be seen around T≈5 K (solid line), as marked by arrows.\n(b) Resistivity ρversus temperature Tat different magnetic\nfields, as marked. ρ(T) atB= 1 kG is fit by (solid line)\nρ⋆(T) =ρ0\n⋆(1+T/T0), withρ0\n⋆= 1 Ω and T0= 1.1 K.\nwhere MR virtually disappeared above 2.5 K. We also\nobserve signatures of phonon-induced resistance oscilla-\ntions (cf. ↑), which are commonly seen in this temper-\nature range [32–37] in high-mobility 2DES. Figure 3(a)\nfurther shows that at any finite B,ρ(T), at least initially,\nincreases faster than ρ0(T). At very low B, this behavior\ncontributes to the development of a local minimum at\nB= 0, surrounded by maxima (cf. ↓), which can be seen\nonly at intermediate temperatures.\nTo examine the temperature dependence in more de-\ntail, we construct Fig.3(b) which shows ρas a function\nofTfor different Bfrom 0 to 2 kG, as marked. Most\nremarkably, we find that at B=B⋆≈1 kG (solid\nsquares), ρis a linear function of temperature over the\nentire range studied. This dependence is well described\nbyρ⋆(T) =ρ0\n⋆(1+T/T0), withρ0\n⋆= 1 Ω and T0= 1.1 K\n(solid line). One can notice that, initially, the resistivity\natB < B ⋆, e.g.B= 0.5 kG (open circles), increases\nat a faster rate than ρ⋆(T), whereas at B > B ⋆, e.g.4\nB= 2 kG (open triangles), it increases at a somewhat\nlower rate. At higher T, all of the data, including ρ0(T),\nconverge to one common linear dependence. It is rather\nremarkable that ρ⋆(T) is very well described by this uni-\nversal dependence down to very low temperatures. This\nis in vast contrast to Ref.10, where at T/lessorsimilar2.5 K theT-\ndependence is superlinear for allB, while at T/greaterorsimilar2.5 K\nthere is no MR effect and all curves coincide with ρ0(T).\nIt is well known that the T-dependence of the zero-\nfield resistivity ρ0is split into two regimes by the Bloch-\nGr¨ uneisen temperature TBG= 2/planckover2pi1kFs/kB, wheresis the\nsound velocity. At T≫TBG, all phonon modes that\nelectrons can scatter off are highly populated and ρ(T)\nis linear, reflecting classical distribution of phonons. At\nT≪TBG, only phonons with momenta much smaller\nthankFare populated and ρ(T) exhibits a high power-\nlaw dependence [38]. While ρ0(T) roughly follows the\nexpected behavior, the apparent extension of the linear\ndependence to low temperatures at B=B⋆is totally\nunexpected. The questions one may ask are (i) how\nthe electron-phonon scattering is modified by finite mag-\nnetic field, and (ii) how such modification translates to a\nchange in resistivity.\nIt is well known that a combination of phonon-assisted\nelectron backscattering and Landau quantization modi-\nfies electron-phonon scattering rate in a non-trivial way,\nleading to the 1 /B-periodic oscillations in the resistivity,\noccurring at T≃TBG[32, 34–37]. At the same time, it\nis understood that the magnetic field cannot induce any\nnon-oscillatory correction to the electron-phonon scat-\ntering rate. However, different T-dependencies of ρob-\nserved at different Bdo not necessarily call for different\nelectron-phononscatteringrates. Indeed, non-Markovian\ntransport [20, 21] implies that at finite Bthe interplay\nof sharp and smooth disorder is non-trivial and ρis no\nlonger proportional to a simple sum of the correspond-\ning scattering rates. Similarly, one should not expect\nthat at finite Bthe total scattering rate is a simple sum\nof rates due to disorder and phonons. Future theories\nshould perhaps consider if the low-energy phonons can\nact similarly to a smooth disorder, i.e. effectively as-\nsisting in delocalizing electrons, which might lead to a\nmuch stronger T-dependence of ρat finite Bcompared\ntoρ0(T). In fact, such a scenario has been examined in\nRef.39 in the context of a smooth-disorder localization\nmodel, which predicted ρ(B∝negationslash= 0)∝Tα, whereα, deter-\nmined by percolation scaling exponents, is lower than a\npower governing the T-dependence of ρ0.\nWhile linear T-dependence of ρ⋆, coinciding with\nthe high- Tlimit of ρ0(T), strongly hints on phonons,\nelectron-electron interactions might also be considered.\nIndeed, at low T, the electron-electron scattering time\nτeeis shorter than the electron-phonon scattering time\nτph. Therefore, it should be τee, rather than τph, acting\nas a cutoff time, if the electrons rely on such scattering\nprocesses to transfer between different trajectories, e.g.,when close to a percolation threshold.\nFinally, we mention that most, if not all, observations\nof strong negative MR were limited to Hall bar samples\n[6–11, 40]. Moreover, it was recently reported [40] that\nboth the strength and the characteristic magnetic field\nof negative MR depend on the width of the Hall bar,\nwhich might indicate the importance of edge scattering\nor current distribution within the device.\nIn summary, we have observed a colossal negative MR\neffect in a moderate-mobility 2DES in a GaAs/AlGaAs\nquantum well. The effect is marked by a steep drop of\nρ(B) followed by a saturation at B=B⋆≈1 kG near\nρ⋆≈0.08ρ0atT/lessorsimilar1 K. While the condition ρ⋆/ρ0≃\nµS/µLseems to be satisfied in our 2DES, neither the\nmagnitude nor the dependence ρ(B) can be explained by\nexisting theories. More specifically, −δρ(B) =ρ(B)−ρ0\nis found to increase as B1.4, in contrast to results of pre-\nvioustheoretical[18, 20, 29]and experimental[6, 7, 9–11]\nstudies. Furthermore, unlike previous studies [7, 10, 11],\nthe colossal MR reported here remains essentially unaf-\nfected by strong in-plane magnetic fields, up to B/bardbl≈30\nkG. Finally, the MR in our 2DES persists up to T= 30\nK, in contrast to Ref.10 where it virtually vanished at\n2.5 K. The most remarkable feature of the observed T-\ndependence is that ρ⋆(T) increases linearlyover the en-\ntireTrange. Thislineardependence appearstobenearly\nthe same as the high- Tlimit ofρ0(T), which is well un-\nderstood in terms of electron-phonon scattering. Taken\ntogether, our findings indicate that the observed colos-\nsal negative MR is qualitatively different from the effects\nobserved in all of the previous studies. To identify the\norigin of this remarkable phenomenon further investiga-\ntions are necessary. In particular, it would be interesting\nto perform microwave photoresistance [41] and nonlinear\ntransport [42] measurements which should help to bet-\nter understand the correlation properties of the disorder\npotential [25] in our 2DES.\nWe thank S. Das Sarma, M. Dyakonov, I. Gornyi, R.\nHaug, M. Khodas, D. Polyakov, B. Shklovskii, and V.\nUmansky for discussions and G. Jones, T. Murphy, and\nD. Smirnov for technical assistance with experiments. A\nportion of this work was performed at the National High\nMagnetic Field Laboratory, which is supported by NSF\nCooperative Agreement No. DMR-0654118, by the State\nof Florida, and by the DOE and at the Center for Inte-\ngrated Nanotechnologies, a U.S. Department of Energy,\nOffice of Basic Energy Sciences user facility. The work\nat Minnesota was supported by NSF Grant No. DMR-\n1309578 (measurements in perpendicular fields in Min-\nnesota) and by DOE Grant No. DE-SC002567 (tilt-field\nmeasurements at NHMFL). The work at Princeton was\npartiallyfundedbytheGordonandBettyMooreFounda-\ntion and the NSF MRSEC Program through the Prince-\nton Center for Complex Materials (Grant No. DMR-\n0819860).5\n∗Corresponding author: zudov@physics.umn.edu\n[1] K. von Klitzing, G. Dorda, and M. Pepper, Phys. Rev.\nLett.45, 494 (1980).\n[2] D. C. Tsui, H. L. Stormer, and A. C. Gossard, Phys. Rev.\nB25, 1405 (1982).\n[3] A. M. Paalanen, D. C. Tsui, and J. C. M. Hwang, Phys.\nRev. Lett. 51, 2226 (1983).\n[4] K. K. Choi, D. C. Tsui, and S. C. Palmateer, Phys. Rev.\nB33, 8216 (1986).\n[5] L. Li, Y. Y. Proskuryakov, A. K. Savchenko, E. H. Lin-\nfield, and D. A. Ritchie, Phys. Rev. Lett. 90, 076802\n(2003).\n[6] Y. Dai, R. R. Du, L. N. Pfeiffer, and K. W. West, Phys.\nRev. Lett. 105, 246802 (2010).\n[7] Y. Dai, K. Stone, I. Knez, C. Zhang, R. R. Du, et al.,\nPhys. Rev. B 84, 241303 (2011).\n[8] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest, Phys. Rev. B 83, 121301(R) (2011).\n[9] L. Bockhorn, P. Barthold, D. Schuh, W. Wegscheider,\nand R. J. Haug, Phys. Rev. B 83, 113301 (2011).\n[10] A. T. Hatke, M. A. Zudov, J. L. Reno, L. N. Pfeiffer, and\nK. W. West, Phys. Rev. B 85, 081304(R) (2012).\n[11] L. Bockhorn, I. V. Gornyi, D. Schuh, C. Reichl,\nW. Wegscheider, et al., arXiv:1401.7940 (2014).\n[12] B. L. Altshuler and A. G. Aronov, in Electron-Electron\nInteractions in Disordered Systems , edited by A. L. Efros\nand M. Pollak (North-Holland, Amsterdam, 1985).\n[13] S. M. Girvin, M. Jonson, and P. A. Lee, Phys. Rev. B\n26, 1651 (1982).\n[14] I. V. Gornyi and A. D. Mirlin, Phys. Rev. Lett. 90,\n076801 (2003).\n[15] I. V. Gornyi and A. D. Mirlin, Phys. Rev. B 69, 045313\n(2004).\n[16] E. M. Baskin, L. N. Magarill, and M. V. Entin, Sov.\nPhys. JETP 48, 365 (1978).\n[17] A. V. Bobylev, F. A. Maaø, A. Hansen, and E. H. Hauge,\nPhys. Rev. Lett. 75, 197 (1995).\n[18] A. Dmitriev, M. Dyakonov, and R. Jullien, Phys. Rev. B\n64, 233321 (2001).\n[19] A. Dmitriev, M. Dyakonov, and R. Jullien, Phys. Rev.\nLett.89, 266804 (2002).\n[20] A. D. Mirlin, D. G. Polyakov, F. Evers, and P. W¨ olfle,\nPhys. Rev. Lett. 87, 126805 (2001).\n[21] D. G. Polyakov, F. Evers, A. D. Mirlin, and P. W¨ olfle,\nPhys. Rev. B 64, 205306 (2001).\n[22] While Ref.6 quotes µ∼3×107cm2/Vs, using ρ0≈1\nΩ from Fig. 1(a) and ne= 2.9×1011cm−2we findµ≈\n2.2×107cm2/Vs.\n[23] J. H. Davies, The Physics of Low-Dimensional Semi-\nconductors (Cambridge University Press, Cambridge,United Kingdom, 1998).\n[24] E. H. Hwang and S. Das Sarma, Phys. Rev. B 77, 235437\n(2008).\n[25] I. A. Dmitriev, A. D. Mirlin, D. G. Polyakov, and M. A.\nZudov, Rev. Mod. Phys. 84, 1709 (2012).\n[26] Experimentally obtained values of µL[43–45] are nearly\nan order of magnitude higher than predicted by Eq.(2),\nsuggesting that in ultra-high mobility 2DES µ≈µS≪\nµL. However, eventhesevaluesare waytoolow toexplain\nρmin/ρ0≈0.02 observed in Ref.6.\n[27] We note that Eq.(2) does not take into account possible\ninter-donor correlations which might increase µL.\n[28] The value of µLobtained from Eq.(2) is lower than\nµ= 2.2×107cm2/Vs [22]. One possible reason for\nthis discrepancy is the finite width of the quantum well\n(w= 30 nm) which is ignored in Eq.(2). Using d+w/2\ninstead of din Eq.(2) gives a more realistic value of\nµL≈2.8×107cm2/Vs. However, numerical calculations\n[24] for the same quantum well design give an even lower\nvalue of µL= 1.3×107cm2/Vs.\n[29] V. V. Cheianov, A. P. Dmitriev, and V. Y. Kachorovskii,\nPhys. Rev. B 70, 245307 (2004).\n[30] The low-temperature MR reported in Ref.10 can indeed\nbe described by Eq.(3) over a wide Brange using rea-\nsonable parameters. However, Eq.(3) cannot account for\nthe temperature dependence reported in Ref.10.\n[31] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest, Phys. Rev. B 85, 241305(R) (2012).\n[32] M. A. Zudov, I. V. Ponomarev, A. L. Efros, R. R. Du,\nJ. A. Simmons, et al., Phys. Rev. Lett. 86, 3614 (2001).\n[33] W. Zhang, M. A. Zudov, L. N. Pfeiffer, and K. W. West,\nPhys. Rev. Lett. 100, 036805 (2008).\n[34] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest, Phys. Rev. Lett. 102, 086808 (2009).\n[35] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest, Phys. Rev. B 84, 121301(R) (2011).\n[36] O. E. Raichev, Phys. Rev. B 80, 075318 (2009).\n[37] I. A. Dmitriev, R. Gellmann, and M. G. Vavilov, Phys.\nRev. B82, 201311(R) (2010).\n[38] H. L. Stormer, L. N. Pfeiffer, K. W. Baldwin, and K. W.\nWest, Phys. Rev. B 41, 1278 (1990).\n[39] B. Laikhtman, Phys. Rev. Lett. 72, 1060 (1994).\n[40] R. G. Mani, A. Kriisa, and W. Wegscheider, Sci. Rep. 3,\n2747 (2013).\n[41] M. A. Zudov, R. R. Du, J. A. Simmons, and J. L. Reno,\nPhys. Rev. B 64, 201311(R) (2001).\n[42] C. L. Yang, J. Zhang, R. R. Du, J. A. Simmons, and J. L.\nReno, Phys. Rev. Lett. 89, 076801 (2002).\n[43] V. Umansky, R. de Picciotto, and M. Heiblum, Appl.\nPhys. Lett. 71, 683 (1997).\n[44] V. Umansky, M. Heiblum, Y. Levinson, J. Smet,\nJ. N¨ ubler, et al., J. Cryst. Growth 311, 1658 (2009).\n[45] V. Umansky, private communication (2014)." }, { "title": "2201.04958v1.Model_based_quantitative_methods_to_predict_irradiation_induced_swelling_in_alloys.pdf", "content": "Model-based quantitative methods to predict\nirradiation-induced swelling in alloys\nWei Ge1, Shijun Zhao2, Chenxu Wang1, Haocheng Liu1,4, Yue Su1, Jia Huang1, Zhiying\nGao1, Jianming Xue1, Steven J. Zinkle3,*, Yugang Wang1,*\n1 State Key Laboratory of Nuclear Physics and Technology, Center for\nApplied Physics and Technology, Peking University, Beijing, 100871, China\n2 Department of Mechanical Engineering, City University of Hong Kong,\nHong Kong, China\n3 Department of Nuclear Engineering, University of Tennessee, Knoxville,\nTN, 37996, USA\n4 State Power Investment Corporation Research Institute, Beijing 102209,\nChina\nWei Ge and Shijun Zhao contribute equally to this paper\n* ygwang@pku.edu.cn(Yugang Wang) and szinkle@utk.edu (Steven J.\nZinkle)\nAbstract\nPredicting volume swelling of structural materials in nuclear reactors under high-dose\nneutron irradiations based on existing low-dose experiments or irradiation data with\nhigh-dose-rate energetic particles has been a long-standing challenge for safety\nevaluation and rapidly screening irradiation-resistant materials in nuclear energy\nsystems. Here, we build an Additional Defect Absorption Model that describes the\nirradiation-induced swelling effects produced by energetic electrons, heavy-ions, and\nneutrons by considering additional defect sinks inherent in the irradiation process.\nBased on this model, we establish quantitative methods to predict high-dose swelling\nfrom low-dose behavior and obtain the equivalent irradiation dose for different energetic\nparticles when the dose rates differ by several orders of magnitude. Furthermore, we\npropose a universal parameter to characterize the swelling resistance of various alloys\nand predict their radiation tolerances under different radiation conditions. This work\nprovides quantitative prediction methods for evaluating irradiation-induced swelling\neffects of structural materials, which is critical to the safety and material development\nfor advanced nuclear reactors.\nIntroduction\nThe development of advanced nuclear energy systems is one of the most promising ways\nto address the anticipated global climate change and energy crisis. In nuclear reactors,\nthe economics and safety depend critically on the durability of structural materials in\nthe reactor core, where the materials need to survive extremely harsh environments,\nincluding irradiation by energetic neutrons up to 200 dpa (displacement per atom) [1,2].\nIn addition, recent efforts to extend the lifetime of current nuclear reactors also raise\nhigher demands on irradiation tolerance of structural materials [3]. Therefore,\n1/23arXiv:2201.04958v1 [cond-mat.mtrl-sci] 6 Jan 2022evaluating and quantitatively predicting the performance of materials under high-dose\nneutron irradiation and R&D of radiation-resistant materials are critical for the\nrealization of advanced nuclear energy systems and the lifetime extension of current\noperating reactors.\nNeutron irradiation can lead to severe property deterioration of structural materials,\nsuch as volume swelling, hardening, embrittlement, creep, phase instability, etc [4].\nAmong them, volume swelling is a vital material degradation mechanism. The degree of\nvolume swelling has been used as one of the most critical criteria for materials selection\nin the reactor design [5], and the swelling phenomena have been widely investigated\nsince the 1960s [5,6]. Although a linear relationship between the swelling rate and the\nirradiation dose is expected at high swelling levels [7], it is often difficult to evaluate the\nswelling trend as it is intrinsically a non-linear relationship at low to intermediate doses.\nParticularly, swelling in materials exhibits several distinct stages, i.e., a\nnucleation/incubation stage, a transient stage, and a steady-growth stage. Until now,\nthere is no suitable general model to describe the volume swelling at different doses,\nwhich greatly hinders the evaluation of structural materials in nuclear plants and the\ndevelopment of new materials for advanced nuclear reactors.\nTraditionally, neutron radiation effects are studied through test reactors. After\nirradiation, the bulk properties of irradiated materials are tested, and the\nmicrostructures are characterized by post-irradiation examination (PIE) techniques.\nHowever, for advanced nuclear reactors, it would take a long time (more than ten years)\nfor irradiation with reactor neutrons because of the high dpa level to achieve\nlifetime-relevant doses, resulting in an enormous cost and testing difficulty associated\nwith potentially high radioactivation of materials. Irradiation-induced volumetric\nswelling in alloys is a bulk property of materials that can be measured with TEM\n(transmission electron microscopy) since the dimensional changes are typically well\ncorrelated with void formation [8,9]. Since the 1970s, the energetic electron-beam from\nHVEM (high voltage electron microscope) and heavy ions from accelerators have been\nextensively used for irradiation and swelling investigation based on TEM\nanalysis [7,10{14]. For example, some experts claimed that the void swelling of thin\nsamples irradiated by HVEM could represent the bulk property, and the fitting result\nshowed a swelling rate of (dose)1.58. [15] Experimental results also show that the\nswelling rates decrease significantly with the increase of dose rates. Typical examples\nare that much lower swelling rates for heavy-ion and electron irradiations are found\nthan neutron irradiation due to their higher damage rate [4,7,16 {21]. Indeed, ion- and\nelectron-beam irradiation experiments could achieve high damage levels in a short time\nand a shallow depth at a low cost with no or little radioactivation of\nmaterials [4,18,22{25]. However, because of the much higher damage rate (103-104\norders of magnitude higher) and the differences in the primary in-cascade process, the\nextent to which electron or ion irradiation effects can represent neutron irradiation\nneeds to be scrutinized. Notably, there exist two critical and long-standing unsolved\nquestions for irradiation-induced volume swelling in practice: can volume swelling at\nhigh doses be predicted from easily accessible low-dose irradiation experiments, and how\nto quantitatively predict neutron radiation damage from high-dose-rate heavy-ion\nirradiation experiments?\nVolume swelling originates from the evolution of irradiation-induced defects. It is\nwell established that energetic particles interact with materials by transferring their\nkinetic energies into the electronic and atomic subsystems of target materials.\nIrrespective of the dose and dose rate difference, this process can be described by two\nmain simplified processes [7]: (1) Energetic particles first collide with lattice atoms and\nproduce primary knock-on atoms (PKAs), giving rise to Frenkel defects (i.e., vacancies\nand self-interstitial atoms) with the same overall number of interstitials and vacancies.\n2/23If the PKAs have sufficient kinetic energy, they can lead to further atomic cascade\ndisplacements and produce more point defects and defect clusters. (2) Defect evolution\noccurs through either recombination of interstitials and vacancies or diffusion-induced\ndefect absorption/accumulation at various defect sinks, such as dislocations, defect\nclusters, grain boundaries, interfaces, and precipitates. The remaining mobile vacancies\naggregate for void formation and growth. For energetic heavy-ions and neutrons, their\nPKAs can induce displacement cascades. In contrast, electron bombardment creates\nuniform Frenkel defects without cascades. Although these energetic particles have\ndifferences in charge states, mass, and damage rate, similar irradiation effects have been\nobserved, especially the microstructural changes in materials under irradiation such as\nvolume swelling [4,7].\nThere have been sustained efforts to understand and describe the irradiation effects\nat different doses and dose rates, especially regarding volume swelling. In the early\n1970s, Brailsford and Mansur [26,27] developed a model that states that changes of an\nirradiation variable can be accommodated by a shift in other variables so as to keep the\noverall defect aggregation properties (such as swelling) invariant [17,27{30]. Was et al.\napplied this model successfully in case of irradiation effects induced by protons and\nneutrons at low doses (less than 5 dpa) and low dose rates ( 10-6 dpa/s) [31,32]. At\nhigh dose and high dose rate, a direct comparison between neutron and ion or electron\nirradiation effects becomes difficult, and discrepancies have been found [33{35]. Based\non the production bias model (PBM), Golubov and Singh et al. formulated a theoretical\ndescription of volume swelling in pure metals and simple alloys, but with a number of\ncomplicated parameters, making its application difficult, especially for actual alloys\nwith complex chemical compositions and microstructures [20,36{38]. Furthermore, it\nhas been shown consistently from frequently worldwide-used ion-irradiation experiments\nthat swelling rates are lower at higher-dose-rate irradiation [7,16,20,21] Most recently,\nRen et. al. proposed a general radiation polarization theory and a sample spinning\nstrategy technique to mitigate the excess polarization artifact and point-defect\nimbalance which might be crucial for making the simulation of neutron radiation by\nion-beam radiation more realistic [39]. Therefore, an application-oriented general model\nand prediction methods of irradiation swelling in alloys at different irradiation doses and\ndose rates are highly desired for the developments of advanced nuclear energy systems.\nGenerally, volume swelling occurs on a relatively large spatial scale and a long-time\nscale. Consequently, the details of in-cascade characteristics and the transient defect\nbehavior are not so important when comparing irradiation effects at different dose rates\nor with different particles, which justifies the application of rate theory models [7] by\nneglecting the details of the atomic displacement process. In addition, volume swelling\nprovides a direct link between the observed void distributions at the microscopic level\nand the material response at the macroscopic scale, which enables us to establish\nequivalent relations between irradiations with different energetic particles. Taking into\nconsideration the importance of volume swelling in nuclear reactors, in this paper, we\ndevelop an additional defect absorption model (ADAM) that separates material-related\nproperties and irradiation conditions and can be easily applied to predict volume\nswelling of alloys at different doses and different dose rates. We first introduce ADAM\nin the context of electron irradiation, where the produced defects are in the form of\nuniformly distributed point defects without cascades. After validating this model with\nexperimental data, we extend ADAM to the case of neutron and heavy-ion irradiation\nby including the energetic displacement cascade as one of the stages in the whole defect\nevolution. Overall, our model provides a unified description of volume swelling at\ndifferent irradiation conditions and further, can be used to make quantitative\npredictions of the swelling level of actual alloys at different doses and dose rates based\non available experimental data.\n3/23Results\nSwelling induced by energetic electrons\nTo illustrate the radiation damage process produced by energetic electrons, we\nschematically show the irradiation effects in Figure 1, specifically the void formation, at\ndifferent dose rates.\nFigure 1. Schematic illustration of the electron irradiation effects in ma-\nterials at low and high dose rates . The energetic electrons generate uniformly\ndistributed point defects. (a) shows the defect process at low dose rates. The defects can\neither recombine or be absorbed by defect sinks, including dislocations and boundaries.\nThe surviving defects lead to void formation and growth. (b) shows the defect process\nat high dose rates, where the irradiation induces additional defect sinks can annihilate\nand absorb mobile defects.\nElectron irradiation induces uniformly distributed point defects. When the\nirradiation dose rate is high, there is a high probability that Frenkel defects and a few\nsmall defect clusters are produced in a high density in a short time period. If the dose\nrate is sufficiently high, e.g., 10\u00002\u000010\u00003dpa/s for electrons in HVEM, the newly\nproduced point defects could be annihilated by the near-by and co-existing Frenkel\ndefects or small clusters. The effect of this process is similar to the capture of defects by\nthe intrinsic sinks in the unirradiated alloys. So, we classify the irradiation-generated\nnear-by and co-existing Frenkel defects or small clusters at high dose rates as additional\nsinks or irradiation-generated defect sinks. These additional sinks enhance vacancy\nabsorption and reduce the vacancy flux contributing to the void nucleation and growth.\nMeanwhile, the high-dose-rate irradiation may make the lattice atoms vibrate quickly\nand increase the local temperature (dynamic temperature), increasing the mobility of\ndefects in a small region and enhancing the additional defect absorption locally. To\naccount for these effects of enhanced defect absorption, we introduce an additional term\nS0into the rate theory to describe the loss of defects by the irradiation-generated defect\nsinks. The total adsorption rate then becomes Si=Si0+S0\niandSv=Sv0+S0\nv, in\nwhichSi0andSv0are the absorption rate of the intrinsic defect sinks of the materials\ntoward interstitials and vacancies respectively, and S0\niandS0\nvare the absorption rate of\nirradiation-generated defect sinks for interstitials and vacancies, respectively.\n4/23The additional sinks mainly result from the product of the near-by and co-existing\nFrenkel defects at high dose rates, which may not be the final stable extended defects\n(clusters) but can enhance local defect absorption in a locally \\heated\" region associated\nwith high dose rates, leading to point defect being captured locally. Under irradiation,\nthe generation rate of such additional defect sinks \u001fi;vin reducing mobile defects is\nproportional to the defect generation rate G for interstitials and vacancies respectively,\ni.e.\u001fi=\u000eiGiand\u001fv=\u000evGvat relevant temperatures for swelling, where \u000ei;vare the\nratio constants. Consequently, the net absorption rate of the additional sinks is\nproportional to their generation rate, i.e. S0\ni=\ri\u001fi=\ri\u000eiGiandS0\nv=\rv\u001fv=\rv\u000evGv,\nwith\ri;vbeing the proportional constants. Since the electron-irradiation-induced\ndefects are generated in the form of Frenkel pairs, the generation rate of interstitials Gi\nand the generation rate of vacancies Gvare the same, Gi=Gv=G. The additional\nsink introduced in our model is dynamic, depending on local temperature and nearby\ndefect cluster concentrations, etc. Since the role of the additional sink is the same as the\nintrinsic sink in capturing interstitials and vacancies, the characteristics of these two\ntypes of sinks are similar. The concentration and the sink strength of the additional\nsinks may vary during irradiation, but the sink absorption rate bias may not change\nsignificantly. Therefore, we assume that the sink bias towards vacancies and interstitials\nfrom all sinks is roughly proportional to that of intrinsic sinks, i.e.,\nSi=Sv= (Si0+S0\ni)=(Sv0+S0\ni) =b;Si0=Sv0=b0, andb=\fb0. As a result, the total\nsink absorption rate for interstitials and vacancies are Si=Si0+\ri\u000eiGi, and\nSv=Sv0+\rv\u000evGv, respectively. Note that additional sinks might be unstable because\nof the possible irradiation-induced dissociation. In our model, the absorption rate of\nadditional sinks can be taken as the net absorption rate, i.e.\nS0=S0\nabsorption \u0000S0\ndissociation . Therefore, only those defects that survive from\ndissociations contribute to defect absorption in our model.\nWith the additional defect sinks, we solve the classical rate theory equation for void\ngrowth. We consider the sink dominant case since the total defect sinks play majority\nroles in the considered conditions. The void-induced swelling is derived as:\nswelling (%) =\u000b\u0014\u0001dpa;ele\n1 +k0Gdpa;ele\u00153=2\n\u0000c: (1)\nwhere\u000b=4\u0019\n3V0h\n2\nDvb\u0000Di\nb\u0011Sv0i3=2\n;k0=\rv\u000ev\n\u0011Sv0, andc=4\u0019r3\n0\n3V0. Here\u0011is the ratio of the\ndose rate (Gdpa;dpa=s ) and defect generation rate (G), V0is the original volume\nwithout voids, \n is the defect volume, and Dv;iis the diffusion coefficient of\nvacancies/interstitials. We also introduce r0to account for the void nucleation stage.\nSpecifically, the value of r0is the critical size of a void embryo that must be achieved in\norder for the embryo to grow into a void. Eq. (1) provides the relation between volume\nswelling and irradiation dose at different dose rates. It indicates that at a fixed dose\nrate, swelling increases with irradiation dose slowly when the dose is relatively low, but\nit undergoes a sharp increase at high doses. Furthermore, Eq.(1) predicts lower swelling\nwith increasing dose rate at a given irradiation dose due to extension of the transient\nregime, which is in accordance with experiments [20,21,40].\nThe above model provides the quantitative dependence of volume swelling on\ndifferent parameters at a fixed temperature, including materials properties ( \u000band c),\nirradiation dose (\u0001 dpa;ele ), and dose rate ( Gdpa;ele ). For materials properties, \u000bis\ngoverned by intrinsic properties, such as defect diffusion coefficients and the absorption\nrate of intrinsic defect sinks, including the influence of temperature, pressure, and\ncold-work conditions on these properties. The parameter c is governed by the void\nnucleation ability of materials.\nIn Eq. (1), k0is related to the additional sink absorption rate per defect generation\n5/23Figure 2. Validation of the model against volume swelling data from electron\nirradiation experiments . (a) 316L irradiated by electrons at 530 °C [15], (b) EN58B\nirradiated by electrons at 500 °C [41], (c) nickel irradiated by electrons at 450 °C [41],\n(d) 316SS irradiated by electrons at different temperatures [42]. The solid lines are our\nmodel-fitting results. (Note: some data point with high swelling rate are not included\nsince the saturation may result from surface effects of thin TEM samples)\nrate, scaled by \ri;v,\u000ei;vand the absorption rate of intrinsic sinks. Since this model\naccounts for the additional defect absorption at high dose rates, it is named as\nAdditional Defect Absorption Model. We validate ADAM based on volume swelling\ndata from electron irradiation with HVEM at different conditions. With the\nirradiation-generated sinks considered, we are able to fit the experimental data to Eq.\n(1). The results shown in Figure 2 suggest that ADAM agrees well with experimental\ndata, indicating our model provides a robust description of the dose dependence of\nvolume swelling.\nIt is shown in Figure 2 that volume swelling may start to grow continuously only\nafter a threshold irradiation dose. This corresponds to the nucleation process or\nincubation period for volume swelling that is frequently observed in experiments [21,43].\n6/23By extrapolating to the dose where the swelling equals to zero, we obtain the threshold\ndose \u0001 0after which pronounced swelling begins (marked with an arrow in Figure 2(a)):\n\u00010=r2\n0\n2\n(1 +\rv\u000ev\n\u0011Sv0Gdpa)b\u0011Sv0\nDvb\u0000Di(2)\nwhich reasonably relates to r0and other materials parameters. Besides, \u0001 0increases\nlinearly with increasing Gdpa, a signature of the dose rate effects on the\nnucleation/incubation regime. Our model thus provides a general description of the\nswelling over the whole dose range.\nIn Figure 2, all the irradiation experiments were conducted at a fixed dose rate\n(constantGdpa;ele ). As a consequence, we cannot isolate the parameter k0but can only\ntakek0Gdpa;ele as a whole in our model fitting. Therefore, there are only two\nparameters to obtain the relationship between the swelling and dose, i.e.,\n\u000b[1=(1 +k0Gdpa;ele )]3=2and c. The obtained parameters are provided in the\nSupplementary materials. It is found that c is small in all cases, suggesting a short\nincubation period for void swelling in electron irradiation. The prefactor\n\u000b[1=(1 +k0Gdpa;ele )]3=2increases with increasing temperature for 316SS, which agrees\nwith the higher swelling observed in experiments at 600 /uni2103.\nSwelling induced by energetic heavy-ions and neutrons\nIn contrast to the isolated Frenkel pairs produced by electron irradiation, irradiation by\nheavy-ions and neutrons induces energetic displacement cascades with nearly the same\nfeatures at the early stage of defect production. The damage process created by\nheavy-ions and neutrons at different dose rates is schematically illustrated in Figure 3.\nAfter the PKAs and then the collision cascades are produced by heavy-ions and\nneutrons, athermal recombination between vacancies and interstitials dominates the\nin-cascade process [44]. We consider that this process is similar to the situation of\nelectron irradiation at a higher beam current, and the same idea of additional sink is\nused to account for the enhanced defect absorption. Although the defects induced by\nelectron and ion/neutron irradiations may be different, all these irradiation sources\nproduce defect clusters. Particularly, due to the high dose rate (10\u00003\u000010\u00002dpa/s) of\nhigh voltage electrons, the produced Frenkel defects are densely distributed spatially,\nforming high-density defect spots. Such high-density defects are highly likely to\naggregate to defect clusters in their evolution processes. For ion/neutron irradiations\nthat create densely populated cascades and subcascades, defect clusters are directly\nformed. In this sense, defect clusters can be created in both electron and ion/neutron\nirradiations, contributing to subsequent defect evolution. The fate of these defect\nclusters depends on various factors, such as irradiation-induced dissolution. The\nin-cascade process in the case of neutron or heavy-ion irradiation may affect the number\nof residual interstitials and vacancies entering into the governing equations [38,45]. The\nafterward process of defect evolution under these conditions is similar to that of electron\nirradiations in terms of volume swelling. By treating the in-cascade process as one of\nthe stages of whole defect evolution (since the volume swelling occurs both at a large\nspatial scale and a long-time scale), we introduce the irradiation-generated defect sinks\nas stated above. Some experimental and simulation results [34,46{50] showed that the\nneutron irradiation-induced stable dislocation loop or cluster density increase quickly\nwith dose and then saturate at around 2-3 dpa. So, at the high dose range, these stable\nand extended defects as sinks to absorb mobile defects could be classified into the\nintrinsic defect sinks, i.e., Sv0andSi0. Comparing to neutron irradiation, the dose rate\nof heavy-ion irradiation is 103\u0000104higher, similar to electron irradiation, and will also\nincrease the local dynamic temperature. As the energy of majority PKAs produced by\n7/23neutron irradiation in iron is below 10 keV [51], we calculate a single cascade\nmorphology, as shown in Figure 4(a) , produced by 10 keV PKA(10 keV Fe ion) through\nSRIM program [52] in Full Damage Cascades mode. And because the mean free path of\nneutron is very large (about 4 centimeters in iron), neutron-induced PKAs are spatially\nseparated. Also with SRIM calculation, the cascades produced by PKAs along the\ntransport track of incident 1 MeV Fe ion are drawn in Figure 4(b) and Figure 4(c) .\nUnlike neutron irradiation, a single heavy ion can induce dense PKAs along its transport\ntrack. The cascades from these PKAs are produced in picoseconds [53] and are close to\neach other. Thus, during heavy-ion irradiations, the additional sinks from the near-by\nand co-existing defects, some of which are transitional defects, could also enhance local\ndefect absorption at a high dynamic temperature, leading to the extra capture of defects\nin a local region that survive the in-cascade process. Recent MD results for high energy\nPKAs also demonstrated that there are strong interactions between the closed-by\nsubcascades, which would help annihilating pre-created defects. [54]\nFigure 3. Schematic illustration of the heavy-ion and neutron irradiation\neffects in materials at low and high dose rates . The PKAs from heavy-ion and\nneutrons produce defects through displacement cascades. (a) shows the defect process\nat low dose rates, and (b) shows the defect process at high dose rates.\nWith the above consideration, we apply the derived ADAM to the case of heavy-ion\nand neutron irradiations. The volume swelling becomes\nswelling (%) =\u000b\u0014\u0001dpa\n1 +k0Gdpa\u00153=2\n\u0000c (3)\nHere we have omitted the specific denotation in \u0001 dpaandGdpafor different\nenergetic particles, which means this relation is suitable for all these energetic particles.\nBased on this equation, we fit experimental data collected from experiments for\ndifferent alloys at varying temperatures and for the same alloy at varying dose rates. As\nrepresentative cases, Figure 5 shows the fitting results for irradiation data in different\nmaterial conditions, including varying materials, temperatures, pressure, and helium\nincorporation (different \u000b,k0, and c characterizing material conditions), while Figure 6\ndemonstrates the fitting results for the same material irradiated at different dose rates\n(differentGdpabut with same \u000b,k0, and c) [3,20,21,33,41,43,55{59]. (A more\n8/23Figure 4. cascade morphology produced by neutron or ion . (a) a cascade\nproduced by 10 keV PKA from neutron irradiation in iron; (b) spatially close cascades\nproduced by PKAs from 1 MeV Fe2+irradiation in iron; (c) the partial enlarged view of\n(b).\nthorough validation of ADAM is provided in Supplement material). The fitting results\nshown in Figure 5 and Figure 6 demonstrate ADAM is suitable for describing different\nalloys at neutron and heavy-ion irradiation conditions in terms of volume swelling at the\nsame elevated temperature or in a narrow temperature range. Particularly, our model\ncaptures the swelling trend even when He is present in the material, as shown in Figure\n5 (e) and (f).\nPrediction methods based on ADAM\nFor fast screening and evaluation of structural materials for advanced reactors and\ncurrent reactor lifetime extension, we predict volume swelling in alloys from low-dose\nirradiation experiments to high-dose cases based on ADAM. Note that such high-dose\ndata usually requires at least several years to carry out neutron irradiation experiments\nand PIE. The fitting results displayed in Figure 2, Figure 5, and Figure 6 provide the\ngrowth trend of swelling at high doses beyond those in the experimental data,\nrepresenting the prediction merit of ADAM. In addition, the fitting results in Figure 6\nindicate the quantitative correlation of the swelling rate with irradiation dose at\ndifferent dose rates ( Gdpa), or with different energetic particles, making the equivalence\npossible between different energetic particles, especially between heavy-ion and neutron\nirradiations in term of volume swelling in the same material at elevated temperatures.\nWhen we compare two irradiation conditions that lead to different swelling levels in the\nsame material and the same elevated temperature, we have\nswelling 1\nswelling 2=\u000bh\n\u0001dpa1\n1+k0Gdpa1i3=2\n\u0000c\n\u000bh\n\u0001dpa2\n1+k0Gdpa2i3=2\n\u0000c(4)\nIn this case, derivation of the irradiation conditions with a given swelling level based\n9/23Figure 5. Validation of the derived model using available irradiation data on\ndifferent alloys or alloy conditions (different \u000b,k0, and c) . (a) Fe-20Ni-xCr and\nFe-35Ni-xCr irradiated by neutrons at 538 /uni2103[43]; (b) AISI316 irradiated by neutrons\nat different temperatures [43]; (c) 304L SS, 316SS, D9, and 9-12Cr FM irradiated by\nneutrons [3,43,55]; (d) 316Ti SS irradiated by neutrons at different pressure [58]; (e)\nHT9 and T91 irradiated by 5 MeV Fe++ at 460 /uni2103[57]; (f) F82H irradiated by neutrons\nwith different helium injection [56]. The solid lines are our model-fitting results.\non another irradiation experiment would require the knowledge of \u000b,k0, and c. When\nthe swelling level is the same for the identical material, an equivalent relation between\nthe irradiation dose and dose rate can be obtained as:\n10/23\u0001dpa1\n1 +k0Gdpa1=\u0001dpa2\n1 +k0Gdpa2(5)\nwhich is only governed by the parameter of k0.\nFigure 6. Validation of the derived model using available irradiation data\nwith different dose rates for the same alloy (constant \u000b,k0, and c) . (a) 304\nSS irradiated with different dose-rate neutrons [21], (b) Fe-15Cr-16Ni irradiated with\ndifferent dose-rate neutrons [20], (c) Ni irradiated by 1 MeV electrons and neutrons [41,59],\nand (d) 304L SS irradiated by neutrons and heavy ions [33]. The solid lines are our\nmodel-fitting results.\nEquations (4-5) serve as the basis for predicting the swelling level for an identical\nmaterial at the same or similar elevated temperature at given irradiation conditions, as\nwell as the equivalent irradiation conditions at the same swelling level based on available\nexperiments. In Figure 7, we show three examples of such predictions. In Figure 7(a),\nthe parameters \u000b,k0, and c are calculated from the low-dose irradiation data at\n435{487 °C. Then Eq. (5) is used to predict the swelling rate at higher doses. Our\nprediction is consistent with another set of high-dose irradiation data at 446 °C. Figure\n7(b) and (c) illustrate the derivation of equivalent irradiation conditions with the same\nswelling level, which only requires k0. In Figure 7(b), we calculate k0for\nFe-15Cr-16Ni-0.25Ti from three sets of irradiation data (solid black symbols) [21].\nBased onk0, the irradiation dose required to produce the same swelling at 7 :8\u000210\u00007\ndpa/s can be deduced from the data at 1 :7\u000210\u00006dpa/s. The predicted data (empty\npentagons) lie within the range of experimental data. In Figure 7(c), the equivalent\nneutron irradiation dose is predicted from the self-ion (Fe ions) irradiation experiment,\nwith thek0parameter calculated from two sets of irradiation data at different dose rates\n(solid black symbols) [57,60]. It can be seen that the prediction agrees reasonably well\nwith available neutron irradiation data. Therefore, these examples suggest that our\n11/23derived additional defect absorption model is capable of predicting volume swelling at\nhigh doses from the low dose data, correlating the irradiation dose with different given\ndose rates, and quantitatively predicting equivalent dose from high dose-rate\nexperiments (such as heavy-ions) to low dose-rate irradiation (such as neutrons).\nFigure 7. Quantitative prediction of swelling level by ADAM . (a) Prediction\nof swelling level in 304L from low doses to high doses. The parameters are calculated\nfrom a set of irradiation data (blue triangle symbols) [55]. (b) Prediction for Fe-15Cr-\n16Ni-0.25Ti from 1 :7\u000210\u00006dpa/s to 7:8\u000210\u00007dpa/s at the same swelling level. The\nparameters are calculated from three sets of irradiation data (solid black symbols) [21].\n(c) Prediction for T91 from self-ion irradiation (1 \u000210\u00003dpa/s) to neutron irradiation\n(3\u000210\u00006dpa/s) at the same swelling level. The parameters are calculated from two\nsets of irradiation data with different dose rates (solid black symbols) [57,60]\n12/23Parameters in ADAM governing swelling\nIrradiation effects in materials are complex processes, including defects production and\nevolution. Previous works have established that volumetric swelling can be influenced\nby different factors, including properties of materials, e.g., compositions and their\natomic weight, crystal structures, grain boundaries and interfaces, atomic bonds, solute\nsegregation and precipitation, the diffusivity of defects, sink strength, etc., at a fixed\ntemperature, and irradiation conditions, i.e., PKA spectrum, irradiation temperature,\ndose and dose rate [20,40]. Based on ADAM, Eq. (3) shows that irradiation-induced\nswelling is governed by \u000b,k0, c, and \u0001 dpa. The parameter \u000bcontains different intrinsic\nproperties of materials (e.g., diffusivities of vacancies and interstitials at fixed\ntemperatures, various intrinsic sink strength) and determines how fast the swelling\ngrows. So, a higher \u000bmeans faster swelling growth. The value of c is also a material\nproperty and relates to the nucleation stage or incubation period for void evolution,\nwhich is governed by the threshold dose \u0001 0due to the nucleation/incubation process for\nswelling. Therefore, a larger \u0001 0indicates a longer nucleation/incubation time,\nsuggesting better swelling resistance. The value of \u0001 0is very important for the\ndevelopment of nuclear materials since the extension of the incubation period is the only\nmeaningful solution for designing swelling-resistant materials up to high doses. The\noverall swelling of materials relies on both \u0001 0and\u000bsince the dose-dependent swelling\nincludes an initial nucleation/incubation period, followed by a growth stage [61,62].\nFinally,k0relates to irradiation conditions and characterizes the ability of materials to\nabsorb mobile defects by the irradiation-generated additional sinks in response to the\nhigh dose rate irradiations, which is related to \ri;v,\u000ei;v, and the absorption rate of the\nintrinsic defect sinks. Since irradiation-induced defects, which are the source of\nadditional defect absorption, strongly depend on crystal structures and microstructures,\nthe parameter of \u000evis expected to be relating to these materials conditions. Therefore,\nk0is related to both intrinsic sinks and additional sink generation processes, indirectly\nrelated to crystal structure and microstructures. The purpose of k0in our model is to\nreconcile the high density of additional sinks generated at high dose rates, which tends\nto suppress swelling. As such, it is a key parameter to reveal the impact of dose rate on\nswelling, as encountered in the comparison between ion and neutron irradiations. All\nthese parameters from our model are provided in the Supplement materials. In this\nwork, we did not explicitly consider the temperature. Therefore, our model describes\nswelling from different irradiation conditions at the same temperature, or in a narrow\ntemperature window. Since material properties change with temperatures, the swelling\nalso depends on temperature besides the above three parameters. The relations of\nswelling with all these different parameters are provided in Figure 8.\nIt is well known that the swelling rate of some alloys exhibits a peak at an\nintermediate temperature, as shown in the upper panel of Figure 8(a). At low\ntemperatures, defect mobility is low, and a relatively high density of irradiation-induced\ndefect sinks are produced, both of which limits void growth. When the temperature is\nsufficiently high, swelling is also reduced since the mobility of interstitials and vacancies\nis high, and the recombination between them increases significantly. Besides, vacancy\nsupersaturation is reduced compared to thermal equilibrium, and the emission of\nvacancies from cavities increases. The obtained parameters \u000band \u0001 0from our model\nbased on the experimental data agree with this conclusion. In particular, \u000bexhibits a\npeak, whereas \u0001 0shows a dip around the peak swelling temperature.\nThe dependence of \u000band \u0001 0on alloy composition is shown in Figure 8(b) for\nseveral well-studied alloys. In both Fe-35Ni-xCr and Fe-20Ni-xCr, \u000bdecreases, whereas\n\u00010increases with decreasing Cr content. Therefore, the results suggest that reducing Cr\nenhances the swelling resistance for these alloys. The variation of \u0001 0is more significant\nthan\u000b, signifying a primary influence of Cr on the duration of the incubation\n13/23regime [43]. This agrees with prior phenomenological experimental assessments of\nFe-Ni-Cr austenitic alloys that the chemical changes predominantly affect the incubation\ndose rather than the steady-state swelling rate [21,63]. To access the parameter of k0for\ndifferent materials, we need experimental data from different dose rates. Among all the\ndata that we have gathered, the swelling data on Fe-15Cr-16Ni and Fe-15Cr-16Ni-0.25Ti\ncan be used to compare k0for these two materials, as they were irradiated with varying\ndose and dose rate at the same temperature. Figure 8(c) displays the dependence of k0\nand \u0001 0on the dose rate for Fe-15Cr-16Ni and Fe-15Cr-16Ni-0.25Ti. For the same\nmaterial, it is seen that k0is constant. It's interesting to find that Fe-15Cr-16Ni-0.25Ti,\nwith better swelling resistance than Fe-15Cr-16Ni [43], has a smaller k0, which indicates\nthat if an alloy possesses more intrinsic vacancy absorption centers, or higher intrinsic\nsink strength, i.e., larger Sv0, the irradiation-induced additional sinks are less important\nfor volume swelling. On the other hand, \u0001 0increases linearly with the dose rate for\nboth alloys, consistent with Eq. (2). This conclusion is in line with experiments [63].\nFigure 8. Dependence of swelling (characterized by \u00010,\u000b, andk0) on\ndifferent factors . (a) Temperature [43], (b) materials [43], and (c) dose rate [21,63].\nA summary of the results provided in (d) shows the relations of swelling (characterized\nby \u0001 0=\u000b) with temperature for different materials under neutron irradiation [3,20,33,\n43,55,56,59,64{66], where the irradiation dose rates are comparable.\nBased on the above discussion, a material with enhanced swelling resistance would\n14/23have a high \u0001 0but a low\u000bso that there are a prolonged incubation period and a low\ngrowth rate. To quantify this relation, we use the simple parameter ratio of \u0001 0=\u000bas a\nfigure of merit to characterize the relative swelling resistance of materials at comparable\ndose rates. With this definition, larger values of \u0001 0=\u000bcorrespond with better swelling\nresistance in a material. A summary of \u0001 0=\u000bvalues for different materials under\nneutron irradiation is demonstrated in Figure 8(d), together with the temperature at\nwhich \u0001 0=\u000bis obtained. Among all the materials, Cu exhibits the lowest \u0001 0=\u000bdue to\nits poor swelling resistance. On the other hand, MA957, a type of ODS (Oxide\nDispersion Strengthened) steel, possesses the highest \u0001 0=\u000bamong the materials\nexamined, suggesting that its excellent ability to suppress swelling is due to the high\ndensity of nano-particles that provide numerous defect sinks to absorb the mobile\nvacancies. For the same material AISI316, the curve of \u0001 0=\u000bwith the temperature at\nreactor-relevant dose rates is in accordance with the experimental swelling peak at\nabout 510 °C. Note that \u0001 0=\u000balso depends on the dose rate, as shown in Figure 8(c);\nthe comparison in Figure 8(d) is made at comparable dose rates. It also can be seen\nfrom Figure 8(c) that a smaller k0corresponds to a larger \u0001 0=\u000bat a given dose rate,\nindicating that an alloy with higher intrinsic sink strength exhibits better swelling\nresistance.\nHelium, which can be produced through transmutation reactions under fast fission\nand fusion neutron irradiation, is of great concern for nuclear materials. The results\nshown in Figure 5(e) and (f) suggest that ADAM can be used to describe the effects of\nHe on swelling. As the migration of He to sinks, e.g. grain boundaries and dislocations,\nwill reduce sink capture efficiency [67{69], thus reducing sink absorption rate Sv0,\nincreasing parameter \u000b=4\u0019\n3V0h\n2\nDvb\u0000Di\nb\u0011Sv0i3=2\n, reducing threshold dose\n\u00010=r2\n0\n2\n(1+\rv\u000ev\n\u0011Sv0Gdpa)b\u0011Sv0\nDvb\u0000Di, and facilitating swelling in materials (as indicated by the\ndecrease of \u0001 0=\u000bfor \\F82H\" to \\F82H+He\" as shown in Figure 8(d)).\nImplication of the prediction neutron irradiation from heavy-ion\nirradiation\nBy introducing the irradiation-generated additional defect sinks, we provide a simple\nunified model (ADAM) to describe the volume swelling in alloys irradiated by different\nenergetic particles with varying dose rates at a given temperature. These additional\nsinks come from the near-by and co-existing defects at high dose rates, enhancing local\ndefect absorption, compensating for the effects of high dose rates, and leading to fewer\nmobile defects that contribute to volume swelling. This is consistent with the general\nobservation that the efficiency of producing swelling is lower for high-dose-rate than\nlow-dose-rate irradiations (shown in Figure 6), which highlights the role of the additional\nsinks in influencing volume swelling when the dose rates vary by several orders (e.g.,\nheavy-ions vs. neutrons). To provide further validation of our model, we have simulated\nvoid swelling in the framework of phase-field modeling, as provided in the Supplement\nmaterials. The modeling results are consistent with our ADAM analysis, where swelling\ngrows steadily with increasing doses. Inspiringly, the swelling relation with irradiation\ndose can be well modeled by our ADAM formulae. Besides, the phase-field results\nreproduce the suppressed swelling trend with an increasing dose rate, in line with our\nADAM prediction and experiments. Therefore, these integrated computational efforts\nshed light on the swelling mechanism in materials under different irradiation conditions,\nwhich serve as important guidelines for designing swelling-resistant structural materials.\nAt the same swelling level, our model indicates that by selecting suitable\nexperimental conditions, heavy-ions, especially self-ion irradiation, can be used not only\nto investigate the irradiation damage mechanism but also to predict equivalent neutron\n15/23irradiation dose at the same volume swelling level. This prediction from ion irradiation\nto neutron radiation makes heavy-ion irradiation quite important and useful in the fast\nscreening of advanced nuclear structural materials. However, the high dose rate\nscreening results need to be adjusted by a factor to account for dose rate effects. From\nEq. (5), we have the factor \u0001 ions=\u0001neutrons = (1 +k0Gions)=(1 +k0Gneutrons )>1,\nwhere \u0001ionsand \u0001neutrons are doses at the same swelling level for ions and neutrons,\nrespectively. As a consequence, the difference between the dose of heavy-ions and\nneutrons (\u0001 ions\u0000\u0001neutrons ) = \u0001ionsk0(Gions\u0000Gneutrons )=(1 +k0Gions)) increases\nwith increasing ion dose (\u0001 ions). Therefore, the radiation tolerance of alloys determined\nsolely by heavy-ion irradiation results may be quantitatively overestimated by this\nfactor, e.g., 1.38 for 304L in Figure 6(d) and 2.39 for T91 in Figure 7(c), where the\ndifferences in factors are due to different material parameters of k0. It means that a\ndose of 300 dpa or 600 dpa of ion irradiation of T91 is only equivalent to 125 dpa or 250\ndpa (5/12) of neutron irradiation in terms of void swelling, respectively. Our model\nindicates that in the high dose regime, increasing the irradiation dose of heavy-ions only\nleads to a limited increase in the equivalent neutron dose.\nIn our model, we assume that there is no saturation of void swelling in materials\nunder irradiation, agreeing with most experiments. In principle, when voids are large\nenough, the void surfaces may become the predominant sink for all types of radiation\ndefects. Besides, there is a possibility of the reduction of network dislocation densities\nat high doses. In these cases, void swelling may saturate at high irradiation doses.\nHowever, most experiments show no saturation of void swelling within a given\nirradiation dose. Particularly, only under certain conditions is found saturation of\nswelling. For instance, electron irradiation of stainless-steel samples that are too thin\nleads to an apparent saturation of swelling, which is actually an artifact of the surface\nimpact. The irradiation of bulk samples, on the other hand, gives rise to steady swelling\nwithout saturation. To validate our model, we have chosen the experimental data that\nmostly show no saturation of void swelling under irradiation. Accordingly, the total sink\nabsorption rate bias (k) is constant in our model. Therefore, our model cannot be used\nto predict swelling in the saturation regime. Overall, experimental evidence for a\nhigh-dose swelling saturation regime is very limited, and convincing evidence for\nswelling saturation did not emerge until 100 dpa for neutron-irradiated Fe-Cr-Ni\nalloys [43] or 1000 dpa for ion irradiated T91. [70] Our model is proved to be applicable\nat such high doses.\nOur additional defect absorption model is application-oriented and is based on a\nsimplified description of irradiation-induced volume swelling in alloys considering point\ndefect production and evolution, such as those in the electron irradiation. We extended\nADAM to heavy-ion and neutron irradiation cases, but the detailed in-cascade atomistic\nprocesses are not explicitly considered. We also did not consider the details of sink\nstrength from different types of defect sinks since our focus is on the effects induced by\nthe dose and dose rate difference. Besides, we assume that the strength of intrinsic\ndefect sinks is approximately constant during the irradiation. The details of defect\nforms, such as different types of defect clusters, are also neglected. Therefore, although\nour model can be used to describe the whole stage of volume swelling and fit the\nexperimental data well at different irradiation conditions, the quantitative prediction of\nequivalent irradiation dose at different dose rates and from low-dose to high-dose cases\nmay not be used for all irradiation conditions. In ADAM, we have omitted the\ncontribution of thermal vacancies at the void surface. This approximation is reasonable\nat intermediate temperatures but may not be suitable at high temperatures (such as\nT >0:5TMelting ). Finally, it's worth noting that it is impractical to build equivalent\nrelations based on the present model for all the irradiation effects produced by neutrons\nand heavy-ions, such as irradiation-induced hardness, embrittlement and creep. Hence,\n16/23there is still a high challenge to study and quantify comprehensive materials'\nperformance under high-dose neutron irradiation by virtue of heavy-ion or self-ion\nirradiation techniques.\nIn summary, considering the main characteristics of defect evolution under energetic\nparticle irradiation, this paper constructs an integrated kinetic rate theory model to\ncorrelate the volume swelling in alloys under different irradiation conditions.\nSpecifically, our model introduces an additional defect sink in the defect master\nequations when considering the differences in dose rates of irradiations. With the\nirradiation-generated additional defect sink, our model provides a unified description of\nvolume swelling at different doses and dose rates, especially for different energetic\nparticles. Based on ADAM, quantitative methods are established to predict irradiation\nswelling in alloys for the advanced nuclear energy system, from low doses to high doses,\nfrom one dose rate to another dose rate. It can particularly be used for predicting the\nequivalent dose from heavy-ion irradiation to neutron irradiation experiments. In\naddition, the parameters of \u0001 0and\u000bin ADAM well capture the swelling features, and\nthe combined parameter of \u0001 0=\u000bis proposed to quantitatively characterize the relative\nswelling resistance of alloys for nuclear plants. Therefore, this work provides an\ninnovative practical solution to evaluate the swelling effects of the structural materials\nin reactor cores and a fast screening way to assess the performance of newly-developed\nalloys for advanced nuclear energy systems.\nMaterials and Methods\nThe governing equations for interstitials and vacancies respectively under electron\nirradiation, are\n@ci\n@\u001c=Gi\u0000Rivcicv\u0000Sici; (6)\n@cv\n@\u001c=Gv\u0000Rivcicv\u0000Svcv: (7)\nIn these two equations, ciandcvare the concentration of interstitials and vacancies\nrespectively, and Rivis the recombination rate of interstitials and vacancies. If local\nequilibrium is reached ( \u001cis sufficiently large), meaning steady-state conditions, and\nassumingGi=Gv=G, the solutions of Eqs. (6) and (7) give the defect concentrations:\nci=Sv\nSicv;cv=2G\nSv+q\n4GRivSv\nSi+S2v(8)\nThe steady-state solutions are used in the following volume swelling model since it is\ngenerally considered that defects can achieve their equilibrated states quickly compared\nto the time scale of volume growth [7]. The classical rate theory for void growth is [7]:\ndr\ndt=\nr[Dvcv\u0000Dici] (9)\nwhereris the void radius, and \n is the defect volume. Here we have omitted the\nconcentrations of thermal vacancies in the void surface. After inserting the solutions of\ncv;i, we have\ndr\ndt=\nr[DvSi\u0000DiSv]\u00001 +q\n1 +4GRiv\nSiSv\n2Riv(10)\n17/23We consider the sink dominant case, i.e.,4GRiv\nSiSv\u001c1. In this case, Eq. (10) can be\nsimplified to:\ndr\ndt=\nr[DvSi\u0000DiSv]G\nSiSv(11)\nThe solution of this equation is r=h\nt2G\nSv0+\rv\u000evG(Dv\u0000Di\nb)i1=2\n. After inserting the\nassumption b=\fb0, the total void-induced swelling can be written as:\nswelling (%) =\u0001V\nV0=4\u0019r3\n3\u00004\u0019r3\n0\n3\nV0=4\u0019\n3V0\u0014\nt2G\nSv0+\rv\u000evGDv\fSi0\u0000DiSv0\n\fSi0\u00153=2\n\u00004\u0019r3\n0\n3V0\n(12)\nConsidering the relations among dose (\u0001 dpa, dpa), dose rate ( Gdpa, dpa/s), and\ndefect generation rate (G) of electrons, Gdpa;ele =\u0011G, and \u0001dpa;ele =Gdpa;elet, we can\nrewrite the swelling to\nswelling (%) =4\u0019\n3V0\"\n2\nDv\fSi0\u0000DiSv0\n\f\u0011Si0Sv0\u0001dpa\n1 +\rv\u000ev\n\u0011Sv0Gdpa#3=2\n\u00004\u0019r3\n0\n3V0=\u000b\u0014\u0001dpa;ele\n1 +k0Gdpa;ele\u00153=2\n\u0000c:\n(13)\nData Availability\nAll data generated or analyzed during this study are included in this published article\n(and its supplementary information files).\nCode Availability\nThe Phase-Field Modeling program in this paper are deposited at a website,\nhttps://github.com/pku-ion-beam/PFM.\nAcknowledgments\nThe authors thank Dr. Roger Stoller and Dr. Yong Dai for valuable discussions and\nsuggestions. This work was supported by National Science Foundation of China (Grant\nNo. 11935004 and Grant No. 12192280). S. Zhao was support by City University of\nHong Kong (Grant No. 9610425).\nContributions\nY. W. and S. J. Z. conceived the research. W.G. and S.Z. performed the theoretical\ninvestigation. C. W., H. L., Y.S, and J. X. assisted in data collection and analysis. S. Z.,\nY.W., W.G., C. W. and S. J. Z. prepared the manuscript. All authors discussed the\nresults, commented on the manuscript, and contributed to the writing of the paper.\nReferences\n1. S.J. Zinkle and L.L. Snead. Designing Radiation Resistance in Materials for\nFusion Energy. Annual Review of Materials Research , 44(1):241{267, jul 2014.\n18/232. MJ Norgett, MT Robinson, and IM Torrens. A proposed method of calculating\ndisplacement dose rates. Nuclear Engineering and Design , 33(1):50{54, 1975.\n3. S. J. Zinkle and G. S. Was. Materials challenges in nuclear energy. Acta\nMaterialia , 61(3):735{758, feb 2013.\n4.S.J. Zinkle. Radiation-Induced Effects on Microstructure. In Rudy J M Konings\nand Roger E Stoller, editors, Comprehensive Nuclear Materials , volume 1, pages\n65{98. Elsevier, 2012.\n5. R. F. Mattas, F. A. Garner, M. L. Grossbeck, P. J. Maziasz, G. R. Odette, and\nR. E. Stoller. The impact of swelling on fusion reactor first wall lifetime. Journal\nof Nuclear Materials , 122(1-3):230{235, may 1984.\n6. C. Cawthorne and E. J. Fulton. Voids in irradiated stainless steel, nov 1967.\n7. Gary S Was. Fundamentals of radiation materials science: metals and alloys .\nSpringer Science & Business Media, 2007.\n8. S. J. Zinkle and K. Farrell. Void swelling and defect cluster formation in\nreactor-irradiated copper. Journal of Nuclear Materials , 168(3):262{267, dec 1989.\n9. Frank A. Garner. Radiation-Induced Damage in Austenitic Structural Steels\nUsed in Nuclear Reactors. In Rudy J M Konings and Roger E Stoller, editors,\nComprehensive Nuclear Materials , pages 57{168. Elsevier, Oxford, second edi\nedition, 2020.\n10. O. El-Atwani, N. Li, M. Li, A. Devaraj, J. K.S. Baldwin, M. M. Schneider,\nD. Sobieraj, J. S. Wr\u0013 obel, D. Nguyen-Manh, S. A. Maloy, and E. Martinez.\nOutstanding radiation resistance of tungsten-based high-entropy alloys. Science\nAdvances , 5(3):eaav2002, mar 2019.\n11.Freek Massee, Peter Oliver Sprau, Yong-Lei Wang, J. C. S\u0013 eamus Davis, Gianluca\nGhigo, Genda D. Gu, and Wai-Kwong Kwok. Imaging atomic-scale effects of\nhigh-energy ion irradiation on superconductivity and vortex pinning in Fe(Se,Te).\nScience Advances , 1(4):e1500033, may 2015.\n12. S. Agarwal, M. O. Liedke, A. C. L. Jones, E. Reed, A. A. Kohnert, B. P.\nUberuaga, Y. Q. Wang, J. Cooper, D. Kaoumi, N. Li, R. Auguste, P. Hosemann,\nL. Capolungo, D. J. Edwards, M. Butterling, E. Hirschmann, A. Wagner, and\nF. A. Selim. A new mechanism for void-cascade interaction from nondestructive\ndepth-resolved atomic-scale measurements of ion irradiation{induced defects in\nFe.Science Advances , 6(31):eaba8437, jul 2020.\n13. Congcong Du, Shenbao Jin, Yuan Fang, Jin Li, Shenyang Hu, Tingting Yang,\nYing Zhang, Jianyu Huang, Gang Sha, Yugang Wang, Zhongxia Shang, Xinghang\nZhang, Baoru Sun, Shengwei Xin, and Tongde Shen. Ultrastrong nanocrystalline\nsteel with exceptional thermal stability and radiation tolerance. Nature\nCommunications , 9(1):5389, dec 2018.\n14. Chenxu Wang, Tengfei Yang, Cameron L. Tracy, Chenyang Lu, Hui Zhang,\nYong-Jie Hu, Lumin Wang, Liang Qi, Lin Gu, Qing Huang, Jie Zhang, Jingyang\nWang, Jianming Xue, Rodney C. Ewing, and Yugang Wang. Disorder in\nMn+1AXn phases at the atomic scale. Nature Communications , 10(1):622, dec\n2019.\n19/2315. F. A. Garner and L. E. Thomas. Production of Voids in Stainless Steel By\nHigh-Voltage Electrons. In ASTM Special Technical Publication , pages 303{323,\n100 Barr Harbor Drive, 1972. ASTM International.\n16. Jonathan G. Gigax, Eda Aydogan, Tianyi Chen, Di Chen, Lin Shao, Y. Wu,\nW. Y. Lo, Y. Yang, and F. A. Garner. The influence of ion beam rastering on the\nswelling of self-ion irradiated pure iron at 450 °C.Journal of Nuclear Materials ,\n465:343{348, oct 2015.\n17. L.K. Mansur. Theory of transitions in dose dependence of radiation effects in\nstructural alloys. Journal of Nuclear Materials , 206(2-3):306{323, nov 1993.\n18. Gary S. Was. Challenges to the use of ion irradiation for emulating reactor\nirradiation. Journal of Materials Research , 30(9):1158{1182, may 2015.\n19. Z. Jiao, J. Michalicka, and G. S. Was. Self-ion emulation of high dose neutron\nirradiated microstructure in stainless steels. Journal of Nuclear Materials ,\n501:312{318, apr 2018.\n20. T. Okita and W. G. Wolfer. A critical test of the classical rate theory for void\nswelling. Journal of Nuclear Materials , 327(2-3):130{139, may 2004.\n21.F.A. Garner. Radiation Damage in Austenitic Steels. In Rudy J M Konings and\nRoger E Stoller, editors, Comprehensive Nuclear Materials , volume 4, pages\n33{95. Elsevier, 2012.\n22.G.S. Was and R.S. Averback. Radiation Damage Using Ion Beams. In Rudy J M\nKonings and Roger E Stoller, editors, Comprehensive Nuclear Materials ,\nvolume 1, pages 195{221. Elsevier, jan 2012.\n23. S. J. Zinkle. Advanced irradiation-resistant materials for Generation IV nuclear\nreactors. In Pascal Yvon, editor, Structural Materials for Generation IV Nuclear\nReactors , pages 569{594. Woodhead Publishing, jan 2017.\n24.Peter Hosemann, Daniel Kiener, Yongqiang Wang, and Stuart A. Maloy. Issues to\nconsider using nano indentation on shallow ion beam irradiated materials.\nJournal of Nuclear Materials , 425(1-3):136{139, jun 2012.\n25. S. J. Zinkle and L. L. Snead. Opportunities and limitations for ion beams in\nradiation effects studies: Bridging critical gaps between charged particle and\nneutron irradiations. Scripta Materialia , 143:154{160, jan 2018.\n26.A. D. Brailsford and R. Bullough. The rate theory of swelling due to void growth\nin irradiated metals. Journal of Nuclear Materials , 44(2):121{135, 1972.\n27. L. K. Mansur. Void swelling in metals and alloys under irradiation: An\nassessment of the theory. Nuclear Technology , 40(1):5{34, 1978.\n28. L. K. Mansur, E. H. Lee, P. J. Maziasz, and A. P. Rowcliffe. Control of helium\neffects in irradiated materials based on theory and experiment. Journal of\nNuclear Materials , 141-143(PART 2):633{646, nov 1986.\n29. L. K. Mansur. Correlation of neutron and heavy-ion damage. II. The predicted\ntemperature shift if swelling with changes in radiation dose rate. Journal of\nNuclear Materials , 78(1):156{160, nov 1978.\n30. L.K. Mansur. Theory and experimental background on dimensional changes in\nirradiated alloys. Journal of Nuclear Materials , 216(C):97{123, oct 1994.\n20/2331. G. S. Was, Z. Jiao, E. Getto, K. Sun, A. M. Monterrosa, S. A. Maloy,\nO. Anderoglu, B. H. Sencer, and M. Hackett. Emulation of reactor irradiation\ndamage using ion beams. Scripta Materialia , 88:33{36, oct 2014.\n32. G. S. Was, J. T. Busby, T. Allen, E. A. Kenik, A. Jensson, S. M. Bruemmer,\nJ. Gan, A. D. Edwards, P. M. Scott, and P. L. Andreson. Emulation of neutron\nirradiation effects with protons: Validation of principle. Journal of Nuclear\nMaterials , 300(2-3):198{216, feb 2002.\n33. C. Sun, S. Zheng, C. C. Wei, Y. Wu, L. Shao, Y. Yang, K. T. Hartwig, S. A.\nMaloy, S. J. Zinkle, T. R. Allen, H. Wang, and X. Zhang. Superior\nradiation-resistant nanoengineered austenitic 304L stainless steel for applications\nin extreme radiation environments. Scientific Reports , 5(1):1{7, jan 2015.\n34. J. Gan and G. S. Was. Microstructure evolution in austenitic Fe-Cr-Ni alloys\nirradiated with rotons: Comparison with neutron-irradiated microstructures.\nJournal of Nuclear Materials , 297(2):161{175, aug 2001.\n35.Christopher D. Hardie, Ceri A. Williams, Shuo Xu, and Steve G. Roberts. Effects\nof irradiation temperature and dose rate on the mechanical properties of self-ion\nimplanted Fe and Fe-Cr alloys. Journal of Nuclear Materials , 439(1-3):33{40, aug\n2013.\n36. B. N. Singh, S. I. Golubov, H. Trinkaus, A. Serra, Yu N. Osetsky, and A. V.\nBarashev. Aspects of microstructure evolution under cascade damage conditions.\nJournal of Nuclear Materials , 251:107{122, nov 1997.\n37. S. I. Golubov, B. N. Singh, and H. Trinkaus. On recoil-energy-dependent defect\naccumulation in pure copper Part II. Theoretical treatment. Philosophical\nMagazine A: Physics of Condensed Matter, Structure, Defects and Mechanical\nProperties , 81(10):2533{2552, 2001.\n38. S. I. Golubov, A. V. Barashev, and R. E. Stoller. Radiation damage theory. In\nRudy J M Konings and Roger E Stoller, editors, Comprehensive Nuclear\nMaterials , volume 1, pages 357{391. Elsevier Ltd, 2012.\n39. Cui-Lan Ren, Yang Yang, Yong-Gang Li, Ping Huai, Zhi-Yuan Zhu, and Ju Li.\nSample spinning to mitigate polarization artifact and interstitial-vacancy\nimbalance in ion-beam irradiation. npj Computational Materials , 6(1):1{11, 2020.\n40.Taira Okita, Toshihiko Sato, Naoto Sekimura, Takeo Iwai, and Francis A Garner.\nThe synergistic influence of temperature and displacement rate on\nmicrostructural evolution of ion-irradiated fe{15cr{16ni model austenitic alloy.\nJournal of nuclear materials , 367:930{934, 2007.\n41. D. I.R. Norris. The use of the high voltage electron microscope to simulate fast\nneutron-induced void swelling in metals. Journal of Nuclear Materials ,\n40(1):66{76, jul 1971.\n42. Akimichi Hishinuma, Yoshio Katano, and Kensuke Shiraishi. Dose and\ntemperature dependence of void swelling in electron irradiated stainless steel.\nJournal of Nuclear Science and Technology , 14(10):723{730, 1977.\n43. F. A. Garner, M. B. Toloczko, and B. H. Sencer. Comparison of swelling and\nirradiation creep behavior of fcc-austenitic and bcc-ferritic/martensitic alloys at\nhigh neutron exposure. Journal of Nuclear Materials , 276(1):123{142, jan 2000.\n21/2344. Kai Nordlund, Steven J. Zinkle, Andrea E. Sand, Fredric Granberg, Robert S.\nAverback, Roger Stoller, Tomoaki Suzudo, Lorenzo Malerba, Florian Banhart,\nWilliam J. Weber, Francois Willaime, Sergei L. Dudarev, and David Simeone.\nImproving atomic displacement and replacement calculations with physically\nrealistic damage models. Nature Communications , 9(1):1084, dec 2018.\n45.S. I. Golubov, B. N. Singh, and H. Trinkaus. Defect accumulation in fcc and bcc\nmetals and alloys under cascade damage conditions - towards a generalization of\nthe production bias model. Journal of Nuclear Materials , 276(1):78{89, jan 2000.\n46. M. Kiritani. Microstructure evolution during irradiation. Journal of Nuclear\nMaterials , 216(C):220{264, oct 1994.\n47. C. Pokor, Y. Brechet, P. Dubuisson, J. P. Massoud, and A. Barbu. Irradiation\ndamage in 304 and 316 stainless steels: Experimental investigation and modeling.\nPart I: Evolution of the microstructure. Journal of Nuclear Materials ,\n326(1):19{29, mar 2004.\n48. Roger E Stoller and G Robert Odette. A composite model of microstructural\nevolution in austenitic stainless steel under fast neutron irradiation. In\nRadiation-Induced Changes in Microstructure: 13th International Symposium\n(Part I) . ASTM International, 1987.\n49. T. Muroga, H. Watanabe, and N. Yoshida. Correlation of fast neutron, fusion\nneutron and electron irradiations based on the dislocation loop density. Journal\nof Nuclear Materials , 174(2-3):282{288, nov 1990.\n50.W. J. Phythian, R. E. Stoller, A. J.E. Foreman, A. F. Calder, and D. J. Bacon. A\ncomparison of displacement cascades in copper and iron by molecular dynamics\nand its application to microstructural evolution. Journal of Nuclear Materials ,\n223(3):245{261, jun 1995.\n51. Mark R Gilbert, Jaime Marian, and J-Ch Sublet. Energy spectra of primary\nknock-on atoms under neutron irradiation. Journal of nuclear materials ,\n467:121{134, 2015.\n52. James F Ziegler, Matthias D Ziegler, and Jochen P Biersack. Srim{the stopping\nand range of ions in matter (2010). Nuclear Instruments and Methods in Physics\nResearch Section B: Beam Interactions with Materials and Atoms ,\n268(11-12):1818{1823, 2010.\n53. Ekaterina Antoshchenkova, Laurence Luneville, David Simeone, Roger E Stoller,\nand Marc Hayoun. Fragmentation of displacement cascades into subcascades: A\nmolecular dynamics study. Journal of Nuclear Materials , 458:168{175, 2015.\n54. A De Backer, Christophe Domain, CS Becquart, L Luneville, D Simeone,\nAndrea E Sand, and Kai Nordlund. A model of defect cluster creation in\nfragmented cascades in metals based on morphological analysis. Journal of\nPhysics: Condensed Matter , 30(40):405701, 2018.\n55.F. A. Garner and D. L. Porter. Reassessment of the Swelling Behavior of Aisi 304\nStainless Steel. In Proceedings of the International Conference on Dimensional\nStability and Mechanical Behavior of Irradiated Metals and Alloys , pages 41{52,\n1983.\n56. S. J. Zinkle, A. M oslang, T. Muroga, and H. Tanigawa. Multimodal options for\nmaterials research to advance the basis for fusion energy in the ITER era.\nNuclear Fusion , 53(10):13, oct 2013.\n22/2357.E. Getto, K. Sun, A. M. Monterrosa, Z. Jiao, M. J. Hackett, and G. S. Was. Void\nswelling and microstructure evolution at very high damage level in self-ion\nirradiated ferritic-martensitic steels. Journal of Nuclear Materials , 480:159{176,\nnov 2016.\n58. Philippe Dubuisson, A Maillard, Christophe Delalande, Didier Gilbon, and\nJean-Louis Seran. The effect of phosphorus on the radiation induced\nmicrostructure of stabilized austenitic stainless steels. Technical report, CEA\nCentre d'Etudes Nucleaires de Saclay, 1990.\n59. J J Holmes. Irradiation-induced swelling in nickel alloys. In Battelle-Northwest,\nRichland, Wash. , 1969.\n60. J. Van Den Bosch, O. Anderoglu, R. Dickerson, M. Hartl, P. Dickerson, J. A.\nAguiar, P. Hosemann, M. B. Toloczko, and S. A. Maloy. SANS and TEM of\nferritic-martensitic steel T91 irradiated in FFTF up to 184 dpa at 413 °C.\nJournal of Nuclear Materials , 440(1-3):91{97, sep 2013.\n61. W. G. Wolfer. Advances in void swelling and helium bubble physics. Journal of\nNuclear Materials , 122(1-3):367{378, may 1984.\n62. K. C. Russell. Nucleation of voids in irradiated metals. Acta Metallurgica ,\n19(8):753{758, aug 1971.\n63. T. Okita, W. G. Wolfer, F. A. Garner, and N. Sekimura. Effects of titanium\nadditions to austenitic ternary alloys on microstructural evolution and void\nswelling. Philosophical Magazine , 85(18):2033{2048, jun 2005.\n64. S. J. Zinkle, J. L. Boutard, D. T. Hoelzer, A. Kimura, R. Lindau, G. R. Odette,\nM. Rieth, L. Tan, and H. Tanigawa. Development of next generation tempered\nand ODS reduced activation ferritic/martensitic steels for fusion energy\napplications. Nuclear Fusion , 57(9):17, jun 2017.\n65. P. Dubuisson, D. Gilbon, and J. L. S\u0013 eran. Microstructural evolution of\nferritic-martensitic steels irradiated in the fast breeder reactor Ph\u0013 enix. Journal of\nNuclear Materials , 205(C):178{189, oct 1993.\n66. B. N. Singh and S. J. Zinkle. Defect accumulation in pure fcc metals in the\ntransient regime: a review. Journal of Nuclear Materials , 206(2-3):212{229, nov\n1993.\n67. Y. Dai, G.R. Odette, and T. Yamamoto. The Effects of Helium in Irradiated\nStructural Alloys. In Comprehensive Nuclear Materials , pages 186{234. Elsevier,\njan 2020.\n68.Shi-Hao Li, Jing-Ting Li, and Wei-Zhong Han. Radiation-induced helium bubbles\nin metals. Materials , 12(7):1036, 2019.\n69. K Farrell. Experimental effects of helium on cavity formation during\nirradiation|a review. Radiation Effects , 53(3-4):175{194, 1980.\n70. JG Gigax, Tianyi Chen, Hyosim Kim, Jing Wang, LM Price, Eda Aydogan,\nStuart Andrew Maloy, DK Schreiber, MB Toloczko, FA Garner, et al. Radiation\nresponse of alloy t91 at damage levels up to 1000 peak dpa. Journal of Nuclear\nMaterials , 482:257{265, 2016.\n23/23" }, { "title": "1212.0130v1.Modeling_magnetized_neutron_stars_using_resistive_MHD.pdf", "content": "Mon. Not. R. Astron. Soc. 000, 1–14 (2012) Printed 4 November 2018 (MN L ATEX style file v2.2)\nModeling magnetized neutron stars using resistive MHD\nCarlos Palenzuela1\n1Canadian Institute for Theoretical Astrophysics, Toronto, Ontario M5S 3H8, Canada\n4 November 2018\nABSTRACT\nThis work presents an implementation of the resistive MHD equations for a generic algebraic\nOhm’s law which includes the effects of finite resistivity within full General Relativity. The\nimplementation naturally accounts for magnetic-field-induced anisotropies and, by adopting\na phenomenological current, is able to accurately describe electromagnetic fields in the star\nand in its magnetosphere. We illustrate the application of this approach in interesting systems\nwith astrophysical implications; the aligned rotator solution and the collapse of a magnetized\nrotating neutron star to a black hole.\nKey words: MHD – plasmas – gravitation – methods: numerical\n1 INTRODUCTION\nMagnetic fields play an important role in the dynamics of many rel-\nativistic astrophysical systems such as pulsars, magnetars, gamma-\nray burst (GRBs) and active galactic nuclei (AGNs). In many of\nthese scenarios, the Ohmic diffusion timescales of the magnetized\nplasma is much longer than the characteristic dynamical timescale\nof the system, so one can formally take the limit of infinite elec-\ntrical conductivity. This is regarded as the ideal MHD limit, and it\nis in general a good approximation to describe astrophysical plas-\nmas. Furthermore, such a limit is described by a relatively manage-\nable, but certainly involved hyperbolic system of equations without\nstiff terms which facilitates its computational implementation. The\nideal MHD limit has been extensively used in the last years to study\nmany of the previous systems (i.e., which basically consist of mag-\nnetized neutron stars and black hole accretion disks) in the fully\nnon-linear regime.\nIn spite of its success and convenience, the ideal MHD approx-\nimation also has some limitations. At a purely theoretical level, the\nassumption of vanishing electrical resistivity prevents some impor-\ntant physical phenomena such as dissipation and reconnection of\nthe magnetic field lines. Reconnection efficiently converts magnetic\nenergy into heat and kinetical energy in very short timescales. This\nprocess is believed to be the mechanism originating many energetic\nemissions, such as in soft gamma-ray repeaters (which could be ex-\nplained by giant magnetar flares), the Y-point of pulsar magnesto-\nsphere or even the short Gamma-Ray Bursts (Uzdensky 2011). In\norder to describe such processes, schemes going beyond the ideal\nMHD limit are required.\nAt the numerical level, all numerical schemes inherit some nu-\nmerical resistivity which depends strongly on the resolution, mak-\ning difficult to disentangle physical phenomena from numerical\nartifacts especially in highly demanding computational scenarios.\nThe presence of magnetic fields demands relatively high resolution\nto accurately capture all the physical processes involved, many ofthem occurring at very small scales. This high resolution is par-\nticularly important in the case of instabilities which amplify the\nmagnetic field, such as the Kelvin-Helmholtz instability occurring\nduring the merger of binary neutron stars (Price & Rosswog 2006;\nObergaulinger et al. 2010), and the Magneto-Rotational Instability\n(MRI) occurring in accretion disks (Balbus & Hawley 1991; Haw-\nley & Balbus 1991; Hawley et al. 1995; Balbus & Hawley 1998).\nAccurate modeling of the rarefied magnetospheres of compact ob-\njects similarly requires high resolution. The electromagnetic fields\nin this region may be easier to model by adopting a different limit\nof the MHD equations known as the force-free limit (Goldreich &\nJulian 1969). In this approximation the fluid inertia is neglected,\nimplying that the fluid does not influence directly the dynamics of\nthe electromagnetic fields.\nOne possibility to overcome these limitations is to consider\ninstead the resistive MHD framework and solve the full Maxwell\nand hydrodynamic equations. The coupling between these two is\nprovided by the current –by a suitable Ohm’s law–. With a conve-\nnient choice of current, including both induction and Ohmic terms,\nit is possible to recover both the ideal MHD limit, as well as the\nfinite-resistivity scheme required to describe physical dissipation\nand reconnections. The effect of small-scales-dynamics can also\nbe modeled with moderate resolutions by using a suitable current.\nFinally, magnetically dominated magnetospheres can be described\nby a phenomenological current that decouples the fluid from the\nforce-free EM fields.\nThe numerical evolution of this resistive MHD code is not free\nof difficulties. The resistive MHD equations can be regarded as an\nhyperbolic system with relaxation terms that become stiff for some\nlimits of the current. Consequently, numerical evolution of this sys-\ntem represents a numerical challenge, and several works have re-\ncently explored different possibilities to implement it (Komissarov\n2007; Palenzuela et al. 2009; Dumbser & Zanotti 2009; Zenitani\net al. 2010; Takamoto & Inoue 2011; Bucciantini & Del Zanna\nc\r2012 RASarXiv:1212.0130v1 [astro-ph.HE] 1 Dec 20122 Palenzuela\n2012; Dionysopoulou et al. 2012). In this work we take a step fur-\nther in the development of one of these approaches, based on the\nImplicit-Explicit (IMEX) Runge-Kutta. Our aim is to model both\nthe interior and the exterior of a star with a phenomenological cur-\nrent based on physical arguments. This will be particularly inter-\nesting to study the electromagnetic emissions of astrophysical rel-\nativistic systems involving magnetized neutron stars.\nThe capabilities of our approach are tested by consider-\ning the force-free aligned rotator solution, a well studied prob-\nlem in the context of pulsar magnetospheres (Contopoulos &\nSpitkovsky 2006; Spitkovsky 2006; McKinney 2006; Bucciantini\net al. 2006; Kalapotharakos & Contopoulos 2009; Li et al. 2012;\nTchekhovskoy & Spitkovsky 2012). These works were restricted\nto flat spacetime and excluded the interior of the star from the com-\nputational domain, thus side-stepped the stiffness problem men-\ntioned above. Recently a hybrid approach, matching both the ideal\nand force-free system of equations, revisited this problem within a\nframework capable of studying both star and surrounding magne-\ntosphere within General Relativity (Lehner et al. 2011). However\nsuch a scheme still relies on two different approximations applied\nin two regions. The approach we present here finally allows for\ntreating the system from a global point of view with a single, gen-\neral relativistic, framework.\nWe also revisit another important astrophysical scenario with\na much less understood dynamics; the collapse of a magnetized\nneutron star to a black hole. This system represents even a more\nchallenging problem because of the strong gravity fields, and it\nhas been studied numerically by considering different approxima-\ntions. An early study matched an analytical solution for the star\nto an electrovacuum magnetosphere (Baumgarte & Shapiro 2003).\nMore recently, further realism was achieved by adopting the hybrid\nscheme that matched the numerical solution of the star to force-\nfree magnetosphere (Lehner et al. 2011). A step towards study-\ning this system within a common, resistive, framework was pre-\nsented in (Dionysopoulou et al. 2012), although the star’s exterior\nwas treated as an electrovacuum magnetosphere. Our approach pre-\nsented here is able to consistently study the star and its force-free\nmagnetosphere within the general relativistic resistive MHD equa-\ntions.\nThe paper is organized as follows. Section 2 summarizes the\nfully relativistic resistive MHD system, which is slightly differ-\nent from the one adopted in (Dionysopoulou et al. 2012). In Sec-\ntion 3 it is discussed a generic family of algebraic Ohm’s law, and\nhow to construct a phenomenological current to recover both the\nideal MHD and the force-free limits. Section 4 summarizes briefly\nthe IMEX Runge-Kutta methods and different techniques to solve\ngenerically the implicit step for any algebraic form of the relax-\nation terms. The application of these methods to the resistive MHD\nsystem is performed is section 5. Section 6 presents our numerical\nresults for the aligned rotator and the collapse of a neutron star to a\nblack hole. We conclude with some remarks.\nThroughout this work we adopt geometric units such that\nG=c=1, and the convention where greek indices m;n;a;:::de-\nnote spacetime components (ie, from 0 to 3), while roman indices\ni;j;k;:::denote spatial ones. Bold letters will represent vectors.\n2 THE EVOLUTION EQUATIONS\nThis section summarizes the general relativistic resistive mag-\nnetohydrodynamic equations, that will allow us to model self-\ngravitating magnetized fluids. The evolution of the spacetime ge-ometry is governed by Einstein equations. The electromagnetic\nfields and the fluid obey, respectively, the Maxwell and the General\nRelativistic Hydrodynamic equations. The closure of the system is\ngiven by two constitutive equations; the first one is the equation\nof state, which relates the pressure to the other fluid variables. The\nsecond one is Ohm’s law, –defining the coupling between the fluid\nand the electromagnetic fields– which will be described in the next\nsection.\n2.1 Einstein Equations\nThe geometry of the spacetime can be obtained by solving the four-\ndimensional Einstein equations. These equations can be recast as a\nstandard initial value problem by splitting explicitly the time and\nthe space coordinates through a 3+1 decomposition, such that the\nline element can be expressed as\nds2=gmndxmdxn\n=\u0000a2dt2+gi j\u0010\ndxi+bidt\u0011\u0010\ndxj+bjdt\u0011\n; (1)\nwhere gmnis the spacetime metric, gi j=gi jis the intrinsic metric of\nthe spacelike hypersurfaces, and the lapse function aand the shift\nvector birelates how the coordinates change between neighboring\nhypersurfaces. The normal to the hypersurfaces is given explicitly\nby\nnm=1\na(1;\u0000bi);nm= (\u0000a;0): (2)\nIndices on spacetime quantities are raised and lowered with the 4-\nmetric and its inverse, while the 3-metric and its inverse are used to\nraise and lower indices on spatial quantities.\nThe rate of change of the intrinsic curvature from one hyper-\nsurface to another is given by the extrinsic curvature\nKi j=\u00001\n2a(¶t\u0000Lb)gi j (3)\nwhereLbis the Lie derivative along the vector bi.\nAt any given time, the spacetime geometry is then fully\ndefined by the 3 +1 variablesfa;bi;gi j;Ki jg. We adopt the\nBaumgarte-Shapiro-Shibata-Nakamura (BSSN) formulation of\nEinstein’s equations to evolve a suitable combination of these\nfields, in a form very close to the presented in (Campanelli et al.\n2006).\n2.2 Maxwell equations\nThe electromagnetic fields follow Maxwell equations, that in their\nextended version can be written as (Palenzuela et al. 2010c)\nÑm(Fmn+gmny) =\u0000In+knny (4)\nÑm(\u0003Fmn+gmnf) = knnf; (5)\nwherefFmn;\u0003Fmngare the Maxwell and the Faraday tensors, In\nis the electric current and ff;ygare scalars introduced to control\ndynamically the constraints by exponentially damping them in a\ncharacteristic time 1 =k(Dedner et al. 2002). When both the electric\nand magnetic susceptibility of the medium vanish, like in vacuum\nor in a highly ionized plasma, the Faraday tensor is simply the dual\nof the Maxwell one,\n\u0003Fmn=1\n2emnabFab; Fmn=\u00001\n2emnab\u0003Fab (6)\nc\r2012 RAS, MNRAS 000, 1–14Modeling magnetized neutron stars using resistive MHD 3\nwhere emnabis the Levi-Civita pseudotensor of the spacetime, re-\nlated to the 4-indices Levi-Civita symbol hmnabby\nemnab=1pghmnabemnab =\u0000pghmnab : (7)\nIn this case, both tensors can be decomposed in terms of the electric\nand magnetic fields,\nFmn=nmEn\u0000nnEm+emnabBanb (8)\n\u0003Fmn=nmBn\u0000nnBm\u0000emnabEanb (9)\nsuch that EmandBmare the electric and magnetic fields measured\nby a normal observer nm. Both fields are purely spatial, that is,\nEmnm=Bmnm=0.\nThe covariant Maxwell equations (4, 5) can be written, by\nperforming the 3+1 decomposition, in term of the electromagnetic\nfields and the divergence-cleaning scalars (Palenzuela et al. 2010c)\nas,\n(¶t\u0000Lb)Ei\u0000ei jkÑj(aBk)+agi jÑjy (10)\n=atrKEi\u0000aJi\n(¶t\u0000Lb)y+aÑiEi=aq\u0000aky (11)\n(¶t\u0000Lb)Bi+ei jkÑj(aEk)+agi jÑjf (12)\n=atrKBi\n(¶t\u0000Lb)f+aÑiBi=\u0000akf : (13)\nwhere ei jk\u0011ei jkana=hi jk=pgis the three-dimensional Levi-\nCivita pseudotensor. Since Fmnis antisymmetric, the four-\ndivergence of equation (4) leads to an additional equation for the\ncurrent conservation of Maxwell solutions,\nÑmIm=0: (14)\nThe electric current Incan be decomposed into components along\nand perpendicular to the vector nn,\nIn=nnq+Jn; (15)\nwhere qandJnare the charge density and the current as observed\nby a normal observer nn. Again, Jnis purely spatial, so Jnnn=\n0. The current conservation (14) can be expressed, with the 3+1\ndecomposition, as\n(¶t\u0000Lb)q+Ñi(aJi) =atrKq (16)\nOnly a prescription for the spatial components Ji, which will deter-\nmine the coupling between the EM fields and the fluid, is required\nto complete the system of Maxwell equations. This relation, com-\nmonly known as Ohm’s law, will be discussed in detail in section 3.\n2.3 Hydrodynamic equations\nA perfect fluid minimally coupled to an electromagnetic field is\ndescribed by the total stress-energy tensor\nTmn= [ r(1+e)+p]umun+pgmn\n+FmlFnl\u00001\n4gmnFlaFla (17)\nwhere a factor 1 =p\n4phas been absorbed in the definition of the\nelectromagnetic fields. Here ris the rest mass density, ethe in-\nternal energy and pis the pressure, given by a closure relation\np=p(r;e)commonly known as the equation of state (EoS). These\nfluid quantities are measured in the rest frame of the fluid ele-\nment. However, to describe the system is usually more convenientto adopt an Eulerian perspective where coordinates are not tied to\nthe flow of the fluid. The four-velocity umdescribes how the fluid\nmoves with respect to the Eulerian observers, and can be decom-\nposed into space and time components,\num=W(nm+vm) (18)\nwhere vmcorresponds to the familiar three-dimensional velocities\nas measured by Eulerian observers (i.e., vmnm=0). The time com-\nponent is defined by the normalization relation umum=\u00001, such\nthat\nW=\u0000nmum= (1\u0000vivi)\u00001=2; (19)\nwhere we can now recognize Was the Lorentz factor.\nIn summary, the magnetized fluid is described by the phys-\nical fields (i.e., the fluid variables and the electromagnetic fields)\nplus the divergence cleaning scalars, which form the set of primi-\ntive variables (r;e;p;vi;Ei;Bi;q;f;y)The matter evolution must\ncomply with the conservation of the total stress-energy tensor\nÑnTmn=0; (20)\nwhich can be expressed as a system of conservation laws for the\nenergy density Uand the momentum density Si, defined from the\nprojections of the stress-energy tensor\nU=nmnnTmn;Si=\u0000nmTmi;Si j=Ti j: (21)\nIn addition to the conservation of energy and momentum, the fluid\nusually also conserves the total number of particles,\nÑm(rum) =0 (22)\nwhere rumis the baryon number density. This equation is just the\nrelativistic generalization of the conservation of mass.\nAs mentioned above, it is necessary to specify the EOS to de-\nfine the pressure and complete the system of hydrodynamic equa-\ntions. Along this paper we will consider either the polytropic EoS\np=KrG, which is a good approximation to describe cold stars, and\nthe ideal gas EoS p= (G\u00001)re, which allows for shock heating\nin the fluid.\n2.4 Resistive MHD system\nThe evolution of the electromagnetic fields follows the Maxwell\nequations and the conservation of charge, while the fluid fields are\ngoverned by the conservation of the total energy, momentum and\nbaryonic number. In order to capture accurately the weak solutions\nof these non-linear equations in presence of shocks it is important\nc\r2012 RAS, MNRAS 000, 1–144 Palenzuela\nto express them as a set of local conservation laws, namely\n¶t(pgBi) + ¶k[pg\u0010\n\u0000bkBi+a(eik jEj+gikf)\u0011\n] (23)\n=\u0000pgBk(¶kbi)+pgf\u0010\ngi j¶ja\u0000agjkGi\njk\u0011\n¶t(pgEi) + ¶k[pg\u0010\n\u0000bkEi\u0000a(eik jBj\u0000giky)\u0011\n] (24)\n=\u0000pgEk(¶kbi)+pgy\u0010\ngi j¶ja\u0000agjkGi\njk\u0011\n\u0000apgJi\n¶t(pgf) + ¶k[pg(\u0000bkf+aBk)] (25)\n=pg[\u0000a ftrK+Bk(¶ka)\u0000akf]\n¶t(pgy) + ¶k[pg(\u0000bky+aEk)] (26)\n=pg[\u0000a ytrK+Ek(¶ka)+aq\u0000aky]\n¶t(pgq) + ¶k[pg(\u0000bkq+aJk)] = 0 (27)\n¶t(pgD) + ¶k[pg(\u0000bk+avk)D] =0 (28)\n¶t(pgt) + ¶k[pg\u0010\n\u0000bkt+a(Sk\u0000vkD)\u0011\n] (29)\n=pg[aSi jKi j\u0000Sj¶ja] (30)\n¶t(pgSi) + ¶k[pg(\u0000bkSi+aSki)] (31)\n=pg[a\n2Sjk¶igjk+Sj¶ibj\u0000(t+D)¶ia]\nwhere we have defined\nD=rW; (32)\nt=hW2\u0000p+1\n2(E2+B2)\u0000rW; (33)\nSi=hW2vi+ei jkEjBk; (34)\nSi j=hW2vivj+gi jp (35)\n\u0000EiEj\u0000BiBj+1\n2gi j(E2+B2);\nand the enthalpy h\u0011r(1+e) +p. This form of the relativistic\nresistive MHD equations is basically the same presented already\nin (Dionysopoulou et al. 2012). Another similar formulation has\nalso been derived recently (Bucciantini & Del Zanna 2012). Notice\nalso that the energy conservation has been expressed in terms of the\nquantity t\u0011U\u0000Dto recover the Newtonian limit of the energy\ndensity.\n3 COUPLING BETWEEN THE EM FIELDS AND THE\nFLUID\nMaxwell and hydrodynamic equations are coupled by means of the\ncurrent Im, whose explicit form generically depends on the electro-\nmagnetic fields and the local fluid properties measured in the co-\nmoving frame. Consequently, it is convenient to introduce the elec-\ntric and magnetic fields measured by an observer comoving with\nthe fluid, namely em\u0011Fmnunandbm\u0011\u0003Fmnun. Notice that, since\nemum=bmum=0, there are only three independent components.\nThe Maxwell and Faraday tensors can therefore be expressed as\nFmn=umen\u0000unem+emnabbaub (36)\n\u0003Fmn=umbn\u0000unbm\u0000emnabeaub (37)\nand the electric current can be decomposed into components along\nand transverse to un,\nIm=um˜q+jm; (38)where jmum=0 and ˜ qis the charge density measured by the co-\nmoving observer. The relation with the Eulerian quantities (15) can\nbe obtained from\nq=\u0000nmIm=W˜q\u0000nmjm: (39)\nSubstituting these results into eq. (38) and using the 3+1 decompo-\nsition of the four-velocity, one can write the spatial components of\nthe current as\nIi=Ji= (q+jmnm)vi+ji: (40)\nSince the charge density follows directly from the current conserva-\ntion (16), the prescription for the three-dimensional electrical cur-\nrentJiis the only missing piece to completely determine Maxwell\nequations.\n3.1 Generalized covariant Ohm’s law\nA standard prescription, known as the Ohm’s law, is to consider that\nthe current is proportional to the Lorentz force acting on a charged\nparticle, implying a linear relation between the current and the elec-\ntric field in the comoving frame. A richer variety of physical phe-\nnomena may be described by including also additional terms pro-\nportional to the comoving magnetic field, leading to a generalized\ncovariant Ohm’s law of the form,\njm=smnen+lbm; (41)\nbeing smnthe electrical conductivity of the medium (Bekenstein &\nOron 1978) and la parameter related to the covariant generaliza-\ntion of the mean-field dynamo (Bucciantini & Del Zanna 2012).\nThe electrical conductivity can be calculated either in the\ncollision-time approximation (Bekenstein & Oron 1978) or in the\nframework of relativistic charged multifluids (Andersson 2012),\nleading to the same main results. The tensorial conductivity can be\nwritten as,\nsmn=s\n1+x2b2(gmn+x2bmbn+xemnabuabb) (42)\nwhere the coefficients are given by\nx=1=R=etr=me;s=R=(nee): (43)\nHere tris the collision or relaxation time, neis the electron density\nandeandmeare the electron’s charge and mass. In the framework\ndescribed in (Andersson 2012), Ris introduced as a proportionality\nconstant in the dissipative force between the two components of the\nfluid. It is easy to check that the first term of the conductivity (42)\nleads to the well known isotropic scalar case, while the other two\nrepresent the anisotropies due to the presence of a magnetic field,\ncorresponding to the Hall effect.\nIn order to compute the closure relation (40) it is necessary to\nwrite the general relativistic Ohm’s law in terms of fields measured\nby an Eulerian observer. Let us first consider a simplified Ohm’s\nlaw neglecting both the dynamo effects and the last term in the\ntensorial conductivity (42),\njm=s\n1+x2b2[em+x2(enbn)bm]; (44)\nas it has also been used in (Zanotti & Dumbser 2011). It was\npointed out that this current implies an incomplete Hall effect (An-\ndersson 2012), but it will be enough for our later discussion. Within\nthese assumptions, and using that the electric and magnetic fields\nin the fluid frame can be written as\nem=Wnm(Envn)+WEn+WemnavnBa (45)\nbm=Wnm(Bnvn)+WBn\u0000WemnavnEa; (46)\nc\r2012 RAS, MNRAS 000, 1–14Modeling magnetized neutron stars using resistive MHD 5\nit is straightforward to obtain the contraction\njmnm=s\n1+x2b2[emnm+x2(enbn)bmnm] (47)\n=s\n1+x2b2[\u0000W(Ekvk)\u0000Wx2(EjBk)(Bkvk)]:\nThe prescription for the spatial current (40) can be now computed,\nleading to\nJi=qvi+s\n1+x2b2[Ei+x2(EkBk)Bi] (48)\nwhere we have introduced the shortcuts\nEi=Wh\nEi+ei jkvjBk\u0000(vkEk)vii\n; (49)\nBi=Wh\nBi\u0000ei jkvjEk\u0000(vkBk)vii\n: (50)\nIt is important to recall that this current accounts not only for\nisotropic resistivity but also for some anisotropic effects induced\nby the magnetic fields.\nIn the regime of low magnetization (i.e., p=B2\u001d1) these\nanisotropic effects are expected to be small, implying x\u001c1. In\nthis limit the third term in the current (48) can be neglected, lead-\ning to the well-known isotropic Ohm’s law. The high conductivity\nof the fluid implies that, in order to get a finite current, the electric\nfield measured by the comoving observers must vanish\nem=0\u0000!Ei=\u0000ei jkvjBk: (51)\nThis is the ideal-MHD condition, which states that the electric field\nis not an independent variable since it can be obtained via a simple\nalgebraic relation from the velocity and the magnetic vector fields.\nThe anisotropic effects are expected to be important in mag-\nnetically dominated fluids (i.e., p=B2\u001c1). In this limit x\u001d1, and\nthe second term in the current (48) can be neglected. In highly con-\nducting fluids a finite current is recovered only if the electric field\nis perpendicular to the magnetic field,\nembm=EiBi=0: (52)\nsince the initial assumption of magnetically dominated fluid pre-\nvents the trivial solution bi=0. In the next subsection it will be\nshown that this relation is one of the constraints of the force-free\napproximation.\n3.2 The force-free limit\nThe magnetospheres of magnetized neutron stars (Goldreich & Ju-\nlian 1969) and black holes immersed in externally sourced mag-\nnetic fields (Blandford & Znajek 1977) are filled with a low-density\nplasma so rarefied that even moderate magnetic fields stresses can\neasily dominate over the pressure gradients. In this regime, the\nmain contribution to the stress-energy tensor comes from the elec-\ntromagnetic part, Tmn\u0019Temmn. Allowing by Maxwell equations, the\ntotal conservation of energy and momentum can be written as\n0=ÑnTmn\u0019\u0000FmnIn: (53)\nThe vanishing of the Lorentz force FmnInleads to an approxima-\ntion known as force-free limit, which is valid only for magnetically\ndominated plasmas with negligible inertia. The spatial components\nof the force-free condition (53), after performing the 3+1 decom-\nposition, are\nqEi+ei jkJjBk=0 (54)or, after some simple manipulations,\nJi=qvi\nd+(JkBk)Bi\nB2;EiBi=0; (55)\nwhere we have defined vi\nd\u0011ei jkEjBk=B2as the drift velocity. Sev-\neral options have been proposed to compute the term JkBk, which\nis crucial to provide a completely explicit relation for the cur-\nrent. For instance, a closed formed for the current can be calcu-\nlated by enforcing the constraint ¶t(EiBi) =0 (Gruzinov 2007).\nAnother option is to evolve Maxwell equations by considering\nonly the drift term of the current (55), and correct the electric\nfield after each timestep to satisfy the other force-free condition\nEiBi=0 (Komissarov 2004; Spitkovsky 2006). This approximation\nhas been used successfully to study numerically pulsar magneto-\nspheres (Spitkovsky 2006) and jets emerging from black holes with\nan externally sourced magnetic field (Palenzuela et al. 2010b,a;\nNeilsen et al. 2011).\nThe force-free limit can also be achieved by considering\nan effective anisotropic conductivity with a generic form given\nby (Komissarov 2004; Moesta et al. 2012; Alic et al. 2012)\nJi=qvi\nd+sk\nB2h\n(EkBk)Bi+c(E2\u0000B2)Eii\n; (56)\nwhere skis the (anisotropic) conductivity along the magnetic field\nlines. The additional term proportional to E2\u0000B2is introduced in\norder to enforce the physical constraint jEj>jBj. The remarkably\nclose resemblance between the covariant current (48) and the force-\nfree one (56) suggests that both of them could lead to the same so-\nlutions for some limit of the conductivities. However, the force-free\ncurrent (56) attains a particularly interesting feature; due mainly to\nthe assumption of negligible fluid inertia, it does not depend on the\nfluid fields. This means that the EM fields are decoupled to the fluid\nvariables, an advantage that could be used to model accurately the\nEM fields in regions where the fluid description is not accurate.\n3.3 A current for the ideal MHD and the force-free limits\nThe numerical evolution of the ideal MHD equations typically\nfails in low density regions with high magnetization unless suffi-\ncient resolution is available, a situation that arises commonly in\nthe magnetospheres. A standard practice to avoid these failures is\nto maintain a density floor (i.e., the so called atmosphere ) in re-\ngions of low density to exploit advanced numerical techniques for\nrelativistic hydrodynamics. The density in the atmosphere is much\nsmaller than that inside the star, so this approach does not affect the\nstar’s dynamics. However, in the magnetosphere the fluid inertia\n(and pressure) is typically much smaller than that of the electro-\nmagnetic field and one generally encounters numerical difficulties.\nThese problems are mitigated by increasing the density in the atmo-\nsphere, effectively decreasing the magnetization in the exterior of\nthe star. Although these modifications produce an unphysical mod-\neling of the plasma in the magnetosphere, one could still solve cor-\nrectly Maxwell equations by using a suitable current that decouples\nthe electromagnetic fields from the fluid variables.\nAs explained earlier, the covariant current (48) reduces to the\nideal MHD limit for high isotropic conductivities (i.e., s!¥and\nx!0), while that the force-free constraint EiBi=0 is enforced\nfor large anisotropic conductivities (i.e., s;x!¥). This suggests\nthat the solutions for the EM fields in both limits can be achieved\njust by changing the anisotropic conductivity, independently on the\nplasma magnetization. Although Ohm’s law (48) is quite general, it\nstill couples the EM fields to the velocity. In addition, the parameter\nc\r2012 RAS, MNRAS 000, 1–146 Palenzuela\nxis not appropriate to model the fast decay of the magnetic field\nwith the distance to the source. To overcome these difficulties, and\nin part motivated by the strategy introduced in (Lehner et al. 2011),\nwe introduce the following phenomenological current to include\nboth the ideal MHD and the force-free limits,\nJi=q[(1\u0000H)vi+H vi\nd] (57)\n+s\n1+z2\u0014\nEi+z2\nB2f(EkBk)Bi+c(E2\u0000B2)Eig\u0015\n;\nwhere His a function which vanishes whereas the ideal MHD limit\nis valid, and tends to 1 whereas the force-free limit is more appro-\npriate. The anisotropic ratio z, which can be reinterpreted from the\ndefinition x2b2\u0011z2, can be conveniently set to be a constant in\nthe region where the force-free limit is valid. The physical condi-\ntionB2\u0000E2>0 is enforced through a new current term propor-\ntional to an anomalous conductivity c, which only appears when-\never B20 is the relaxation time. In the limit e!¥the system\nis hyperbolic with spectral radius ch(i.e., the absolute value of the\nmaximum eigenvalue). In the other limit e!0 the system is clearly\nstiff since the time scale of the relaxation (or stiff term) R(U)is\nmuch smaller than the maximum speed chof the hyperbolic part\nF(U).\nIn the stiff limit ( e!0) the stability of an explicit time evolu-\ntion scheme is only achieved with a time step size Dt6e, a muchstronger restriction than the CFL condition Dt6Dx=chof the hy-\nperbolic systems. The development of stable and efficient numeri-\ncal schemes to overcome this restrictive constraint is challenging,\nsince in many applications the relaxation time can vary many orders\nof magnitude.\nDifferent alternatives to deal with the inherent stiffness of the\nrelativistic resistive MHD equations has been proposed in the last\ndecade; combination of splitting methods and analytical solutions\n(Komissarov 2007; Zenitani et al. 2010; Takamoto & Inoue 2011),\ndiscontinuous Galerkin methods (Zanotti & Dumbser 2011; Dumb-\nser & Zanotti 2009) and Implicit-Explicit (IMEX) Runge-Kutta\nmethods (Palenzuela et al. 2009; Bucciantini & Del Zanna 2012;\nDionysopoulou et al. 2012). The following subsections summarize\nthe IMEX Runge-Kutta schemes, a family of time integrators which\nare able to deal with the potentially stiffness issues and are rela-\ntively easy to incorporate into an existing relativistic ideal MHD\ncode.\n4.1 Implicit-Explicit Runge-Kutta methods\nAn efficient way to solve the hyperbolic-relaxation systems is based\non the IMEX Runge-Kutta methods. Within this scheme, all the\nfields are evolved by using a standard explicit time integration ex-\ncept the potentially stiff terms, which are evolved with an implicit\ntime discretization. For the generic system (59) this scheme takes\nthe form (Pareschi & Russo 2005)\nU(i)=Un+Dti\u00001\nå\nj=1˜ai jF(U(j))\n+Dtn\nå\nj=1ai j1\neR(U(j)) (60)\nUn+1=Un+Dtn\nå\ni=1˜wiF(U(i))+Dtn\nå\ni=1wi1\neR(U(i))\nwhere U(i)are the auxiliary intermediate values of the Runge-\nKutta. The coefficients can be represented as n\u0002nmatrices ˜A=\n(˜ai j)andA= (ai j)such that the resulting scheme is explicit in F\n(i.e., ˜ai j=0 for j>i) and implicit in R. An IMEX Runge-Kutta is\ncharacterized by these two matrices and the coefficient vectors ˜wi\nandwi. Notice that at each substep the auxiliary intermediate values\nU(i)involves solving an implicit equation. Since the simplicity and\nefficiency of solving the implicit part at each step is of great im-\nportance, it is natural to consider diagonally implicit Runge-Kutta\n(DIRK) schemes ( ai j=0 for j>i) for the stiff terms. A deeper dis-\ncussion on the IMEX schemes and the detailed form of the schemes\nconsidered here are presented in appendix A.\n4.2 Solving generic systems with IMEX schemes\nThe vector of evolved fields Ucan be split in two sets of variables\n(V;W), depending on whether or not they contain any relaxation\nterm in their evolution equations. The evolution system can then be\ngenerically written as\n¶tW=FW(V;W) (61)\n¶tV=FV(V;W)+1\neRV(V;W); (62)\nwhere we have considered that the relaxation parameter ecan be\nany function not depending directly on the present value of the V-\nfields. The procedure to compute each auxiliary step U(i)can be\nsplit in two stages:\nc\r2012 RAS, MNRAS 000, 1–14Modeling magnetized neutron stars using resistive MHD 7\n(i) compute first the intermediate values fV\u0003;W\u0003gwhich in-\nvolves information from previous steps,\nW\u0003=Wn+Dti\u00001\nå\nj=1˜ai jFW(U(j))\nV\u0003=Vn+Dti\u00001\nå\nj=1˜ai jFV(U(j))\n+Dti\u00001\nå\nj=1ai j1\ne(j)RV(U(j)): (63)\n(ii) include the relaxation term at the present time by solving the\nimplicit equation\nW(i)= W\u0003\nV(i)= V\u0003+aiiDt\ne(i)RV(V(i);W(i)) (64)\nwhich clearly involves only the V-fields.\nThe complexity of inverting this implicit equation depends on\nthe particular form of the relaxation terms. From now on we will\nrestrict ourselves to the algebraic case RV(U) =f(U). Next it is\ndescribed two different ways to solve this implicit equation; the first\none can only be applied when RV(U)is a linear function, whereas\nthe second one allows RV(U)to have any non-linear dependence.\n4.2.1 R Vdepending linearly on V\nThe simplest case, however enough to cover a broad range of inter-\nesting situations, is to consider a linear relaxation term\nRV(V;W) =A(W)V+S(W): (65)\nThe implicit equation (64) can then be trivially solved\nV(i)=M\u0014\nV\u0003+aiiDt\ne(i)S(W(i))\u0015\nM= [ I\u0000aiiDt\ne(i)A(W(i))]\u00001: (66)\nThe matrix inversion can be performed analytically and written in a\ncompact form for most of the interesting cases, so that the implicit\nstep can be solved in a completely explicit way.\n4.2.2 R Vdepending non-linearly on V\nIn the more general case –with an arbitrary non-linear dependence–\nit is usually not feasible to solve analytically the implicit step, re-\nquiring some approximation to find the solution. A convenient ap-\nproach to solve this problem is to linearize the stiff term around an\napproximate solution f¯V;W(i)g, such that\nRV(V(i);W(i))\u0019RV(¯V;W(i)) (67)\n+\u0012¶RV\n¶V\u0013\n¯V;W(i)(V(i)\u0000¯V):\nNotice that we are linearizing around the solution W(i), which is\nalready known at the beginning of the implicit step.\nBy defining A\u0011\u0010\n¶RV\n¶V\u0011\n¯V;W(i), and substituting the previous\nexpansion (67) in (64), it is obtained\nV(i)=V\u0003+aiiDt\ne(i)[RV(¯V)+A(V(i)\u0000¯V)] (68)This implicit equation can be written, after some manipulations, in\nthe following way\nV(i)= ¯V+M[V\u0003\u0000¯V+aiiDt\ne(i)RV(¯V;W(i))]\nM\u0011[I\u0000aiiDt\ne(i)A(¯V;W(i))]\u00001(69)\nThe final expression (69) can be solved through a Newton-Raphson\niterative procedure such that, at each iteration m, uses an initial\nguess ¯V=V(i)\n(m\u00001)to find the next approximate solution V(i)\n(m).\n5 NUMERICAL EVOLUTION OF THE RESISTIVE\nMAGNETOHYDRODYNAMICS SYSTEM\nWe adopt finite difference techniques on a regular Cartesian grid\nto solve the problems of interest. To ensure sufficient resolution is\nachieved in an efficient manner we employ adaptive mesh refine-\nment (AMR) via the HAD computational infrastructure1that pro-\nvides distributed, Berger-Oliger style AMR (Liebling 2002) with\nfull sub-cycling in time, together with an improved treatment of ar-\ntificial boundaries (Lehner et al. 2006). The refinement regions are\ndetermined using truncation error estimation provided by a shadow\nhierarchy (Pretorius 2002) which adapts dynamically to ensure the\nestimated error is bounded within a pre-specified tolerance. The\nspatial discretization of the geometry is performed using a fourth\norder accurate scheme, while that High Resolution Shock Captur-\ning methods based on the HLLE flux formula with PPM recon-\nstruction are used to discretize the resistive MHD variables (An-\nderson et al. 2006, 2008). The time-evolution is performed through\nthe method of lines using a third order accurate Implicit-Explicit\nRunge-Kutta integration scheme described in the previous section.\nWe adopt a Courant parameter of l=0:25 so that Dtl=0:25Dxl\non each refinement level l. On each level, one therefore ensures\nthat the Courant-Friedrichs-Levy (CFL) condition dictated by the\nprincipal part of the equations is satisfied.\n5.1 Evolution of the electric field\nThe relaxation terms of the resistive MHD system are associated\nto the current, which mainly appears in the time evolution equation\nof the electric field. The evolved fields can then be split into and\nnon-stiff W=fD;t;Si;Bi;y;f;qgand potentially stiff V=fEig.\nThe evolution of the non-stiff fields is performed by the explicit\npart of the IMEX Runge-Kutta, and it is very similar to a standard\nimplementation of the ideal MHD equations. The evolution of the\nelectric field contains in addition the relaxation terms, namely\n¶t(pgE) = FE+(pgRE): (70)\nFE=\u0000¶k[pg\u0010\n\u0000bkEi\u0000a(eik jBj\u0000giky)\u0011\n];\n\u0000pgEk(¶kbi)+pgy\u0010\ngi j¶ja\u0000agjkGi\njk\u0011\n\u0000apgJi\ne;\nRE=\u0000aJi\ns:\nwhere the factor 1 =e, corresponding to the fluid conductivity, is ab-\nsorbed in the definition of RE. The current has been split into a po-\ntentially stiff part, Jis, and the terms which can be treated explicitly,\n1publicly available at http://had.liu.edu\nc\r2012 RAS, MNRAS 000, 1–148 Palenzuela\nJie. For the phenomenological Ohm’s law (57) these components\ncan be written explicitly as\nJi\ne=q[(1\u0000H)vi+H vi\nd]; (71)\nJi\ns=s\n1+z2\u0014\nEi+z2\nB2f(EkBk)Bi+c(E2\u0000B2)Eig\u0015\n:\nNotice that, although the evolution of qis driven by the current,\nthese terms do not become potentially stiff in this equation since\nthey are not proportional to the field itself. However, the delicate\nbalance between the different fields in the current, which allows\nto get finite values even for very high conductivities, may be bro-\nken during the reconstruction of the fields at the interfaces. These\nunacceptable large errors are prevented in the standard implemen-\ntations of the force-free equations by computing the charge density\nfrom the constraint q=ÑiEiinstead of using the charge conser-\nvation. The resulting set of equations is still hyperbolic, since the\ncharge density only couples to the EM fields throughout the non-\nprincipal term qvi(Palenzuela et al. 2011). Here we prefer to keep\nthe charge density as an evolution field and treat all the fields in\nthe same manner. The errors at the interfaces are avoided by per-\nforming directly the reconstruction of the current Ji, which is com-\nputed just after solving the stiff terms. This ensures that the fluxes\nofqwill remain bounded between the values given by well-defined\nneighboring points.\n5.2 Inversion from conserved to primitive variables\nThe numerical evolution of the resistive MHD system (23-31) in-\nvolves the recovery, after each timestep, of the primitive fields\nfr;e;p;vi;Ei;Bi;y;f;qgfrom the conserved or evolved fieldspgfD;t;Si;Ei;Bi;y;f;qg. Although the conserved fields are just\nalgebraic relations of the primitive ones, the opposite is not true;\ndue to the enthalpy and the Lorentz factor these quantities are re-\nlated by complicated equations that can only be solved numerically,\nexcept for particularly simple equations of state.\nThe solution at time t= (n+1)Dtis directly obtained, for most\nof the conserved quantities, by evolving their (non-stiff) evolution\nequations. However, the explicit evolution of the potentially stiff\nfields only provides a partial solution. As explained in the previ-\nous section, a complete solution for the electric field involves tak-\ning into account the relaxation terms by solving the corresponding\nimplicit equation. For a generic Ohm’s law, these relaxation terms\nwill depend on the velocity and other primitive fields. Nevertheless,\nthe recovery of the primitive variables from the conserved ones in-\nvolves all the fields, including the electric field. This is a consis-\ntency constraint which implies that the recovery process and the\nimplicit step evolution must be solved at the same time . We will\nnext describe an iterative procedure to evolve the stiff part and re-\ncover the primitive fields for the phenomenological current (57), as\ndescribed in subsection 4.2.2.\n(i) To start the iterative process it is required an approximate so-\nlution –initial guess– for the electric field ¯Eiand the fluid unknowns\nof the system, that we have chosen to be the single combination\nx\u0011hW2. The initial guess for this unknown is given simply by the\nprevious time step ¯ x=x(n). Possible choices for the electric field\ninitial guess are:\n\u000fthe previous time step ¯Ei=E(n)\ni\n\u000fthe ideal MHD limit ¯Ei=\u0000ei jkvjBk, which involves per-\nforming first the recovery in the ideal MHD case (see appendix\nB for details).\u000fthe approximate solution given by the explicit and previous\nimplicit step evolutions ¯Ei=E\u0003\ni.\n\u000fthe trivial case ¯Ei=0.\nIt may be difficult to estimate a priori which initial guess is more\nconvenient. For this reason, our scheme starts with the first option\nand, if no solution is found, tries sequentially the other choices.\n(ii) Subtract the electromagnetic contributions from the energy\nand momentum densities,\n˜t=t\u00001\n2(EkEk+BkBk); (72)\n˜Si=Si\u0000ei jkEjBk(73)\nsuch that the Lorentz factor can be computed as,\nW2=x2\nx2\u0000˜Si˜Si;c\u00111\nW2=1\u0000˜S2\nx2(74)\n(iii) Write also the pressure as a function of the conserved vari-\nables and the unknown x. For the ideal gas EOS p= (G\u00001)rethis\nrelation is just\np=G\u00001\nG\u0012x\nW2\u0000D\nW\u0013\n(75)\n(iv) Obtain an equation f(x) =0, written in terms of the un-\nknown xand the conserved fields, such that it is satisfied only for\ntrue solutions of x. By using the previous expression (75) in the\ndefinition of ˜t, we can write\nf(x) = [ 1\u0000(G\u00001)\nW2G]x+[G\u00001\nGW\u00001]D\u0000˜t; (76)\nwhere Wis computed through eq.(74). The equation f(x) =0\ncan be solved numerically by using an iterative Newton-Raphson\nsolver. The solution in the iteration m+1 can be computed as\nx(m+1)=x(m)\u0000f(x(m))\nf0(x(m)): (77)\nThe derivative of the function f(x)can be computed analytically,\nf0(x) = 1\u00002(G\u00001)˜S2\nGx2\n\u0000(G\u00001)c\nG+(G\u00001)D˜S2\npcGx3(78)\n(v) Update the primitive fields by using the relations\nvi=˜Si\nx;W2=x2\nx2\u0000˜S2;h=x\nW2;\np=G\u00001\nG(h\u0000r);r=D\nW: (79)\n(vi) Update the electric field –with the updated values of the\nprimitive fields– by solving the implicit equation, corresponding\nto eq. (64),\nEi=Ei\n\u0003+aiiDt Ri\nE; (80)\nwhich can be formally solved with the method described in subsec-\ntion 4.2.2 for V(i)=Ei, that is,\nEi= ¯Ei+M[E\u0003i\u0000¯Ei+aiiDt Ri\nE] (81)\nM= [ I\u0000aiiDtA]\u00001;A=¶Ri\nE\n¶Ej: (82)\nFor the phenomenological Ohm’s law (57), the matrix Mto be\nc\r2012 RAS, MNRAS 000, 1–14Modeling magnetized neutron stars using resistive MHD 9\ninverted is\nM\u00001=di\nj+˜s\u0014\nW(di\nj\u0000vivj) (83)\n+z2\nB2fBiBj+c[2EiEj+di\nj(E2\u0000B2)]g\u0015\nwith ˜s\u0011aiiDta s=(1+z2).\n(vii) Iterate until the solution fx;Eigsatisfies their constitutive\nequations f(x);f(Ei)610\u000010, being f(Ei)defined by equation\n(81).\nIn occasions the recovery procedure is unable to find a physi-\ncal state for a given set of conserved variables. In such cases, which\nusually occur near a star’s surface, failures can be avoided by as-\nsuming that the fluid is isentropic in that timestep and therefore\nsatisfying a polytropic EoS p=KrG. Since the internal energy is\nalso a function of the density (i.e., re=p=(G\u00001)) for isentropic\nprocesses, the conserved quantities are overdetermined and the en-\nergy equation can be neglected in the recovery procedure, leading\nto a more robust algorithm.\nNotice also that, although our discussion was focused on the\nphenomenological the Ohm’s law (57), the method described in\nsubsection 4.2.2 can be applied to any algebraic form of the current.\nEven more general cases with derivative terms can be considered,\nwith the condition that those must be evaluated at earlier times. In\na similar way, the method for linear relaxation terms described in\nsubsection 4.2.1 can be generically used for non-linear algebraic\ncurrents with the condition that the non-linear terms are evaluated\nat previous time steps, as it was considered in (Alic et al. 2012).\nThis option does not require an initial guess for the electric field\nand therefore may be more effective in avoiding unphysical states.\n6 NUMERICAL SIMULATIONS\nIn this section we report our numerical studies of astrophysical sce-\nnarios involving the dynamical evolution of a rotating magnetized\nstar and its magnetosphere. The initial data of rigidly rotating neu-\ntron stars is provided by the LORENE package Magstar2, which\nadopts a polytropic equation of state P=KrGwithG=2, rescaled\ntoK=100. Because the fluid pressure in a neutron star is many\norders of magnitude larger than the electromagnetic one, moderate\nmagnetic fields will have an insignificant effect on both the geom-\netry and the fluid structure, and so they can be specified freely. For\nthis reason we have chosen an initial poloidal magnetic field inside\nthe star that becomes dipolar in the external region. The electric\nfields are set by assuming the ideal MHD condition, with an initial\nzero fluid velocity in the magnetosphere.\nDuring the evolution, which is performed with the methods\ndescribed in the previous sections, the ideal MHD and the force-\nfree limits are enforced inside/outside the star by using the phe-\nnomenological current (57). We monitor the electromagnetic lumi-\nnosity, constructed from the Newman-Penrose scalar F2(Newman\n& Penrose 1962),\nLem=dEem\ndt=limr!¥Z\nr2jF2j2dW: (84)\nthat accounts for the energy carried off by outgoing waves to in-\nfinity and it is equivalent to the Poynting luminosity at large dis-\ntances. Additionally we monitor the ratio of particular components\n2publicly available at http://www.lorene.obspm.fr\n0 20 40 60\nx (km)-1-0.500.51\nRLCρ/ρ0\nH\nq/qc\nΩ/ΩNS\nχ x 105Figure 1. Aligned rotator . Several quantities displayed in the equatorial\nplane as a function of the cylindrical radius after two rotational periods.\nThe kernel function Hindicates the value of the density at which the cur-\nrent changes abruptly. The EM quantities do not display any significant\ndiscontinuity in that region, as can be appreciated for instance in the charge\ndensity. The magnetic fields in the magnetosphere, up to the light cylinder,\nco-rotates with the frequency of the star WNS. The anomalous resistivity\nappears only in the regions with E>B, close and beyond the light cylinder.\nof the Maxwell tensor WF=Ftr=Frfwhich, in the stationary, ax-\nisymmetric case, can be interpreted as the rotation frequency of the\nelectromagnetic field (Blandford & Znajek 1977).\n6.1 The aligned rotator\nWe consider first the evolution of an uniformly rotating sta-\nble star of mass M=1:58M\fand equatorial/polar radius R=\n16:1=10:6 km. The star rotates with a period T=1:3ms, so that\nthe light cylinder is located at RLC=c=WNS=62 km. The strength\nof the magnetic field at the pole is Bp=1:8\u00021014G. The numer-\nical domain extends up to L=300 km and contains four centered\nFMR grids with decreasing sizes (and twice better resolved) such\nthat the highest resolution grid has Dx=0:76 km and extends up to\n76 km (i.e., beyond the light cylinder).\nThis initial configuration is evolved until that the solution re-\nlaxes to a quasi-stationary state. Different quantities are plotted\nalong the equatorial plane in fig. 1 and that both the initial and the\nfinal magnetic field solutions are displayed in fig. 2. The relaxed fi-\nnal state has the characteristic features observed in previous works.\nThe magnetic fields are being dragged by the fluid rotation in the\ninterior of the star (i.e., as in the initial state), producing a tension\nthat forces the magnetic fields in the magnetosphere to co-rotate\nwith the star up to the light cylinder. Beyond this surface, the mag-\nnetic field lines open up, creating a current sheet in the equatorial\nplane where the anomalous resistivity in the current (or bringing\nback the neglected fluid inertia) is necessary to preserve the physi-\ncal condition B2>E2.\nWe have computed the Poynting-vector luminosity at two\nsurfaces at Rext=f76;114gkm located outside the light cylin-\nder, where the measures converge to a unique well-defined value.\nThe EM radiation is mainly dipolar (i.e., around 90% of the en-\nergy), with a small fraction in higher multipoles. The luminosity\ncan be compared with previous results in flat spacetime geometry\nwhere the spherical star is modeled through inner boundary condi-\ntions (Contopoulos & Spitkovsky 2006; Spitkovsky 2006)\nLsd=1\n4B2\npoleR2\nNSc\u0012WNSRNS\nc\u00134\n: (85)\nc\r2012 RAS, MNRAS 000, 1–1410 Palenzuela\nFigure 2. Aligned rotator . The fluid density, the magnetic field –poloidal components in lines and toroidal one in colors– and the coefficient cof the anomalous\nconductivity on the x=0 plane at t=0 and after two rotational periods of the star. The relaxed solution exhibits the known properties of the aligned rotator\nsolution, namely an opening of the magnetic field lines roughly at the expected position RLC\u00194:0Rs. These plots do not show the entire computational\ndomain.\nOur results agrees within a difference of \u001920%, where we have\nused RNS=Req. It is unclear where this small disagreement may\ncome from, since there are several possible explanations; the am-\nbiguity in the definition of the radius of oblated stars, an excess of\ndissipation in the current sheet, or purely strong gravitational ef-\nfects, which may become important due to the high compactness\nM=R=0:125 of the star.\nWe have also monitored both the energy-momentum con-\nstraints and the divergence constraints, checking that they re-\nmain small and under control during the evolution. In particular,\njÑ\u0001Bj=jBj60:05 in all the domain but the current sheet. By com-\nparing the solutions obtained with three different resolutions, each\none improving a factor 1 :18 the previous space discretization Dx,\nwe have observed that the code converges at 1 :8-order. The lumi-\nnosity for these three resolutions displayed in fig. 3 shows that,\nin spite of the spasmodic reconnections happening in the current\nsheet, the system converges to a quasi-stationary solution with a\nsteady luminosity.\n6.2 Collapse of a magnetized rotating neutron star\nAfter assessing the validity of our implementation with the aligned\nrotator solution, we can consider a more challenging and dynami-\ncal case; the collapse of an uniformly rotating magnetized neutron\nstar to a black hole. The initial data is the same as it was considered\nin (Lehner et al. 2011); a star lying on the unstable branch with\nmass M=1:84M\fand equatorial/polar radius R=10:6=7:3 km,\nrotating with a period T=0:78ms so that the light cylinder is lo-\ncated at RLC=37 km. The strength of the magnetic field at the\npole is chosen to be Bp=1:8\u00021011G, although the results may berescaled to any strength as long as the magnetic pressure is much\nsmaller than the fluid one. The numerical domain extends up to\nL=300 km and contains 6 centered FMR grids with decreasing\nsizes such that the highest resolution grid has Dx=0:19 km and\nextends up to 21 km, while that the second highest extends up to\n44 km, beyond the initial location of the light cylinder.\nSmall perturbations arising from numerical truncation errors\nare enough to trigger the collapse of the unstable star. The horizon\nappears after around 1 ms, although the most dynamical part only\nstands for the last 0 :1ms, ending when all the matter disappears be-\nyond the horizon and the nearby magnetic fields reconnects in the\nequatorial plane and escapes to infinity. The conservation of angu-\nlar momentum implies that the angular velocity of the star increases\nduring the collapse, dragging the magnetic field lines in the mag-\nnetosphere and bringing the light cylinder closer to the star. The\nmagnetic fields also grow due to the magnetic flux conservation.\nOnce all the fluid has accreted onto the black hole, the magnetic\nfields looses their anchorage, reconnects and propagates away from\nthe source. A significant fraction of the energy stored in the mag-\nnetosphere is radiated to infinity in this burst. The density of the\nstar, the Poynting vector density jF2j2and the magnetic fields are\ndisplayed at some representative stages of the collapse in fig. 4.\nThe growth of the angular velocity and the magnetic field im-\nplies that the luminosity of the aligned rotator (85) during a quasi-\nadiabatic collapse will increase as L0(RNS=R)6(Lyutikov 2011),\nbeing L0the initial luminosity of the star. However, since the col-\nlapse time is shorter than the star’s period, the outer part of the\nmagnetosphere is not able to respond to the changes in the start’s\nsurface, reducing the power of the luminosity to (RNS=R)4(Lehner\net al. 2011). In addition, strong gravitational effects will soften the\nc\r2012 RAS, MNRAS 000, 1–14Modeling magnetized neutron stars using resistive MHD 11\n0 0.2 0.4 0.6 0.8 1\ntime (T)0123L/L0low\nmedium\nhigh\nFigure 3. Aligned rotator . The EM luminosity as a function of the rotational period for three different resolutions Dx=f0:76;0:64;0:55gkm, showing the\ninitial transient followed by a fast decay to the quasi-stationary solution. The luminosity has been normalized with respect to the asymptotic value, reached\napproximately after 2 rotational periods, of the low resolution simulation.\nFigure 4. Collapse of a magnetized rotating star . The fluid density, the Poynting flux density and the poloidal magnetic field in the x=0 plane representative\nstages of the collapse corresponding to t=f\u00000:43;\u00000:30;\u00000:18;\u00000:05;0:08;0:20gms.\ngrowth of both the angular frequency and the radial magnetic field,\nleading to a much more moderate luminosity growth.\nWe have computed the electromagnetic luminosity in a sphere\nlocated at Rext=76 km, beyond the light cylinder. The EM radia-\ntion is mainly dipolar and grows during the collapse, with a strong\nburst due to the reconnection when the fluid is completely swal-\nlowed by the black hole. The luminosity and the angular velocity\n– computed inside and outside the star– are displayed in figure 5.\nThe energy in the magnetosphere increases by a factor Cpeak\u00192\nduring the collapse. The total radiated energy can be expressed as\na fraction eradof the peak energy CpeakEdipole ;0, namely\nErad\u00191:4\u00021047Cpeakerad\u0012Bp\n1015G\u00132\nerg: (86)\nwhere we have used Edipole ;0=1:4\u00021047B2\npole;15erg for a star\nof radius RNS\u001912 km (Lehner et al. 2011). In our simula-\ntion we have found erad=0:6, implying that the system radiatesErad\u00191:6\u00021047ergs during the collapse (for a magnetic field of\n1015G). Notice that this value is different from the analytical esti-\nmates and indicates the importance of the fast dynamic and strong\ngravitational effects in this scenario.\n7 SUMMARY\nWe have presented a formulation of the general relativistic resis-\ntive MHD equations. We have discussed different generalizations\nof the isotropic Ohm’s law, and constructed a phenomenological\ncurrent such that the system reduces either to the ideal MHD limit\nor to the force-free approximation just by changing the ratio of\nisotropic/anisotropic conductivities. We have explained how to deal\nwith the potential stiffness of the equations by using the implicit-\nexplicit Runge-Kutta methods, showing how to perform the im-\nplicit evolution of the electric field and the recovery of the prim-\nc\r2012 RAS, MNRAS 000, 1–1412 Palenzuela\n-0.6 -0.4 -0.2 0 0.2\ntime (ms)01234\nL/L0\nΩout/Ω0\nΩ in/Ω0\nFigure 5. Collapse of a magnetized rotating star . The EM luminosity and the angular frequency of the magnetic field – computed inside and outside the\nstar– as a function of time. These quantities have been normalized with respect to some reference values, calculated once the system relaxes to a quasi-steady\nstate (i.e., the aligned rotator solution) at early times. The agreement between the interior and the exterior angular velocity shows that it is being propagated\ncorrectly through the surface of the star.\nitive from the conserved fields at the same time for any algebraic\nOhm’s law. We implemented the formulation within the HAD com-\nputational infrastructure and revisited two interesting astrophysical\nproblems; the aligned rotator and the collapse of a rotating neutron\nstar to a black hole. None of these cases has a known analytical so-\nlution, although the first case has been studied extensively. We find\na reasonable agreement between our results and previous studies\nof the aligned rotator, recovering the same qualitative features and\napproximately the same electromagnetic luminosity.\nThe case of the collapsing star is more challenging and has\nbeen only studied previously either assuming an electrovacuum\nmagnetosphere and/or by matching the exterior to the interior solu-\ntion. Our results are qualitatively similar to those found in (Lehner\net al. 2011), although the total radiated energy in our simulations is\none order of magnitude larger due to an increase in both the peak\nenergy in the magnetosphere and the fraction of radiated energy.\nThe possible detectability of this burst has been already discussed\nin detail in (Lehner et al. 2011) and therefore will not be repeated\nhere.\nIn conclusion, the resistive MHD framework allows to con-\nsider a broad range of new phenomena;study reconnections and\ndissipation with more realistic Ohm’s law - like the resistive so-\nlutions of pulsar magnetospheres (Li et al. 2012)-, model the mag-\nnetic growth due to different instabilities by using the mean-field\ndynamo (Bucciantini & Del Zanna 2012), and compute the magne-\ntosphere interaction of binary systems –like neutron-neutron stars\nand neutron-black hole–, which may be crucial to study the possible\nelectromagnetic counterparts to the gravitational waves emitted by\nthese systems, among others possibilities. Work on these directions\nis in progress and it will be reported in the near future.\nAPPENDIX A: IMEX\nIMEX Runge-Kutta schemes can be represented by a double\ntableau in the usual Butcher notation (Butcher 1987, 2003)\n˜c ˜A\n˜wTc A\nwT(A1)where the coefficients ˜ candcused for the treatment of non-\nautonomous systems are given by the following relation\n˜ci=i\u00001\nå\nj=1˜ai j;ci=i\nå\nj=1ai j: (A2)\nSolutions of conservation equations have some norm that de-\ncreases in time. It would be desirable, in order to avoid spurious nu-\nmerical oscillations arising near discontinuities of the solution, to\nmaintain such property at a discrete level by the numerical method.\nThe most commonly used norms are the TV-norm and the infinity\nnorm. A scheme is called Strong Stability Preserving (SSP) if main-\ntains a given norm during the evolution (Spiteri & Ruuth 2002).\nIn all these schemes the implicit tableau corresponds to an L-\nstable scheme (that is, wTA\u00001e=1, being ea vector whose compo-\nnents are all equal to 1), whereas the explicit tableau is SSP k, where\nkdenotes the order of the SSP scheme. We shall use the notation\nSSPk(s;s;p), where the triplet (s;s;p)characterizes the number\nofsstages of the implicit scheme, the number sof stages of the\nexplicit scheme and the order pof the IMEX scheme.\nThere are different IMEX RK schemes available in the liter-\nature. We have considered only third order IMEX schemes, some\nof them found in the literature (Pareschi & Russo 2005) and others\ndeveloped by us. All of them are based on a third order SSP explicit\nscheme that can be implemented efficiently by using only two lev-\nels of fields and one of rhs. It is worth mentioning that these meth-\nods are still under development and have few drawbacks. Probably\nthe most serious one is an accuracy degradation for some range of\nthe relaxation time e.\nAPPENDIX B: IDEAL MHD LIMIT\nThe ideal MHD limit can be obtained by requiring the current to\nbe finite even in the limit of infinite isotropic conductivity, leading\nto the condition Ei=\u0000ei jkvjBk. The Ohm’s law current becomes\nundetermined (i.e., an infinite conductivity multiplying a vanishing\nelectric field in the co-moving frame), but it can still be computed\nfrom the redundant Maxwell equation for the electric field evolu-\ntion (11). The evolution of the magnetic field can be simplified by\nc\r2012 RAS, MNRAS 000, 1–14Modeling magnetized neutron stars using resistive MHD 13\nTable A1. Tableau for the explicit (left) implicit (right) IMEX-SSP3(4,3,3) L-stable scheme\n0 0 0 0 0\n0 0 0 0 0\n1 0 1 0 0\n1/2 0 1/4 1/4 0\n0 1/6 1/6 2/3a a 0 0 0\n0 -a a 0 0\n1 0 1\u0000a a 0\n1/2 b h 1=2\u0000b\u0000h\u0000a a\n0 1/6 1/6 2/3\na=0:24169426078821 ;b=0:06042356519705 ;h=0:12915286960590\nTable A2. Tableau for the explicit (left) implicit (right) IMEX-SSP3(5,3,3) L-stable scheme\n0 0 0 0 0 0\n0 0 0 0 0 0\n1 0 1 0 0 0\n1/2 0 1/4 1/4 0 0\n1 0 1/6 1/6 2/3 0\n0 1/6 1/6 2/3 0a a 0 0 0 0\n0 -a a 0 0 0\n1 0 1\u0000a a 0 0\n1/2 a41 a42 a43 a 0\n1 0 1/6 0 2/3 1/6\n0 1/6 0 2/3 1/6\na41=1\n8a(2a2+2a\u00001);a42=1\n8a(\u00004a2+1);a43=1\n4(\u00003a+1);a=1=3:\nsubstituting the ideal MHD condition in (13),\n¶t(pgBi) + ¶k[pgf(avk\u0000bk)Bi\u0000aviBk+agkifg]\n=pg[\u0000Bk¶kbi+fgik(¶ka+Gj\njk)] (B1)\nThe transformation from conserved to primitive is simplified\nby eliminating the electric field as an independent variable and may\nallow us to recover the primitive quantities in a more robust way.\nSubstituting the ideal MHD condition in the definition of the con-\nserved variables\nt=hW2+B2\u0000p\u0000D\u00001\n2[(Bkvk)2+B2\nW2]; (B2)\nSi= [ hW2+B2]vi\u0000(Bkvk)Bi: (B3)\nit is easy to check that\nviBi=SiBi\nhW2: (B4)\nUsing this relation, the scalar product SiSican be solved for the\nLorentz factor, obtaining\nc\u00111\nW2=1\u0000x2S2+(2x+B2)(SiBi)2\nx2(x+B2)2(B5)\nAssuming an ideal gas EoS, and after some manipulations in\nthe definition of t(B2), the resulting final equation to solve is\nf(x) = [ 1\u0000(G\u00001)c\nG]x+[(G\u00001)pc\nG\u00001]D\n+ [ 1\u0000c\n2]B2\u00001\n2x2(SiBi)2\u0000t: (B6)\nACKNOWLEDGMENTS\nThe author acknowledges his long time collaborators\nE .Hirschmann, S. Liebling and C .Thompson for useful comments,\nand particularly to D. Alic for discussions on the matching of\nthe currents, D. Neilsen for his help on implementing the IMEX\nin HAD, and L. Lehner for carefully reading and discussing this\nmanuscript. This work was supported by the Jeffrey L. Bishop\nFellowship. Computations were performed in Scinet.REFERENCES\nAlic D., Moesta P., Rezzolla L., Zanotti O., Jaramillo J. L., 2012,\nAstrophysical Journal, 754, 36\nAnderson M., Hirschmann E., Liebling S. L., Neilsen D., 2006,\nClass. Quant. Grav., 23, 6503\nAnderson M., et al., 2008, Phys. Rev., D77, 024006\nAndersson N., 2012, ArXiv e-prints\nBalbus S. A., Hawley J. F., 1991, Astrophysical Journal, 376, 214\n—, 1998, Reviews of Modern Physics, 70, 1\nBaumgarte T. W., Shapiro S. L., 2003, Astrophys. J., 585, 930\nBekenstein J. D., Oron E., 1978, Physical Review D, 18, 1809\nBlandford R. D., Znajek R. L., 1977, Mon. Not. R. Astron. Soc.,\n179, 433\nBucciantini N., Del Zanna L., 2012, ArXiv e-prints\nBucciantini N., Thompson T. A., Arons J., Quataert E., Del Zanna\nL., 2006, MNRS, 368, 1717\nButcher J., 1987\n—, 2003\nCampanelli M., Lousto C. O., Marronetti P., Zlochower Y ., 2006,\nPhysical Review Letters, 96, 111101\nContopoulos I., Spitkovsky A., 2006, Astrophysical Journal, 643,\n1139\nDedner A., Kemm F., Kr ¨oner D., Munz C.-D., Schnitzer T., We-\nsenberg M., 2002, Journal of Computational Physics, 175, 645\nDionysopoulou K., Alic D., Palenzuela C., Rezzolla L., Giaco-\nmazzo B., 2012, ArXiv e-prints\nDumbser M., Zanotti O., 2009, Journal of Computational Physics,\n228, 6991\nGoldreich P., Julian W. H., 1969, Astrophys.J., 157, 869\nGruzinov A., 2007, Astrophys. J., 667, L69\nHawley J. F., Balbus S. A., 1991, Astrophysical Journal, 376, 223\nHawley J. F., Gammie C. F., Balbus S. A., 1995, Astrophysical\nJournal, 440, 742\nKalapotharakos C., Contopoulos I., 2009, Astronomy and Astro-\nphysics, 496, 495\nKomissarov S. S., 2004, MNRS, 350, 427\n—, 2007, Mon. Not. R. Astron. Soc., 382, 995\nLehner L., Liebling S. L., Reula O., 2006, Class. Quant. Grav., 23,\nS421\nc\r2012 RAS, MNRAS 000, 1–1414 Palenzuela\nLehner L., Palenzuela C., Liebling S. L., Thompson C., Hanna C.,\n2011, ArXiv e-prints\nLi J., Spitkovsky A., Tchekhovskoy A., 2012, Astrophysical Jour-\nnal, 746, 60\nLiebling S. L., 2002, Phys. Rev. D, 66, 041703\nLyutikov M., 2011, Physical Review D, 83, 124035\nMcKinney J. C., 2006, Mon. Not. Roy. Astron. Soc. Lett., 368,\nL30\nMoesta P., Alic D., Rezzolla L., Zanotti O., Palenzuela C., 2012,\nAstrophys. J., 749, L32\nNeilsen D., Lehner L., Palenzuela C., Hirschmann E. W., Liebling\nS. L., Motl P. M., Garrett T., 2011, Proceedings of the National\nAcademy of Science, 108, 12641\nNewman E., Penrose R., 1962, J.Math.Phys., 3, 566\nObergaulinger M., Aloy M. A., M ¨uller E., 2010, Astronomy and\nAstrophysics, 515, A30\nPalenzuela C., Bona C., Lehner L., Reula O., 2011, Classical and\nQuantum Gravity, 28, 134007\nPalenzuela C., Garrett T., Lehner L., Liebling S. L., 2010a, Phys-\nical Review D, 82, 044045\nPalenzuela C., Lehner L., Liebling S. L., 2010b, Science, 329, 927\nPalenzuela C., Lehner L., Reula O., Rezzolla L., 2009, MNRS,\n394, 1727\nPalenzuela C., Lehner L., Yoshida S., 2010c, Physical Review D,\n81, 084007\nPareschi L., Russo G., 2005, J. Sci. Comput., 25, 112\nPretorius F., 2002, PhD thesis, The University of British Columbia\nPrice D. J., Rosswog S., 2006, Science, 312, 719\nSpiteri R., Ruuth S., 2002, SIAM J. Numer. Anal., 40(2), 469\nSpitkovsky A., 2006, Astrophys. J., 648, L51\nTakamoto M., Inoue T., 2011, Astrophysical Journal, 735, 113\nTchekhovskoy A., Spitkovsky A., 2012, ArXiv e-prints\nUzdensky D. A., 2011, Space Science Reviews, 160, 45\nZanotti O., Dumbser M., 2011, MNRS, 418, 1004\nZenitani S., Hesse M., Klimas A., 2010, Astrophys. J., 716, L214\nc\r2012 RAS, MNRAS 000, 1–14" }, { "title": "1904.03644v1.Restoration_of_long_range_order_of_Na_ions_in__Na_xCoO_2__at_high_temperatures_by_sodium_site_doping.pdf", "content": "Restoration of long range order of Na ions in Na xCoO 2at high temperatures by\nsodium site doping\nM. H. N. Assadi\u0003and H. Katayama-Yoshida\nGraduate School of Engineering Science, Osaka University, Osaka 560-8531, Japan\n(Dated: 2015)\nWe have systematically investigated the Na xCoO 2system doped with Cu, Y, Sn, W, Au and\nBi for x= 0:5;0:75 and 1.00 using density functional theory. Sn, W, and Bi always substitute a\nCo while Au always substitutes a Na regardless of Na concentration. However, for Cu and Y, the\nsubstitution site depends on Na concentration. When compared to the available experimental data,\nwe \fnd that thermoelectric performance is enhanced when the dopants substitute a Na site. In\nthis case, surprisingly, resistivity decreases despite the reduced hole concentration caused by carrier\nrecombination. We propose improved carrier mobility to be the cause of observed reduced resistivity.\nKeywords: Density functional theory, Sodium cobaltate, Doping, Thermoelectric e\u000bect\nI. INTRODUCTION\nLayered sodium cobaltate (Na xCoO 2) is a fascinat-\ning material that has exotic magnetic, thermoelectric\nand superconducting properties. For 0 :5< x < 1,\npolycrystalline Na xCoO 2has a comparatively high \fg-\nure of merit ( ZT) of\u00180:8 at temperature ( T) range\nof\u0018800\u00001000 K. This ZTis unusually high for an\noxide.1As shown in Fig. 1, Na xCoO 2lattice consists\nof alternating Na layers and edge-sharing CoO 6octa-\nhedral layers. In Na de\fcient systems ( x < 1), the\nNa ions adopt various con\fgurations within their plane\nlowering crystal's symmetry.2Furthermore, due to high\nNa di\u000busivity (schematically indicated by grey arrows\nin Fig. 1), the Na layer is highly disordered by ran-\ndomly distributed vacant sites in temperatures above am-\nbient thus creating a medium that disturbs the propa-\ngation of phonon excitations.3,4This irregular and dy-\nnamic positioning of the Na ions, therefore, consider-\nably reduces the lattice thermal conductivity ( \u0014L) to\n\u00180:01 Wcm\u00001K\u00001atT=\u00181000 K.5This is in con-\ntrast to most oxides in which the dominance of covalent\nbonding causes relatively high \u0014L; for instance, ZnO has\na\u0014Lvalue of \u00181:25 Wcm\u00001K\u00001atT=\u00181000 K.6How-\never, the irregular pattern of Na ions comes with the dis-\nadvantage of low carrier mobility which is in the range\nof\u00180:8 cm2V\u00001s\u00001for Na xCoO 2at room temperature7\n(compared to \u0018205 cm2V\u00001s\u00001of ZnO at the same tem-\nperature range).8The lower charge carrier mobility is\nnonetheless partly compensated by high carrier concen-\ntrations (n) which is in order of \u001810+21to 10+22cm\u00003\nfor Na 0.5CoO 2.9We should notice that this level of carrier\nconcentration is also unusually high for a good thermo-\nelectric semiconductor which has been demonstrated to\nbe\u00181019cm\u00003.\nIn order to further improve the thermoelectric perfor-\nmance of Na xCoO 2, doping has extensively been used to\nincrease the ZTof Na xCoO 2. Nonetheless, the choice of\ndopants has usually been restricted by the solubility lim-\nits and mechanical and chemical restrictions imposed by\nthe fabrication techniques. As a result, the experimen-\ntal advancement in the doped Na xCoO 2has been mainlyguided by the practical consideration rather comprehen-\nsive and strategic attempt to improve the factors in\ru-\nencing the ZT. Consequently, not only the initial am-\nbition of a \fgure of merit comfortably greater than one\nwas not realized, but also many theoretically interesting\nquestions remained unanswered too. One of these ques-\ntions that we try to address here is how carrier mobility is\na\u000bected by the dopants and how this is re\rected on the\nthermoelectric performance. Fig. 2 shows the Seebeck\ncoe\u000ecient (a) and electrical resistivity (b) of Na xCoO 2\ndoped with a wide variety of dopants as reported in\nthe literature for T=\u0018800 K.5,10{18After inspection,\nwe notice that (i) the highest Seebeck coe\u000ecient is ob-\ntained for late transition elements dopants like Cu and Zn\nfor which the Seebeck coe\u000ecient exceeds \u0018320\u0016VK\u00001,\nfollowed by noble metals dopants such as Ag and Au\nfor which the Seebeck coe\u000ecient is \u0018200\u0016VK\u00001and\nthe rare earth dopants for which the Seebeck coe\u000ecient\nis\u0018180\u0016VK\u00001; (ii) The highest Seebeck coe\u000ecient is\nachieved when x=\u00180:5 as higher Na concentrations\nconsistently result in lower Seebeck coe\u000ecient; (iii) the\nlowest resistivity is achieved for dopant concentrations of\n\u00182:5% or lower. Higher dopant concentrations result\nin the higher resistivity ( \u001a), sometimes by few orders\nof magnitude. To interpret these experimental results,\nwe conducted a comprehensive theoretical study of the\nNaxCoO 2:M system in which x= 0:5;0:75 and 1.00 while\nM = Cu, Y, Sn, W, Au and Bi, representing di\u000berent el-\nement groups.\nII. COMPUTATIONAL DETAILS\nWe performed ab initio spin-polarized density func-\ntional calculations using Accelrys's DMol3package.19,20\nSelf-consistent energy calculations were performed with\ndouble-numeric plus polarization basis and generalized\ngradient approximation based on Perdew-Wang formal-\nism for the exchange-correlation functional.21Real-space\nglobal cut-o\u000b radii were set for all elements at 6 :00/RingA,\nand Brillouin zone sampling was carried out by choos-\ning a 2 \u00024\u00022k-point set within Monkhorst-ParkarXiv:1904.03644v1 [cond-mat.mtrl-sci] 7 Apr 20192\nFIG. 1. The top (a) and the side (b) view of the Na 0.5CoO 2\nsupercell. The black, grey and blue spheres represent Co, O\nand Na ions. The direction of Na motion is marked with grey\narrows.\nscheme with a grid spacing of \u00180:05/RingA\u00001betweenk\npoints. The geometry optimization convergence thresh-\nolds for energy, Cartesian components of internal forces\nacting on the ions, and displacement were set to be\n10\u00005eV=atom, 0:01 eV=/RingA, and 0:005/RingA. A 4a\u00022a\u00021c\nsupercell of Na 16Co16O32constructed for studying the\ndoped systems. To vary sodium concentration, four or\neight sodium ions were removed from the original super-\ncell to create Na 12Co16Co32and Na 8Co16O32supercells\nfor whichx= 0:75 and 0.50 respectively. The over-\nall crystal structure of Na xCoO 2and the ordering of Na\nions critically depends on the Na concentration and has\nbeen extensively investigated both experimentally and\ntheoretically.22{24In this work, the Na patterns in the\nsupercell for di\u000berent values of xwere adopted after our\nprevious work.25Since we only considered dopants that\nreplaced cations, dopants' formation energy ( Ef) was cal-\nculated for four possible geometric con\fgurations. In the\n\frst con\fguration, M substituted Na ion at Na1 site cre-\nating a M Na1con\fguration. In the second con\fguration,\nM substituted a Na ion at Na2 site creating a M Na2con-\n\fguration. Na1 shares basal with Co and occupies the\nWycko\u000b site bwhile Na2 site shares the basal coordinates\nwith O and occupies the Wycko\u000b site dof theP63=mmc\nNaCoO 2primitive cell as demonstrated in Fig. 1. In\nthe third con\fguration, M occupied an interstitial site\nin Na layer creating a M Intcon\fguration. Few distinct\npositions for the M Intcon\fguration were considered. We\nFIG. 2. The resistivity and Seebeck coe\u000ecient of doped\nNaxCoO 2as reported in the literature at T=\u0018800 K. The\nradius of the circles proportionally correlates to the Na con-\ncentration as demonstrated in the legend of (a). The shade\nof the circles represents the concentration of the dopants as\nexpressed in the legend of (b). The data are taken from the\nfollowing works: K,5Mn,10Ni,17Cu,14Zn,16,18Sr and Y,5\nRu,10Ag,12,15Nd,5Sm,5,11Dy,11Yb,5,11and Au.15\nfound that the interstitial dopants are more stable when\nlocated on a vacant Na2 (or Wycko\u000b d) position. Finally,\nthe fourth con\fguration is constructed by M substituting\na Co ion creating a M Cocon\fguration. One special case\nwas the M Na1forx= 1; since in NaCoO 2, all Na ions\nwere located in Na2 location, no stable local minimum\nfor M Na1was found; all M Na1dopants instead relaxed\nto Na2 location. The formation energy ( Ef) was calcu-\nlated using the standard procedure as described by the\nfollowing equation:\nEf=Et(Na xCoO 2:M) +\u0016\u000b\u0000Et(Na xCoO 2)\u0000\u0016M(1)\nHere,Et(Na xCoO 2:M) is the total energy of the\nNaxCoO 2supercell containing the dopant M and\nEt(Na xCoO 2) is the total energy of the pristine Na xCoO 2\nsupercell.\u0016\u000band\u0016Mare the chemical potentials of the\nremoved and added elements respectively. The chemical\npotentials were calculated from the total energies of their\nmost stable oxides representing an oxygen-rich condition\nexcept for Au were the chemical potential was calculated\nfrom the total energy of Au's metallic form. The forma-\ntion energy of the dopants in Na xCoO 2is presented in\nFig. 3 (a)-(f).3\nIII. RESULTS AND DISCUSSION\nIn the case of Cu doping, for x= 0:5, Cu Inthad the\nlowest formation energy of 1.45 eV. However, for x= 0:75\nand 1.00, the most stable con\fguration was Cu Cowith\nformation energy of 2.49 eV and 1.29 eV respectively. In\nthe Na xCoO 2:Y system, Y Na1had the lowest formation\nenergy of 2.59 eV for x= 0:5. For higher Na concen-\ntrations, on the other hand, in a trend similar to the\ncase of Cu doping, the most stable con\fguration was\nYCowith formation energy of 3.94 eV for x= 0:75 and\n3.74 eV for x= 1:00. In the case of Sn doping, Sn Co\nalways had the lowest formation energy for all consid-\nered Na concentrations. In this case, Sn Cohad anEfof\n\u00001:06 eV;\u00000:94 eV and \u00000:53 eV forx= 0:5;0:75 and\n1.00 respectively. For the Na xCoO 2:W system, W Cowas\nthe most stable con\fguration for all values of xhaving\nanEfof 3.68 eV, 4.40 eV and 4.86 eV for x= 0:50;0:75\nand 1.00 respectively. In the Na xCoO 2:Au system, Au Int\nwas the most stable structure for x= 0:5 with anEfof\n0.87 eV. For x= 0:75 the most stable con\fguration was\nAuNa1with anEfof 1.88 eV and for x= 1:00, Au Na2\nwas the most stable con\fguration with an Efof 2.01 eV.\nFor the Na xCoO 2:Bi system, Bi Cowas the most stable\ncon\fguration for all considered Na concentration having\nanEfof 2.16 eV, 2.89 eV and 3.89 eV for x= 0:5;0:75\nand 1.00 respectively.\nWe can classify these dopants in three di\u000berent cate-\ngories; the ones that always substitute a Co regardless\nof Na concentration like Bi, W and Sn; the one that is\nalways located in the Na layer namely Au regardless of\nNa concentration; and the ones for which the most stable\ncon\fguration depends on Na concentration. The latter\nones, Cu and Y, are located in the Na layer for lower Na\nconcentration, i.e. x= 0:5, but they become more sta-\nble when substituting Co at higher Na concentrations.\nBy comparing the DFT results with the experiments, we\n\fnd that Cu and Au dopants that best improve the ther-\nmoelectric properties reside in the Na layer for x= 0:5.\nFurthermore, our previous investigation has also shown\nthat the rare earth element Eu that improves the ther-\nmoelectric performance also resides on the Na layer.26\nA dopant with an oxidation state more than 1+\nthat replaces a Na ion reduces the carrier concentra-\ntion through electron-hole recombination by compensat-\ning for the Na vacancy in the Na xCoO 2system (stoi-\nchiometric NaCoO 2is a band insulator).27This is also\ntrue for any cationic dopant that is placed in Na layer\ninterstitially. As a consequence, one anticipates an in-\ncrease in the electrical resistivity by doping such an el-\nement. The experimental data, however, obviously in-\ndicate the opposite. In one instance, where compre-\nhensive experimental data were available, the resistiv-\nity of undoped Na 0.5CoO 2was 83µ\n\u0001m atT= 800 K\nwhile introducing 2 :5%;5%;7:5% and 10% of Cu re-\nduced\u001ato 76µ\n\u0001m;54µ\n\u0001m;51µ\n\u0001m and 50 µ\n\u0001m\nrespectively14as represented by black circles in Fig. 4. A\nsimilar e\u000bect was also observed for Ag doped Na 0.75CoO 2\nFIG. 3. The formation energy ( Ef) of dopants in Na xCoO 2\nhost matrix for any given con\fguration, et al. arranged ac-\ncording to the dopants' atomic number. The black, green\nand blue symbols denote x= 0:50;0:75 and 1.00. The lines\nwere drawn for visual guidance.\nwhere the\u001adecreased from 130 µ\n\u0001m for the undoped\nsample to 110 µ\n\u0001m and 90 µ\n\u0001m for the Na 0.75CoO 2\nsample doped with 5% and 10% of Ag respectively.12One\nplausible explanation for decreasing resistivity, in this\ncase, is an increase in carrier's mobility. As previously re-\nported,23Na NMR spectra and relaxation measurements\nsuggest that for T\u0015292 K the Na layers in undoped\nNaxCoO 2adopt a 2D-liquid state, in which the Na ions\nlose the static structure with a well-de\fned allocation of\nsites.28As a consequence, Na layers act as a strong scat-\nterer for the carriers and result in poor carrier mobility.\nHowever, the incorporation of a heavier and more posi-\ntively charged dopants in the Na layer creates both mass\nand electrostatic inertia against the highly mobile Na ions\nat higher temperatures. It seems that in the doped Cu\ndoped system, the incorporation of the Cu dopants in the\nNa layer partially restores the long-range order among\nNa ions. Consequently, the mean free path of the charge\ncarriers increases thus improving carriers' mobility. The\nrestoration of long-range ordering has been directly veri-4\nFIG. 4. The black circles represent the resistivity measure-\nment of Na 0.5CoO 2:Cu adopted from the work of Park et al.14\nThe hole density was calculated assuming that Cu is incorpo-\nrated in the Ns layer interstitially. The mobility was calcu-\nlated by assuming that only holes contribute to conduction.\nThe mobility data were \ftted with a growth function, and\nit was found that Cu Intraises the mobility to the values at\nambient as measured by Brinks et al.7\n\fed for other dopants by experiments where the dopants\nare incorporated in the Na layer. For example, Raman\nspectroscopy measurement detected the peaks of Na or-\ndering at room temperatures in Mg Nadoped Na 0.8CoO 2\nsystem. These peaks were absent in the undoped sam-\nples at the same temperature.29Furthermore, neutron\ndi\u000braction experiments have shown that Ca doping in Na\nlayer creates a Na superlattice ordered over long range at\ntemperatures as high as 490 K.30This in contrast to the\nbehaviour of Na ions in undoped Na 0.5CoO 2in which\nthe superstructures of tri-vacancy in Na layer vanishes\nat temperatures higher than 200 K.31To further examine\nthis idea, we calculated the carrier mobility for di\u000berent\nCu concentration in Na 0.5CoO 2:Cu at 800 K.14By exam-\nining the Mulliken charge population of Cu Int(the most\nstable con\fguration of Cu in Na 0.5CoO 2according to Fig.3(a)), we found that Cu's 3 dorbitals were occupied by\n\u00189:75 electrons implying that Cu had an oxidation state\nof\u00181+. Then, accordingly, we adjusted the number of\nfree carrier per unit cell and calculated the carrier con-\ncentration ( n) using the experimental lattice parameters\nof Na 0.5CoO 2.32We further assumed that conductivity is\nmainly due to the hole carriers and neglect the Na ionic\ncontribution to the conductivity. This assumption is jus-\nti\fed by the fact that the ionic conductivity in Na xCoO 2\nis in order of \u00186 mS=cm33(equivalent of a resistivity of\n\u00181:67 \n\u0001m) which is \u00185 orders of magnitude smaller\nthan the electronic conductivity. Finally, we used the\nresistivity-mobility relationship \u001a= 1=e\u0016n to obtain the\nmobility presented by blue squares in Fig. 4. We see\nthat despite decreasing hole concentration, carrier mo-\nbility increases with rising Cu concentration approaching\nthe values of pristine Na xCoO 2at ambient.7Hence, we\ncan see the direct correlation between the Cu dopant con-\ncentration and increased mobility. This interesting phe-\nnomenon nonetheless needs to be further investigated by\ndirect experimental probes as it is important not only to\nthe thermoelectric applications but to the rechargeable\nNa ion batteries.34\nIV. CONCLUSIONS\nIn conclusion, guided by experimental results, we per-\nformed DFT calculation for Na xCoO 2system doped with\nCu, Y, Sn, W, Au and Bi. We found that the best ther-\nmoelectric performance is achieved when the dopant is\nlocated in the Na layer within the Na xCoO 2structure.\nAlthough these dopants reduce the hole concentration,\nthey have a greater impact increasing carrier mobility,\ntherefore, improving electrical conductivity which is an\nimportant contributing factor toward ZT. However, the\ne\u000bect of this category of dopants on thermal conductivity\nshould be experimentally investigated to \fnd the extent\nof the trade-o\u000b between improving carrier mobility and\nincreasing lattice thermal conductivity.\nACKNOWLEDGMENTS\nThis work was supported by the Japanese society for\nthe promotion of Science. Computational resources were\nprovided by Intersect Australia Ltd.\n\u0003assadi@aquarius.mp.es.osaka-u.ac.jp; Tel:+81668506671\n1I. Terasaki, \\Layered cobalt oxides: correlated electrons\nfor thermoelectrics,\" in Thermoelectric Nanomaterials ,\nSpringer Series in Materials Science, Vol. 182, edited by\nK. Koumoto and T. Mori (Springer Berlin Heidelberg,\n2013) Book section 3, pp. 51{70.\n2Y. Wang and J. Ni, Phys. Rev. B 76, 094101 (2007).3K. Koumoto, I. Terasaki, and R. Funahashi, MRS Bull.\n31, 206 (2006).\n4M. Roger, D. J. P. Morris, D. A. Tennant, M. J. Gutmann,\nJ. P. Go\u000b, J. U. Ho\u000bmann, R. Feyerherm, E. Dudzik,\nD. Prabhakaran, A. T. Boothroyd, N. Shannon, B. Lake,\nand P. P. Deen, Nature 445, 631 (2007).\n5T. Nagira, M. Ito, S. Katsuyama, K. Majima, and H. Na-\ngai, J. Alloy. Compd. 348, 263 (2003).5\n6U. Ozgur, X. Gu, S. Chevtchenko, J. Spradlin, S. J. Cho,\nH. Morkoc, F. H. Pollak, H. O. Everitt, B. Nemeth, and\nJ. E. Nause, J. Electron. Mater. 35, 550 (2006).\n7P. Brinks, G. Rijnders, and M. Huijben, Appl. Phys. Lett.\n105, 193902 (2014).\n8D. C. Look, D. C. Reynolds, J. R. Sizelove, R. L. Jones,\nC. W. Litton, G. Cantwell, and W. C. Harsch, Solid State\nCommun. 105, 399 (1998).\n9I. Terasaki, I. Tsukada, and Y. Iguchi, Phys. Rev. B 65,\n195106 (2002).\n10S. W. Li, R. Funahashi, I. Matsubara, and S. Sodeoka,\nMater. Res. Bull. 35, 2371 (2000).\n11T. Nagira, M. Ito, and S. Hara, Mater. Trans. 45, 1339\n(2004).\n12T. Seetawan, V. Amornkitbamrung, T. Burinprakhon,\nS. Maensiri, K. Kurosaki, H. Muta, M. Uno, and S. Ya-\nmanaka, J. Alloy. Compd. 407, 314 (2006).\n13M. Ito, T. Nagira, and S. Hara, J. Alloy. Compd. 408,\n1217 (2006).\n14K. Park, K. U. Jang, H. C. Kwon, J. G. Kim, and W. S.\nCho, J. Alloy. Compd. 419, 213 (2006).\n15M. Ito and D. Furumoto, J. Alloy. Compd. 450, 494 (2008).\n16K. Park and J. H. Lee, Mater. Lett. 62, 2366 (2008).\n17L. Wang, M. Wang, and D. Zhao, J. Alloy. Compd. 471,\n519 (2009).\n18P. H. Tsai, T. S. Zhang, R. Donelson, T. T. Tan, and\nS. Li, J. Alloy. Compd. 509, 5183 (2011).\n19B. Delley, J. Chem. Phys. 92, 508 (1990).\n20B. Delley, J. Chem. Phys. 113, 7756 (2000).\n21J. Perdew and Y. Wang, Phys. Rev. B 45, 13244 (1992).\n22H. W. Zandbergen, M. Foo, Q. Xu, V. Kumar, and R. J.\nCava, Phys. Rev. B 70, 024101 (2004).23P. Zhang, R. B. Capaz, M. L. Cohen, and S. G. Louie,\nPhys. Rev. B 71, 153102 (2005).\n24Y. S. Meng, A. Van der Ven, M. K. Y. Chan, and G. Ceder,\nPhys. Rev. B 72, 172103 (2005).\n25M. H. N. Assadi and H. Katayama-Yoshida, Funct. Mater.\nLett.08, 1540016 (2015).\n26M. H. N. Assadi, S. Li, and A. B. Yu, RSC Adv. 3, 1442\n(2013).\n27G. Lang, J. Bobro\u000b, H. Alloul, P. Mendels, N. Blanchard,\nand G. Collin, Phys. Rev. B 72, 094404 (2005).\n28M. Weller, A. Sacchetti, H. R. Ott, K. Mattenberger, and\nB. Batlogg, Phys. Rev. Lett. 102, 056401 (2009).\n29P. H. Tsai, M. H. N. Assadi, T. Zhang, C. Ulrich, T. T.\nTan, R. Donelson, and S. Li, J. Phys. Chem. C 116, 4324\n(2012).\n30D. G. Porter, M. Roger, M. J. Gutmann, S. Uthayakumar,\nD. Prabhakaran, A. T. Boothroyd, M. S. Pandiyan, and\nJ. P. Go\u000b, Phys. Rev. B 90, 054101 (2014).\n31D. J. Voneshen, K. Refson, E. Borissenko, M. Krisch,\nA. Bosak, A. Piovano, E. Cemal, M. Enderle, M. J. Gut-\nmann, M. Hoesch, M. Roger, L. Gannon, A. T. Boothroyd,\nS. Uthayakumar, D. G. Porter, and J. P. Go\u000b, Nat. Mater.\n12, 1027 (2013).\n32D. P. Chen, H. C. Chen, A. Maljuk, A. Kulakov, H. Zhang,\nP. Lemmens, and C. T. Lin, Phys. Rev. B 70, 024506\n(2004).\n33Y. Mo, S. P. Ong, and G. Ceder, Chem. Mater. 26, 5208\n(2014).\n34S. C. Han, H. Lim, J. Jeong, D. Ahn, W. B. Park, K.-S.\nSohn, and M. Pyo, J. Power Sources 277, 9 (2015)." }, { "title": "2105.11454v2.Dynamic_quenching_of_a_single_photon_avalanche_photodetector_using_an_adaptive_resistive_switch.pdf", "content": " \n1 \n Title: Dynamic -quenching of a single -photon avalanche photodetector using \nan adaptive resistive switch \nAuthors: Jiyuan Zheng1,2*, Xingjun Xue3, Cheng Ji1, Yuan Yuan3, Keye Sun3, Daniel Rosenmann4, Lai Wang2,5, \nJiamin Wu2,6, Joe C. Campbell3, Supratik Guha1,7 \nAffiliations: \n1 Pritzker School of Molecular Engineering, the University of Chicago, Chicago, IL 60637 USA. \n2 Beijing National Research Center for Information Science and Technology, Tsinghua University, Beijing 100084, \nChina. \n3 Electrical and Computer Engineering Department, University of Virginia, Charlottesville, Virginia 22904, USA \n4 Center for Nanos cale Materials, Argonne National Laboratory, Argonne, IL 60439, USA. \n5 Department of Electronic Engineering, Tsinghua University, Beijing 100084, China. \n6 Department of Automation, Tsinghua University, Beijing 100084, China. \n7 Material Science Division, Argonne National Laboratory, Argonne, IL 60439, USA. \n \n \n*Please address correspondence and requests for materials to J. Z . (email: zhengjiyuan@mail.tsinghua.edu.cn) ; \n \n \n2 \n Abstract \nOne of the most common approaches for quenching single -photon avalanche diodes is to use a passive resistor \nin series with it. A drawback of this approach has been the limited recovery speed of the single -photon avalanche \ndiodes . High resistance is needed to quench the avalanche, leading to slower recharging of the single -photon \navalanche diodes depletion capacitor. We address this issue by replacing a fixed quenching resistor with a bias -\ndependent adaptive resistive switch. Reversible generation of metallic conduction enables switching between low and \nhigh resistance states under unipolar bias. As an example , using a Pt/Al 2O3/Ag resistor with a commercial silicon \nsingle -photon avalanche diodes , we demonstrate avalanche pulse widths as small as ~ 30 ns, 10× smaller than a \npassively quenched approach, thus significantly improving the single -photon avalanche diodes frequency response. \nThe experiment al results are consistent with a model where the adaptive resistor dynamically changes its resistance \nduring discharging and recharging the single -photon avalanche diodes . \n \nIntroduction \nSemiconductor p- n junction based single -photon avalanche diodes (SPAD)1 are attractive as a compact, efficient, \nand room -temperature technology for applications that include three -dimensional imaging and ranging using time -of-\nflight methods (LIDAR for autonomous driving2, gesture recognition3, 3D scanning4, quantum communications5-7, \nand medical fluo rescence monitoring8). They operate in the Geiger mode, where the device is reverse biased at a \nvoltage beyond the avalanche breakdown point1. The avalanche can be self -sustaining, and a quenching circuit is, \ntherefore, necessary to terminate the multiplication process and reset the device. Passive quenching, the simplest \napproach, involves adding a sufficient large resistor in series with the SPAD, which, while enabling fast quenching of \nthe avalanche current, results in long reset times because of RC time- delays9 in the recharging of the SPAD depletion \ncapacitor. Although the RC time constant can be reduced by decreasing the optical sensing area of the detector to \nreduce junction capacitance (C), it compromises sensitivity10. Alternatively, a more complex active or gat ed mode \nquenching circuitry can bypass this problem1. For example, a variable load quenching circuit (VLQC) can provide the \nadvantages of passive quenching (e.g. suppressing t he afterpulsing effect) while greatly reducing the reset time.11,12 \nGenerally, an MOS transistor controlled by a logic circuit is used to provide the quenching resistance. After the avalanche is sufficiently quenched, the MOS transistor is switched on to accelerate the recharging process. The key \npoint of this idea is to make the quenching resistance large during the quenching and switch it to a low value during \n3 \n the rechargin g. In this work, we propose and demonstrate a new and sca lable approach that accomplishes the same \nfunctions as VLQC while retaining the simplicity of passive quenching. The idea is to use a dynamic adaptive resistive \nswitch (ARS), a material whose resistance changes reversibly as a function of the bias across it (thereby obviating the \nneed to alter the resistance using active circuitry ), we find one can significantly improve the single -photon detection \nresponse times. Under low bias, the ARS possesses a high resistance, but upon applying a bias beyond a certain \nthreshold (on voltage), the resistance drops due to the creation of filamentary conducting paths within the film13-15. In \nsome materials , the resistance changes are reversible. T here is a return to the initial high resistance state when the bias \nis reduced below a lower off threshold voltage with the same polarity. When connected in series with the SPAD , this \ncan lead to the adaptive resistor presenting a high resistance during the SPAD discharge process (leading to fast \nquenching) and a low resistance during recharging (leading to fast recharging). This is accomplished without reducing device area and t herefore without compromising SPAD sensitivity. These materials have been widely studied in the \ncontext of semiconductor memory technology and as selector switches for cross -bar memories\n13,15-23. A class of these \nmaterials consists of dielectric metal oxides such as HfO 2 and Al 2O3 (used in this work) placed between an inert \nelectrode (typically Pt) and an electrode of a diffusing metal species (we used Ag) , which creates the conducting \nfilament across the Al 2O3 layer by bias dependent metal diffusion. When the bias is removed, the filament dissolves15. \nWe refer to these materials as adaptive resistive switches (ARS) in the context of their use as dynamic quenching \nelements for SPADs. The resistive state transition in such filamentary resistors has been studied extensively, with switching times reported in the sub- ns level to a few ns level (see Menzel et al. \n24 and references [5 -19] therein, for \ninstance). Such filamentary devices can achieve a large resistance ratio of 107 - 1010 under I -V measurement25-29. In a \nrecent conference abstract, we discussed the method to reduce the recovery time of a SPAD30 using the resistive switch. \nHowever, in this paper, this novel adaptive que nching method is now fully demonstrated. \n \nResults \nMeasurements of avalanche pulse shape via quenching based on ARS \nA schematic of the charging and discharging process of the SPAD in series with a resistor and t he experimental \nsystem setup used for single -photon avalanche detection are shown in Fig. 1. Details of the experimental \nmeasurements are provided in the Methods section, along with further information regarding the quenching \nmechanism . A commercial Si SPAD (Hamamatsu S14643 -02) with a sensing diameter of 200 μm was used to detect \n4 \n single photons . The quenching resistors (whether ARS or fixed, passive resistors) were connected in series with the \nSPAD. A periodic single -photon pulse with a 1 MHz repetition rate incident on the SPAD to trigger an avalanche , and \nthe current flowing through the SPAD derived directly via readout from the oscilloscope. Details of the measurement \nsetup are described in the Methods section. \nThe ARS is a 5 nm Al 2O3 dielectric layer sandwiched by a Ti (5 nm)/Pt (50 nm) bottom electrode and a n Ag (10 \nnm)/Au (50 nm) top electrode. The top and bottom electrode s (each 5 00 nm wide) are orthogonal , leading to a cross -\nbar device geometry . Fabrication details are provided in the Method s section. \nWhen the ARS is used as a quenching resistor, t he typical single -photon triggered avalanche pulse shape (current \nflowing through the SPAD) is shown in Fig. 2 a as the blue curve . Four inflection points are marked in Fig. 2a as (A, \nB, C, D) . The driving voltage of the laser is shown as a red curve. As will be compared later, the pulse shape has a \nsignificant difference from those observed in our experiments with conventional passive quenching (i.e., with a fixed \nresistor) . For the current trace of Fig. 2 a, one possibility is that t he current (A →B) rise is attributable to the discharging \nprocess of the SPAD , followed by B→C and C→D corresponding to recharging process es. If this were the case, then \nthe quenching resistance during B→C is larger than that during C→D period (since t he B→C segment slope is lower \nthan the C→D segment ). This would imply that the switch to the low -resistance state of the ARS occurred around \npoint C , and t here should then be a significant rise in the SPAD current at C , which we do not observe . \nTherefore, discharging is completed before point A and point A is involved in the recharging process. The rise of \ncurrent at point A is caused by the switching of the resistance for the ARS (as shown in Fig. 2a). This is indeed \nexpected if we consider estimates of the timescales involved: the RC time constant for discharge is ~700 ps for a \njunction capacitance of 0.7 pF (datasheet) and a diode resistance of 1 kΩ (The estimation of diode resistance is \ndiscussed in Method part) . It follows that ~90% of the stored energy will be discharged in ~ 1. 6 ns (from Eq s. 1 and \n2). In contrast, resistive switches are known to switch on timescales of ~ 100 ps to a few ns ( c.f. Menzel et al.24 and \nthe multiple references [5-19] listed therein ). Based on these estimates' expectation s, we propose that at point A the \nSPAD has already completed its discharge, and segment A→B is caused by the ARS switch ing from the off (high -\nresistance) to the on (low -resistance) state. Segment B→C represents the fast recharging period with the ARS in the \non state. When the voltage across the ARS drops below a critical value (the off voltage) at point C , the ARS reverts \nto the off state, leading to the C→D segment . It should be noted that the SPAD recovery will continue after point D \nand the increased resistance of the ARS prolongs the process. Thus, in this paper, we refer to the A →D process as the \n5 \n critical recov ery process, which is accelerated by the ARS and the d uration after D is designated as the recovery tail. \nIn this paper, the crucial point is that the critical recovery process is fast, during which time the voltage restored on \nthe SPAD is sufficient to le t the SPAD detect other photons. The critical recovery process dominates the counting \nspeed of the SPAD. Although during the recovery tail process, the SPAD bias is slowly restored, it does not \nsignificantly influence the detection efficiency. Since it is hard to sense the exact value of the off -state resistance of \nthe ARS in the serial system during fast quenching and recharging process, it is difficult to precisely determine the \nrecovery and is beyond the scope of this paper. \nFurther analys is of this beha vior via simulations is described later in this paper. A statistical analysis of the critical \nrecovery times taken over 1000 avalanche pulses , and the critical recovery time distribution is shown in the histogram \nof Fig. 2b. Most pulses have a short critical recovery time (<50 ns) , and the averaged critical recovery time is estimated \nas 30 ns. Since the laser puls e width is 15 ns, and we target single photon pulses via the use of a 1000× attenuator \napplied to a ~1000 photon number (average) laser pulse, we cannot rule out the stochastic impingement of multiple \n(few) photons rather than a single photon only. The detection of one or a few photons is not relevant to the purpose of \nthe current paper, which is to demonstrate the dynamic quenching operation of the ARS. However, this variation may play a role in our observation of the spread in the critical recovery times. In addition, the variation of pulse width in the output of the SPAD quenched by the ARS is also probably caused by the stochastic electro -chemical reaction \nprocesses of the ARS filament growth and dissolution. \nThe jitter performance of the ARS quenched SPAD is calculated from the avalanche output measured by the \noscilloscope (Fig. 2c) . Details are provided in supplementary materials. The threshold for counting is set to be 5 mV, \nand the sampling time step is set to be 0.5 ns. A sharp and high peak appears at t ≈ 21 ns (full width at half maxima, \nFWHM ~ 1.5 ns) , while there is a second sm all peak located at t ≈ 35 ns, which is caused by the ARS degradation \nand will be discussed later . Degradation measurements indicate that the jitter degrades with repeated operation —these \nresults are discussed later in the paper. In our measurements , the modulation bandwidth of the TO -packaged laser \n(Thorlabs L520P120) compresses the 15 ns pulse width of the drive waveform (voltage monitored as shown in Fig. \n2a red curve). The actual current waveform is narrower than the electric pulse , as a result of which the jitter time is \nmuch s horter than the electric input pulse width of the laser (15 ns) . The measured jitter indicates that most avalanche \nresponse s happen with good timing consistency due to the fast and critical switching of the ARS. \nHysteresis behavior of ARS \n6 \n The quasi -static current -voltage (I -V) measurement of the ARS following the standard forming treatment at 5 V \n31 (and before the quenching experiment s) is shown in Fig. 2d. A compliance current (1 mA) is used to restrict the \nconducting filament thickness to keep the device under a volatile mode (i.e., a reversible return to the high resistance \nstate at V=0) 27,32,33. As can be seen, the on voltage is ~ 0.5V , the off voltage is ~ 0. 1 V, and the off -state leakage is \n<1 pA . The off-state leakage current flowing through the ARS is lower than the Keysight B1500a semiconductor \nanalyzer discrimination level and is buried by its noise floor as shown in Fig. 2d. Following repeated operations during \nour experiments, the on and off switching voltages drift upwards, with an increase in leakage current . This can be \nseen in the I -V characteristics of Fig. 2e (measured with the same time scale as Fig. 2d) , taken after ~ 1010 avalanche \ntriggers at periodical operation single -photon signal. The on and off switching voltage s have drifted upwards to 8 and \n5 V, respectively, and off -state and on -state resistances at this condition are ~400 kΩ, and ~40 kΩ, as is fitted by the \nsimulation, which will be discussed later . The consequences of this drift in relation to degradation are discussed later. \nThe degradation will need to be improved through materials development and is not unusual for new device \ndevelopment. \nComparison with conventional passive quenching \nTo achieve a comparable (to the ARS -based results) recovery time of ~ 30 ns using conventional passive resistance \nquenching, we estimat e (using Eq. 3 in the method part ) that the resistance should be ~ 18.6 kΩ. Here, we have used a \njunction capacitance of 0.7 pF for the SPAD (datasheet of Hamamatsu S14643 -02). However, s uch a small resistance \nvalue is not expected to be adequate to quench the avalanche process1. When we used a 30 kΩ resistor in series with \nthe SPAD, the avalanche sustained itself , the high current degrade d the SPAD performance, and the avalanche \nresponse current bec ame noisy within seconds, followed by burnout of the SPAD . We then carried out quenching \nexperiments on the same SPAD type with quenching resis tors of 40 kΩ, 60 kΩ, 100 kΩ, and 400 kΩ : the results are \nshown in Fig. 3(a) -(d). Different excess bias voltages are used to ensure that the counting rate at low repetition rate is \nthe same (method part). The measured avalanche pulse response (Fig. 3a) indicates three features: a spike, a plateau, \nand a recharging process . According to Maran o’s work10, the spike originates from the fast charging of the chip \nquenching resistor's stray capacitance. However, no spike is observed for ARS quenching (Fig. 2a). T he spike's \nabsence is because the ARS is mounted on a TO -5 can package, and the lead pitch (5.08 mm) is much larger than the \nbottom termination distance of the chip resistor (0.3 mm) used in the conventional quenching method. Since the st ray \ncapacitance is parallel to the ARS , the capacitance is inversely propor tional to the lead pitch . Thus , the stray \n7 \n capacitance is much smaller and can be ignored. The plateau is due to a sustained avalanche that occur s when the \nquenching resistance is not l arge enough34. The v ariation in the plateau's duration is a consequence of the probabilistic \nnature of the quenching process1,35. In figure 3b- d, we have compared the plateau time, recharging time, and recovery \ntime for the SPAD passively quenched with 40, 60, 100 and 400 kΩ fixed resistances. As can be seen, in all cases the \nrecovery time is typically ~300 ns or higher (Fig. 3(c)) for a CDF of >0.75. When the fixed resist ance is 30 k Ω or \nlower, we have observed unreliable quenching of the avalanche so that the operation becomes unreliable. In the case \nof the ARS, on the other hand, we are able to observe a 10× reduction in response time to ~30 ns. Our passive resistance \ndata suggests t hat this is not possible if the ARS simply had a fixed resistance, since we have bracketed our fixed \nresistance data going down to values at which point the avalanche cannot be quenched. Therefore , we believe that the \ndynamic switching of the ARS is leading to this lowered quenching resistance for the ARS case. It should be noticed \nthat the typical recovery time for conventional pa ssive quenching of SPAD s with large sensing area (diameter >100 \nμm) is 500 ns to 1 μs1. The use of ARS can significantly improve the response speed of SPADs. To achieve comparable \nspeeds using conventional passive quenching would require reducing the sensing area to around 10 µm, which \ncomplicates optical coupling and can result in reduced sensitivity10,36. The randomness of the plateau duration \noriginates from the randomness of quenching time. When the quenching resistance is large enough to quench the SPAD, the time variation is suppressed , and the quenching time is merely dependent on the R -C time constant of the \nSPAD internal discharging loop. Whereas when the quenching resistance is not large enough, there is a high probability that the quenching resistor does not immediately quench the SPAD, and the avalanche could last until it is \nself quenched, during which there is significant randomness\n34. \nThe impact of faster critical recovery times is illustrated in h igh repetition rate (20 MHz) single -photon \nmeasurement s (Fig. 4 a and 4 b). Details of the measurements are presented in the Methods section. Representative \navalanche responses (across 1.6 μs time windows) are shown both for the adaptive quenching ( ARS ) and conventional \npassive quenching ( 100 kΩ) cases. The red curve indicates the single -photon drive voltage, and the blue curve is the \nSPAD signal . Statistical analysis of the data was carried out using single -photon response data over 0.4 ms with a time \nstep resolution of 0.4 ns . There are 8000 single -photon pulses involved in the analysis . Details of the analysis are \nprovided in the supplementary materials section . The single -photon counting rate under 20 MHz single -photon \nrepetition rate is 1.8 MHz for conventional passive quenching ( 100 kΩ) and 8.5 MHz for ARS quenching. \n8 \n Similarly, the counting rates under different repetition rates ranging from 1 MHz to 50 MHz are calculated and \nplotted in Fig. 4c for SPADs quenched by ARS and conventional passive quenching. For comparison, 400 kΩ (R off of \nARS), 40 kΩ (R on of ARS) and 100 kΩ are used to perform the conventional passive quenching. As is shown in Fig. \n4c, the counting rate of the ARS quenched SPAD is significantly higher than the passive quenched SPAD, especially \nwhen the repetition rate is large. The results are consistent w ith the faster critical recovery times of the SPAD \nmeasurements with ARS quenching. \nHowever, a slow and continuous increase of the voltage across the SPAD after point D (Fig. 2a) would lead to \nhigher dark counts. In the experiment, the dark count rate of t he SPAD quenched by a 100 kΩ resistor is 207 kHz, \nwhile that for the SPAD quenched by the ARS is 330 kHz. In future work, the stability of the ARS can be improved, \nso that the off voltage of the ARS is close to 0 V and the recharging process stops right af ter point D (Fig. 2a). \n \nAnalysis of the ARS quenching of the SPAD \n The narrow avalanche pulse width and fast quenching performance are consistent with a critical change in the \nresistance of the ARS when quenching the SPAD. The switching mechanism of resistive switches has been extensively \nstudied , as noted earlier . Switching times have been reported in the range of ~100 ps to a few ns24. There have been \nlimited reports on the switching behavior of similar resistive switch es in series with a diode (or capacitor) and the \nimpact of the capacitor's charging and recharging process . The results reported here are consistent with a switching \ntime on the level of a few ns. \nIn the following, we discuss the modeling of the ARS quenched SPAD's response via PSPICE simulations using \nOrCAD Pspice Designer . Details of the analytical model are presented in the M ethod s section. For this simulation, \nthe switching voltages and resistances of the ARS are extracted from the I -V measurement resul ts, as is shown in Fig. \n5a. The ARS on and off time constants were empirically set at the level of 1 ns. \nThe simulation results are shown in Fig. 4 d and 4e . In Fig. 4d, the response current is shown in solid blue curve \nwhile the excess bias , which is defined as the voltage across SPAD minus breakdown voltage is shown in dashed red \ncurve . The shape of the blue current curve is similar to that observed in the experiment . The SPAD abrupt voltage \ndrop illustrates how the discharge proceeds (1 ns - 4 ns). After the discharge, the ARS starts to switch and generates \nan avalanche pulse output with A →B→C→D periods simi lar to the experimental resu lts shown in Fig. 2a. Fig ure 4e \nshows the voltage across the ARS (purple curve) and the ARS resistance (dark curve). Using relevant physical \n9 \n parameters (see Methods section), the simulations show that with the triggering of an avalanche, the junction capacitor \nof the SPAD discharges , and the ARS switche s from its high ( 400 kΩ ) to low ( 40 kΩ ) resistive state s in 4.7 ns (A→B) . \nIt should be noted that point A (t=2.47 ns @Fig. 2a ) occurs during the discharging period (1 ns – 4 ns) , after the \nvoltage across the ARS exceeds 8 V. As a result of switching -on, the current increases , and the recharging process is \nthen accelerated (B→C in Fig. 4 d & e). During the fast recharging , the ex cess voltage across the SPAD increases to \n4V (red dashed curve in Fig. 4 d), and the voltage on the ARS is reduced to below 5 V (purple curve in Fig. 4e). As a \nresult , the ARS switches off (C→D in Fig. 4 e). The recharging process then decelerates (C→D in Fig. 4 d). The shape \nof the simulated SPAD response is consistent with experimental observations , which means that the ARS switches \nresistance from the high to the low state during the SPAD discharge and recharge process of the SPAD, thereby \nsignificantly reducing SPAD reset times. However, two issues remain unresolved in the model used . First, the \nmagnitude of the current in the simulation peaks at ~ 100 μA, which is higher than what is observed ( 10 μA-40 μA) . \nThe reason for this is not clear at this moment. Second, our model does not incorporate statistical fluctuations of the \navalanche pulse width (Fig. 2b). \n \nDiscussion \nWe demon strate in this paper that the ARS accomplishes resistive switching during the avalanche process with \nthe result that the avalanche reset is greatly accelerated compared to a passive resistor. Although the critical recovery \ntime ( 30 ns) is much longer than the state of the art VLQC method ( 2 -3 ns @sensing area size of 20 μm11,12), the \nARS quenching method holds significant advantages in suppressing the afterpulsing effect with a large initial \nquenching resistance (400 kΩ in this work, a few tens of kΩ in ref. 11 (VLQC), and 800 Ω in ref. 12) and therefore \nthe sensing area size can be much larger (200 μm in this work) and there is no need to design a hold off time, which \ncan be quite long (>20 ns) in the VLQC method. Moreover, VLQC requires more processing complexity. We are \noffering a neat and simple way to get 10× improved critical recharging speeds in large SPADs with just a swap of the \nresistor. Based on the working principles analyzed in this paper, this critical recovery time may be further shortened by reducing the on- state resistance (to accelerate the recharging process) and improving the redox speed of the Ag \nelectrode ( increasing the critical switching speed of the ARS). \nWe note that printed circuit board (PCB) interconnects limit our time resolution for the single -photon counting \nto a few nanoseconds. Hence our measurements cannot probe the sub- ns dynamics of the filamentary devices that \n10 \n have been reported by other workers in similar materials ( see Menzel et al.24 and re ferences [5 -19] therein, for \ninstance). However, our approach is adequate for clearly demonstrating the clear benefits of the ARS devices in \nreducing passive quenching response times to tens of nanoseconds and by a factor of 10× as compared to the \npassive quenching case. \nWe now turn to a discussion of the drift in the ARS characteristics, as was noted ear lier. A photon counter \n(HydarHarp 400) was used to obtain a counting histogram of the SPAD quenched by the ARS ( details are provided in \nthe M ethods section ). Compared to the measurements of Figs. 2a -c and Figs. 4a -c, we used the same model of SPAD \n(Hamamatsu S14643- 02) but employed a lower overvoltage ( 2V) compared to 9V for the earlier measuremen ts. The \nlight pulse was attenuated to 0.1 photons/pulse. As is shown in Figure 5, we measured the histogram at three diff erent \ntime points (Checkpoints #1, #2, and #3). Checkpoint #1 is chose n at the beginning of the measurement when t ≈0 \nmin and the ARS switching cycle ≈ 0. There is a significant peak, indicating a good timing response. The dark count \nrate (DCR) is around 8 kHz , and lower than the value in the measuremen ts for Figs. 2 and 4 (330 kHz) due to the \nsmaller overvoltage . The single -photon detection efficiency (SPDE) is 30%. Unipolar I -V hysteresis performance is \nshown in the inner -plot, representing a stable hysteresis performance. After continuous operation for 30 min, the \nSPAD performance was measured again (Fig. 5b, Checkpoint #2). Note the appearance of a second peak in the \nhistogram. The DCR decreases to around 6 kHz, and the SPDE decreases to 15%. The I -V hysteresis shown in the \ninner -plot indicates that th e ARS has become leakier. We infer that it is becoming harder for the ARS to be switched \noff, so it is highly probable that the avalanche could not be quenched, and so the counting rate is suppressed. The second peak is probably caused by the longer restor ing time of the ARS. After 1h 30min (Checkpoint #3), the second \npeak has increased in magnitude relative to the first. As The DCR and SPDE decrease to around 5 kHz and 11%, respectively. As seen in the I -V hysteresis curve, the ARS tends to switch to non -volatile mode, indicating that it is \nmuch harder for the ARS to be switched off by the uni -polar driving voltage. \nThe FWHM of jitter distributions (first peak) shown in Fig. 5a -c are quantified as 2 ns, 3.6 ns, and 10.3 ns at the \nthree checkpoints over tim e. The degradation of single -photon detection performance is mainly caused by the limited \ndevice endurance. The fast avalanche pulse response is enabled by the switching of the ARS between on and off states. \nWith accumulated photon counting, the ARS become s leakier and harder to switch off, causing the SPAD performance \nto degrade. It is well known that lower quenching resistance degrades after -pulsing and jitter performance\n1,37. We \n11 \n anticipate, therefore, that the increased leakage in the ARS will also lead to poor jitter and after -pulsing characteristics. \nThese measurements were not carried out. \nIt should be noted that the data in figure 2- 4 for ARS quenched SPAD was collected within a short time (when \nthe I-V performance of Fig. 2d was tested), during which the behavior of the ARS did not change appreciably. Such \ndrift can arise from microstructural changes during the conducting filament formation and dissolution, leading to \neventual device degradation. \nDetailed studies of the degradation process and statistical evaluation of the fatigue characteristics are the future \nresearch subjects and are outside th is paper's scope . We note that similar considerations relating to material stability \nunder repeated operation ha ve also been the subject of significant work in developing this class of materials for non-\nvolatile memory applications with high cycling endurance. For instance , studies have shown that endurance can be \nimproved via using alloy electrode s like Ag -Te38, Ag-Cu39, inserting Ag diffusion barrier layer40, area scaling of the \ndevice switching region41, using host materials with stronger chemical bonding among its components42, nitridation43. \nWe anticipate that r esistance to such microstructural degradation for the case of the ARS may similarly be achieved \nby designing optimized electrode, switching structures , adjusting resistor area, new host matrix and electrode materials, \nand the introduction of solute additives that can retard diffusive processes that exacerbate microstructural fat igue. \nSilicon SPADs are technologically relevant for use as fluorescence monitors in biomedical applications. The \nwidest range of such applications are at room temperature due to issues of cost, practicality and application space. \nSilicon SPADs can fit this bill since, unlike longer wavelength detectors such as InGaAs and HgCdTe based SPADs, \nthe Si dark current density is three orders of magnitude lower (compare for instance the Hamamatsu S14643- 02 Si \nand G14858- 0020AA InGaAs detectors) at room temperature. Furthermore, a major intent for resistively quenched \nSPADs is reduced cost and complexity. This is mostly also applicable to room temperature measurements. Lower \ntemperature applications have a higher cost ceiling at which point fast active quenching circuitry can be incorporated, \nand there is no need for resistive quenching. Our studies have therefore focused only on room temperature \nmeasurements of ARS based quenching. At lower temperatures, we would expect the ARS switching speed to drop \n(ref. 44) and the switching voltage to increase ( Huang’s work45). So, a careful calibration is needed when using ARS \nto quench the SPAD at low temperatures to enhance the SPAD performance46. \nThe afterpulsing probability was estim ated by analyzing the oscilloscope data (for 1MHz and 3 MHz repetition \nrates) in the following manner. A mimic dual pulse window varying from 0.1 ns to 100 ns was used to gather the \n12 \n afterpulsing peaks that occurred following the photon generated pulse. We used 5 mV and 35 mV as the counting \nthresholds for the ARS and the fixed resistor quenched SPAD, respectively. The afterpulsing probabilities for the \nSPAD quenched by the ARS, and the 400 kΩ, 100 kΩ, and 40 kΩ, respectively, are 7.6%, 2.45%, 8.6%, and 18.3%. \nThe better performance of the ARS compared to the 40 kΩ fixed resistor is due to the critical switching of the ARS \nand an averaged large off -state resistance , which quenches avalanche fast and reduces the number of carriers that flow \nthrough the avalanc he region, leading to a lower probability of afterpulsing.37 The poorer performance of the ARS \ncompared to the 400 kΩ fixed resistor is likely due to an increase in the probability of switching failure caused by \ndegradation. \nIt should be noted that although the external drive voltage to the circuit is over 100 V, most of it drops across \nthe SPAD, not the ARS. The maximum voltage drop that develops across the ARS occurs when there is a dynamic \nchange of the voltage across the SPAD junction capacitance, and is limited to a few volts. To protect the ARS from \nburning, two points should be guaranteed: 1) The overvoltage should not be too large and 2) the external voltage \nshould be loaded and unloaded gradually to prevent an un- expected sudden voltage drop on the ARS (the \nimpendence of SAPD is small when the frequency is large). \nThe linear model (eq.1 -4) used in this work is a s implification for the ARS dynamic behavior. While our \nsimulations fit the avalanche pulse well, we note that there is a discrepancy when fitting the I -V curve due to the \nassumption of linearity. This is described in the supplementary section and in Fig. s 4. Accordi ng to Russo’s work on \nthe resistance of metallic filamentary switches that are progressively driven by an electric field, the switching speed \ncan be regarded as constant on a short time scale (tens of nanoseconds).47 Since the I -V sweep is a long process (>50 \nms), we believe the linear model is inadequate for accurately fitting the I -V curve. \nThe current largest application of passive quenching is SiPM (silicon photomultiplier).10,48-50 This work is \npotentially beneficial for improving the performance of the SiPM by accelerating the recovery speed of avalanche \nquenching. In addition, the ARS is easy to fabricate and compatible with the Si material system. Therefore , the \npotential for integration with SPAD arrays is high. \nIn summary, a n avalanche photodetector quenched with a self-adaptive resistive switch ( ARS ) has been proposed \nand demonstrated experimentally . We find that this approach led to an avalanche pulse width is at least eight times \nnarrower than the conventional passive quenching method while retaining its approach' s simplicity . The experimental \ndata and simulations support our contention that such fast switching is accomplished due to the voltage -dependent \n13 \n resistance of the ARS switch. In response to the bias changes across the ARS during the discharging and chargi ng \nprocesses, it presents a high resistance during the SPAD discharge process, drops to a low resistance during the \nrecharge process, and resets to a higher resistance value following the recharge. \n \nMethod s \nWorking principles of the SPAD quenching and how the adaptive resistive switch works in the system \nThe principle of operation is described using the equivalent circuit of a conventional passively quenched SPAD \n(Fig. 1a): represented by a photon activated s witch, an diode resistance ( Rd) and a voltage source ( Vb, representing the \navalanche breakdown voltage of the SPAD) and a junction capacitance ( Cd) as shown in the figure49. The SPAD \nconnects to an external voltage source, V a (Va – Vb = overvoltage), and an R L quenching resistor in series . Initially, the \nSPAD is charged by the external voltage, resulting in voltage V SPAD = Va applied across C d. Upon absorption of a \nphoton (F ig. 1b), the switch closes, and C d discharges through the internal loop (i.e., the avalanche is triggered), \naccompanied by a drop in V SPAD. When V SPAD decreases to a value around V b, the avalanche process ends, and the \nswitch reopens (Fig. 1c ). The external voltage now charges the SPAD, and the cycle is complete with the SPAD ready \nfor detection when the capacitor is fully charged. The currents I and voltages V SPAD during discharging are derived \nand given as Eqs. 1- 2, and during recharging ar e derived and given as Eqs. 3- 4: \n𝐼𝐼= (𝑉𝑉𝑎𝑎−𝑉𝑉𝑏𝑏)�1−𝑒𝑒−𝑡𝑡𝑅𝑅𝑑𝑑𝐶𝐶𝑑𝑑⁄�𝑅𝑅𝐿𝐿⁄ (1) \n𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆𝑆𝑆 =(𝑉𝑉𝑎𝑎−𝑉𝑉𝑏𝑏)𝑒𝑒−𝑡𝑡𝑅𝑅𝑑𝑑𝐶𝐶𝑑𝑑⁄+𝑉𝑉𝑏𝑏 (2) \n \n𝐼𝐼= (𝑉𝑉𝑎𝑎−𝑉𝑉𝑏𝑏)𝑒𝑒−𝑡𝑡𝑅𝑅𝐿𝐿𝐶𝐶𝑑𝑑⁄𝑅𝑅𝐿𝐿⁄ (3) \n𝑉𝑉𝑆𝑆𝑆𝑆𝑆𝑆𝑆𝑆 =−(𝑉𝑉𝑎𝑎−𝑉𝑉𝑏𝑏)𝑒𝑒−𝑡𝑡𝑅𝑅𝐿𝐿𝐶𝐶𝑑𝑑⁄+𝑉𝑉𝑎𝑎 (4) \nThe diode resistance (Rd) is the serial sum of the resistance in barrier region (the neutral region that current goes \nthrough) and space -charge layer. A smaller sensing area and a thicker depletion region would lead to a larger diode \nresistance. The typical diode resistance is in the range of 100 Ω to a few kΩ1. The diode resistance of the SPAD used \nin this paper is taken to be 1 kΩ since it has a large sensing area (diameter is 200 μm) with a relatively thick barrier \nregion (the quantum efficiency can reach as high as 85% @ 650 nm wavelength). \nIn SPADs, a large R L facilitates sufficient quenching and a lowered jitter time in the discharging process1. As a \nresult, RL is typically held at ~ 100 kΩ1. However, as shown in Eq. 4, this high quenching resistance also increases the \n14 \n recharging time significantly due to a high R LCd value (since R d is typical ~100 Ω to a few kΩ1, the discharging time -\n-Eqns. 1 and 2--can be ignored compared to the recharging time). Since the probability of an avalanche triggered by \nnewly absorbed photons is very low while the SPAD is being recharged, this longer recovery time limits the SPAD’s \nfrequency response when passive quenching is used. What is needed to improve the SPAD’s frequency response is a \ndynamic resistor with a high resist ance during the discharge process and a low resistance during recharging. \nThe ARS device is connected in series with the SPAD (replacing the passive resistor in Fig. 1). For the process to \nbe successful, a dynamic interaction between metallic filament form ation kinetics and avalanche quenching needs to \noccur. When absorbed photons trigger an avalanche in the SPAD, the ARS is in the off -state (high resistance). The \nSPAD depletion capacitance discharges and the avalanche is quenched when VSPAD < Vbreakdown . Until this point, the \nARS resistance should remain in the high resistance state to ensure rapid quenching of the SPAD. Following avalanche termination, the ARS should switch to the low resistance state driven by the voltage built up across it due to the drop \nin V\nSPAD. This time scale is dictated by the formation of the conductive filament across the oxide due to metal drift \nunder the electric field. The transition to the low resistance state in the ARS, in turn, enables rapid recharging of the SPAD. As the recharging progresses, the voltage across the ARS now decreases, and when it attains a value smaller \nthan the off voltage of the ARS, the conductive filament dissolves . The ARS returns to its high resistance off -state, \nand the SPAD circuit is reset. The dynamic lowering of the ARS resistance enables rapid resetting of the SPAD circuit. \nARS fabrication and measurement. \nThe ARS devices were fabricated on Si wafers covered with 300 nm thermal SiO 2 (see Fig. s1 in the supplemental \nsection for the experimentally fabricated devices). In this paper, a typical cross -bar architecture51 is used to form the \ndevice geometry . The 500 nm -wide bottom electrode strips were fabricated by electron -beam lithography followed by \nelectron -beam evaporation of a Ti (5 nm)/Pt (50 nm) bilayer thin film and lift -off. Next, an AlO x layer was deposited \nby atomic layer deposition ( Veeco/CNT Fiji) at a substrate temperature of 250 ℃, using trimethylaluminium (TMA) \nand H 2O as precursors. The AlO x layer was then patterned via photolithography and reactive ion etching ( CHF3: 15 \nsccm, Ar: 5 sccm, RF: 50W, ICP: 30 0W, Press: 7 mTorr ). Next, the top electrodes, 500 nm wide and orthogonal to \nthe bottom electrodes, were deposited using electron -beam lithography, followed by electron- beam evaporation of Ag \n(10 nm)/ Au (50 nm) and lift off. The Ag (10 nm)/Au (50 nm) top electrode was created with a Lesker PVD -250 e -\nbeam evaporator at a base pressure in the low 10-8 Torr range. The substrates were rotated at 20 rpm while kept at \nroom temperature utilizing a chilled -water cooling stage. The system was equipped with a QCM fe edback control to \n15 \n maintain the desired deposition rates within 3% tolerance . The device's active area (500 nm×500 nm) corresponds to \nthe area of cross -sectional overlap between the top and bottom electrodes. Finally, Ti (20 nm)/Au (200 nm) probe -\ncontacts (100 μm ×100 μm) were deposited via photolithography and electron- beam evaporation. \nThe A RS was packaged in a commercial TO -5 can , and the electrodes were wire bonded to the package pins. Since \nthe distance between package pins is several millimeters, the stray capacitance of the ARS package can be ignored. \nThe current -voltage characteristics of the ARS were measured by a Keysight B1500A semiconductor parameter \nanalyzer. \nAvalanche pulse shape and quenching measurements: \nAs shown in Fig. 1d, the Si SPAD (Hamamatsu S14643- 02) is connected in series with a quen ching resistor and \ndriven by a DC voltage source (Keithley 2400). A bias tee (ZFBT -4R2GW+) is used to extract the AC signal from the \nSPAD output . The bias tee has three ports, i.e., DC+AC input port ( port ① in Fig. 3), AC output port ( ②), and DC \noutput port ( ③). In the experiment, the SPAD, quenching resistor, 50 Ω readout resistor and SMA type I/O port are \nsoldered onto a PCB board. The 50 Ω readout resistor is used to match the PCB board impedance to the following \ncircuits, and the bias tee was used to extract the avalanche pulse (port ②) from the DC background (port ③) and \nprotect the amplifier and oscilloscope in case there is a constant and large current coming out from the PCB board (i.e. \nthe SPAD is shorted). The avalanche pulse is then introduced into a low noise amplifier (ZFL -1000LN+) and read out \nusing an oscilloscope (Rigol DS7024). A 520 nm laser (Thor labs L520P120) driven by a Keysight 33600A waveform \ngenerator delivers the light pulse to the SPAD. The Si SPAD's responsivity at 520 nm (0.2 A/W at a bias of 20 V with \ngain =1) enables calibration of the input light intensity using the photo -current read by the Keithley 2400. The laser \ndrive voltage is carefully set so that the corresponding photon number in each pulse averages to ~1000. The laser pulse \nis then attenuated to 1 photon/pulse by a ×1000 attenuator (Thorlabs NDUV530B). In this work, the current flowing \nthrough the SPAD is derived from the voltage readout (at the oscilloscope) divided by the voltage gain (10) of the low \nnoise ZFL -1000LN+ amplifier times the AC port output impedance (50 Ω). The avalanche pulse shape studies were \ncarried out with the laser repetition rate of 1 MHz and with the SPAD response recorded at a scanning step of 0.4 ns. \nThe over -voltages for ARS quenching and conventional quenching are carefully adjusted so that the single -photon \ndetection rates for the SPAD quenched by the ARS and fixed quenching resistor (60 kΩ and 100 kΩ) are the same \nunder a repetition rate of 1 MHz. The interval between two photons is long enough for the SPAD quenched by fixed \nresistance to have a full recovery. For the ARS -based dynamic quenching, s ince the ARS has a turn -on voltage of 8 \n16 \n V, the counting becomes significant only when the over -voltage is larger than 8 V. In this work, the overvoltage is \ntaken to be 9 V. For conventional passive quenching, an overvoltage of 4 V is adequate to provide the same detection \nrate. The counting rate is estimated by reading the oscilloscope response curve and confirmed by connecting the AC \noutput signal into a pulse counter (PicoHarp 300). \nQuenching measurement – SPAD response to high repetition rate photons \nCounting rates for the 20 MHz single -photon pulse repetition rate were measured over 8000 single -photon pulses. \nThe data accumulated over 0.4 ms length, in time, with a scanning time step of 0.4 ns. Counting is achieved by setting a trigger threshold for the SPAD response trace\n52. The counting principle is shown in Fig. s2, which is similar to that \nused as a commercial counter ( Picoharp 300). The thresholds for counting are chosen to be above the noise floor (see \nliterature for instance52). For the 100 kΩ quenched SPAD, the threshold is chosen to be 40 mV, and the light counting \nrate is 1.8 MHz. For ARS quenching, the threshold is chosen to be 5 mV, and the light co unting rate is 9.3 MHz. \nCounting histogram measurement and single photon detection performance calculation \nA counter (HydarHarp 400) is used to replace the oscilloscope in Fig. 1d. The signal threshold and acquisition \nresolution are set to be 10 mV and 32 ps, respectively. The photon number in each pulse is attenuated to 0.1. \nThe photon detection efficiency is calculated from the total count probability ( Pt) and dark count probability ( Pd). \nPt and Pd are defined as the avalanche pulse numbers per second divided by repetition rate, with and without light, \nrespectively. The number of photo- generated e- h pairs (n) during each pulse obeys Poisson distribution ( f(n)) and can \nbe represented by53 \n𝑓𝑓(𝑛𝑛)=𝜆𝜆𝑛𝑛𝑒𝑒−𝜆𝜆\n𝑛𝑛! (5) \nWhere λ is the average number of photon- generated e- h pairs per pulse and is equal t o ηꞏ𝑛𝑛�. η is the quantum \nefficiency of the SPAD, and 𝑛𝑛� is the average number of photons per pulse (0.1) . Assuming the avalanche probability \n(Pa) is the same between the avalanche events triggered by each laser pulse. And53 \n𝑃𝑃𝑎𝑎=1−(1−𝑃𝑃𝑑𝑑)(1−𝑃𝑃𝑏𝑏)𝑛𝑛 (6) \nWhere Pb is the breakdown probability. Then the average avalanche probability per pulse, P t, can be written as53 \n𝑃𝑃𝑡𝑡=�𝑃𝑃𝑎𝑎𝑓𝑓(𝑛𝑛)∞\n𝑛𝑛=0=1−(1−𝑝𝑝𝑑𝑑)−𝑛𝑛�η𝑝𝑝𝑏𝑏 (7) \nTherefore, the SPDE of the SPAD, which is equal with ηꞏ 𝑝𝑝𝑏𝑏, can be expressed as53 \n17 \n 𝑆𝑆𝑃𝑃𝑆𝑆𝑆𝑆 =1\n𝑛𝑛𝑙𝑙𝑛𝑛�1−𝑃𝑃𝑑𝑑\n1−𝑃𝑃𝑡𝑡� (8) \n \nPSPICE simulation \nThe software OrCAD Pspice Designer was used to simulate the quenching process. The circuit schematic is shown \nin Fig. s3 . The photon signal port, resistances R1 , R2, and the switches S Trig, SSelf represent the switch in Fig. 1a ~ 1c. \nV1 and R3 represent th e equivalent internal voltage source (breakdown voltage) and the SPAD internal resistance, \nrespectively. C1 represents the SPAD junction capacitance. The optical switch sub -circuit, V1, R3, and C1 form the \nequivalent circuit of the SPAD. The quenching resi stance is represented by R4 (ARS with PSPICE model embedded \nin). V2 is the external voltage source. R5 is the 50 Ω matching resistor. Components C4, R6, and L1 form a bias tee, \nwhich separates the AC and the DC signal. The values of R6 are given by the dat a sheet of the bias tee ZFBT -4R2GW+ , \nwhere the values of capacitance and inductance are missing. Thus, C6 and L1 are selected from the datasheet of another bias tee product BT1- 0026 from Marki Microwave, which has a similar transmission band to what we used in \nthe experiment. The AC signal is introduced from C4 into an oscilloscope, whose input impedance is 50 Ω (R7). In \nthe simulation, we track the current flow through R7, the voltage across S PAD, and the voltage and current on the \nARS during quenching. The photon signal port generates a voltage pulse\n54 with a pulse width of 1 ps whose rising \nedge triggers the switching (closure) of a voltage -controlled switch S Trig. When S Trig switches on (i.e., closes), C1 \ndischarges through an internal loop (labeled blue in the figure: C1 → R3 →V1 →S Trig → SSelf →C1 ). The discharge \ncurrent exceeds the threshold of the current -controlled switch S Self, leading to its closure when discharging begins. The \nfalling edge of the electric pulse leads to the reopening of the voltage -controlled switch S Trig. The current controlled \nswitch threshold is set to be 100 μA (latching current of self -sustainable avalanche1) in this work. When discharging \nends, the current flow through S Self equals the excess bias (the difference between external voltage and breakdown \nvoltage) divided by the total resistance (the sum of the quenching resistance and diode resistance). If the current is \nbelow 100 μA, S Self opens, and the avalanche is quenched. Else, the avalanche continues unquenched. \nThe SPAD breakdown voltage V1 is 100 V, and junction capacitance C1 is 0.7 pF (Hamamatsu S14643 datasheet). \nA typical value of 1 kΩ55 is taken for the internal resistance, and with V2 = 109 V, the excess bias is 9 V. The \nrecharging path is labeled in Fig. s3 as a red loop through V1 →R5 →C1 →ARS →V1. \nA Pspice model of the ARS was built using an approach based on Biolek ’s work (Model R.2: Bipolar memristive \nsystem with threshold)56, using the following equations to describe the behavior of the ARS: \n18 \n 𝐼𝐼=𝑥𝑥−1𝑉𝑉𝑀𝑀 (9) \n𝑑𝑑𝑥𝑥\n𝑑𝑑𝑑𝑑=𝑓𝑓(𝑉𝑉𝑀𝑀)𝑊𝑊(𝑥𝑥,𝑉𝑉𝑀𝑀) (10) \n \n𝑓𝑓(𝑉𝑉𝑀𝑀)=𝛽𝛽×�𝑉𝑉𝑀𝑀−1\n2�𝑉𝑉𝑜𝑜𝑛𝑛+𝑉𝑉𝑜𝑜𝑜𝑜𝑜𝑜�−1\n2��𝑉𝑉𝑀𝑀−𝑉𝑉𝑜𝑜𝑜𝑜𝑜𝑜�−|𝑉𝑉𝑀𝑀−𝑉𝑉𝑜𝑜𝑛𝑛|�� (11) \n𝑊𝑊(𝑥𝑥,𝑉𝑉𝑀𝑀)=𝜃𝜃(𝑉𝑉𝑜𝑜𝑛𝑛−𝑉𝑉𝑀𝑀)𝜃𝜃�1−𝑥𝑥\n𝑅𝑅𝑜𝑜𝑜𝑜𝑜𝑜�+𝜃𝜃�𝑉𝑉𝑀𝑀−𝑉𝑉𝑜𝑜𝑜𝑜𝑜𝑜�𝜃𝜃�𝑥𝑥\n𝑅𝑅𝑜𝑜𝑛𝑛−1� (12) \n Here I and VM are the current and voltage on the ARS, x is the resistance of the ARS, 𝛽𝛽 denotes a resistance \ntransition speed (the unit is Ω/(sꞏV)). 𝑉𝑉𝑜𝑜𝑛𝑛, 𝑅𝑅𝑜𝑜𝑛𝑛, 𝑉𝑉𝑜𝑜𝑜𝑜𝑜𝑜 and 𝑅𝑅𝑜𝑜𝑜𝑜𝑜𝑜 are switch on voltage, on -state resistance, switch off \nvoltage, and off -state resistance. Extracting the key parameters of the ARS from Fig. 4(b), the 𝑉𝑉𝑜𝑜𝑛𝑛= 8 V, 𝑉𝑉𝑜𝑜𝑜𝑜𝑜𝑜 = 5 V, \n𝑅𝑅𝑜𝑜𝑛𝑛= 40 kΩ, and 𝑅𝑅𝑜𝑜𝑜𝑜𝑜𝑜= 400 kΩ. The response varies as a function of 𝛽𝛽, and it is found that the switching speed is \ngreatly influenced by the factor 𝛽𝛽. 𝛽𝛽 looks to be in the 1×1014Ω/(sꞏV) ballpark to be able to show a similar response \nto our experimental results. In the paper, 𝛽𝛽 is assumed to be 1×1014Ω/(sꞏV) to accommoda te the ~ns level rising \nand falling speed of the response curve. As described in Biolek ’s work,56, 𝜃𝜃 is the smoothed step function as shown \nin Eq. 13, which is set to avoid convergence problems 56 \n𝜃𝜃(𝑥𝑥)=1\n1+𝑒𝑒−𝑥𝑥𝑏𝑏⁄ (13) \n|𝑥𝑥|=𝑥𝑥[𝜃𝜃(𝑥𝑥)−𝜃𝜃(−𝑥𝑥)] . (14) \nHere, b is a smoothing parameter ( 𝑏𝑏=1×10−5 according to Biolek ’s work56). Eq. 14 defines the absolute value \nfunction by using the step funct ion.56 \nData availabi lity \nThe data generated in this study have been deposited in the following Figshare database without accession code \n[https://doi.org/10.6084/m9.figshare.19092749.v1][https://doi.org/10.6084/m9.figshare.19092761.v1][https://doi.org\n/10.6084/m9.figshare.19092764.v1][https://doi.org/10.6084/m9.figshare.19092767.v1][https://doi.org/10.6084/m9.fi\ngshare.19092770.v1][https://doi.org/10.6084/m9.figshare.19092785.v1][https://doi.org/10.6084/m9.figshare.190927\n88.v1][https://doi.org/10.6084/m9.figshare.19092791.v1][htt ps://doi.org/10.6084/m9.figshare.19092794.v1][https://\ndoi.org/10.6084/m9.figshare.19092797.v1] \nCode availability \n19 \n The codes used in this study have been deposited in the following Figshare database without accession code \n[https://doi.org/10.6084/m9.figshare.19114688.v1] \nReferences \n1 Cova, S., Ghioni, M., Lacaita, A., Samori, C. & Zappa, F. Avalanche photodiodes and \nquenching circuits for single -photon detection. Appl. Opt. 35, 1956- 1976, \ndoi:10.1364/AO.35.001956 (1996). \n2 Tachella, J. et al. Real-time 3D reconstruction from single -photon lidar data using plug- and-\nplay point cloud denoisers. Nat. Commun. 10, doi:10.1038/s41467- 019-12943- 7 (2019). \n3 Kollorz, E., Penne, J. & Hornegger, J. Gesture recognition with a time -of-flight camera. Int. J. \nIntel. Syst. Technol. Appl. 5, 334- 343 (2008). \n4 Cui, Y., Schuon, S., Chan, D., Thrun, S. & Theobalt, C. in Proc. of IEEE Conference on \nComputer Vision and Pattern Recognition (CVPR, 2010) 1173- 1180 (San Francisco, CA, 2010). \n5 Craddock, A. N. et al. Quantum Interference between Photons from an Atomic Ensemble and \na Remote Atomic Ion. Phys. Rev. Lett. 123, doi:10.1103/PhysRevLett.123.213601 (2019). \n6 Meda, A. et al. Quantifying backflash radiation to prevent zero- error attacks in quantum key \ndistribution. Light Sci. Appl. 6, doi:10.1038/lsa.2016.261 (2017). \n7 Wengerowsky, S., Joshi, S. K., Steinlechner, F., Hubel, H. & Ursin, R. An entanglement -based \nwavelength -multiplexed quantum communication network. Nature 564, 225- +, \ndoi:10.1038/s41586- 018-0766- y (2018). \n8 Tenne, R. et al. Super -resolution enhancement by quantum image scanning microscopy. Nat. \nPhoton. 13, 116- +, doi:10.1038/s41566- 018-0324- z (2019). \n9 Zhang, J., Itzler, M. A., Zbinden, H. & Pan, J. W. Advances in InGaAs/InP single -photon \ndetector systems for quantum communication. Light Sci. A ppl. 4, doi:10.1038/lsa.2015.59 (2015). \n10 Marano, D. et al. Silicon Photomultipliers Electrical Model Extensive Analytical Analysis. \nIEEE Trans. Nucl. Sci. 61, 23- 34, doi:10.1109/TNS.2013.2283231 (2014). \n11 Bronzi, D. et al. Fast Sensing and Quenching of CMOS SPADs for Minimal Afterpulsing \nEffects. IEEE Photon. Tech. Lett. 25, 776- 779, doi:10.1109/LPT.2013.2251621 (2013). \n12 Tisa, S., Guerrieri, F. & Zappa, F. Variable -load quenching circuit for single -photon avalanche \ndiodes. Opt. Express 16, 2232- 2244, doi:10.1364/OE.16.002232 (2008). \n13 Wong, H. S. P. et al. Metal -Oxide RRAM. Proceedings of the IEEE 100, 1951- 1970, \ndoi:10.1109/JPROC.2012.2190369 (2012). \n14 Fan, L. L. et al. Growth and phase transition characteristics of pure M -phase VO2 epitaxial \nfilm prepared by oxide molecular beam epitaxy. Appl. Phys. Lett. 103, doi:10.1063/1.4823511 \n(2013). \n15 Wang, Z. et al. Memristors with diffusive dynamics as synaptic emulators for neuromorphic \ncomputing. Nat. Mater. 16, 101- 108, doi:10.1038/NMAT4756 (2017). \n16 Berggren, K. et al. Roadmap on emerging hardware and technology for machine learning. \nNanotechnology 32, doi:10.1088/1361- 6528/aba70f (2021). \n17 Zhang, W. et al. Neuro -inspired computing chips. Nat. Electron. 3, 371- 382, \ndoi:10.1038/s41928- 020-0435- 7 (2020). \n18 Li, C. et al. Long short -term memory networks in memristor crossbar arrays. Nat. Mach. Intell. \n1, 49- 57, doi:10.1038/s42256- 018-0001- 4 (2019). \n19 Li, C. et al. Analogue signal and image processing with large memristor crossbars. Nat. \nElectron. 1, 52- 59, doi:10.1038/s41928- 017-0002- z (2018). \n20 \n 20 Ielmini, D. & Wong, H. S. P. In- memory computing with resistive switching device s. Nat. \nElectron. 1, 333- 343, doi:10.1038/s41928- 018-0092- 2 (2018). \n21 Jerry, M. et al. in 2017 IEEE International Electron Devices Meeting IEEE International \nElectron Devices Meeting (2017). \n22 Yang, J. J. et al. High switching endurance in TaOx memris tive devices. Appl. Phys. Lett. 97, \ndoi:10.1063/1.3524521 (2010). \n23 Intel. Intel and Micron Produce Breakthrough Memory Technology , \n (2015). \n24 Menzel, S., von Witzleben, M., Havel, V. & Boettger, U. The ultimate switching speed limit \nof redox -based resistive switching devices. Faraday Discuss. 213, 197- 213, \ndoi:10.1039/c8fd00117k (2019). \n25 Wang, Z. et al. Memristors with diffusive dynamics as syna ptic emulators for neuromorphic \ncomputing. Nat. Mater. 16, 101- 108, doi:10.1038/NMAT4756 (2017). \n26 Midya, R. et al. Anatomy of Ag/Hafnia -Based Selectors with 1010 Nonlinearity. Adv. Mater. \n29, doi:10.1002/adma.201604457 (2017). \n27 Yu, S. M. & Wong, H. S. P. Compact Modeling of Conducting- Bridge Random -Access \nMemory (CBRAM). IEEE Trans. Electron. Devices 58, 1352- 1360, \ndoi:10.1109/TED.2011.2116120 (2011). \n28 Shukla, N., Ghosh, R. K., Grisafe, B. & Datta, S. in 2017 IEEE International Electron Devices \nMeeting IEEE International Electron Devices Meeting (2017). \n29 Wang, W. et al. Volatile Resistive Switching Memory Based on Ag Ion Drift/Diffusion Part I: \nNumerical Modeling. IEEE Trans. Electron. Devices 66, 3795- 3801, \ndoi:10.1109/TED .2019.2928890 (2019). \n30 Zheng, J. et al. Quenching of single photon avalanche photodiodes with dynamic resistive \nswitches . Vol. 11721 SI (SPIE, 2021). \n31 Lanza, M. et al. Recommended Methods to Study Resistive Switching Devices. Adv. Electron. \nMater. 5, doi:10.1002/aelm.201800143 (2019). \n32 Lin, Q. et al. Dual -Layer Selector With Excellent Performance for Cross -Point Memory \nApplications. IEEE Electron. Device Lett. 39, 496- 499, doi:10.1109/LED.2018.2808465 (2018). \n33 Devulder, W. et al. Influence of Carbon Alloying on the Thermal Stability and Resistive \nSwitching Behavior of Copper -Telluride Based CBRAM Cells. ACS Appl. Mater. Interfaces 5, \n6984- 6989, doi:10.1021/am4010946 (2013). \n34 Savuskan, V., Javitt, M., Visokolov, G., Brouk, I. & Nemirovsky, Y. Select ing Single Photon \nAvalanche Diode (SPAD) Passive- Quenching Resistance: An Approach. IEEE Sens. J. 13, 2322-\n2328, doi:10.1109/JSEN.2013.2253603 (2013). \n35 Haitz, R. H. Model for Electrical Behavior of Microplasma. J. Appl. Phys. 35, 1370- &, \ndoi:10.1063/1.1713636 (1964). \n36 Eraerds, P., Legre, M., Rochas, A., Zbinden, H. & Gisin, N. SiPM for fast photon- counting \nand multiphoton detection. Opt. Express 15, 14539- 14549, doi:10.1364/OE.15.014539 (2007). \n37 Hu, C. et al. Characterization of an InGaAs/InP -based si ngle-photon avalanche diode with \ngated -passive quenching with active reset circuit. J. Mod. Opt. 58, 201- 209, \ndoi:10.1080/09500340.2010.515749 (2011). \n38 Devulder, W. et al. Combinatorial Study of Ag- Te Thin Films and Their Application as Cation \nSupply Layer in CBRAM Cells. ACS Comb. Sci. 17, 334- 340, doi:10.1021/acscombsci.5b00025 \n(2015). \n21 \n 39 Yeon, H. et al. Alloying conducting channels for reliable neuromorphic computing. Nat. \nNanotechnol. 15, 574- +, doi:10.1038/s41565- 020-0694- 5 (2020). \n40 Grisafe, B., Je rry, M., Smith, J. A. & Datta, S. Performance Enhancement of Ag/HfO2 Metal \nIon Threshold Switch Cross -Point Selectors. IEEE Electron. Device Lett. 40, 1602- 1605, \ndoi:10.1109/LED.2019.2936104 (2019). \n41 Fujii, S. et al. Scaling the CBRAM Switching Layer Dia meter to 30 nm Improves Cycling \nEndurance. IEEE Electron. Device Lett. 39, 23- 26, doi:10.1109/LED.2017.2771718 (2018). \n42 Goux, L. et al. Key material parameters driving CBRAM device performances. Faraday \nDiscuss. 213, 67- 85, doi:10.1039/c8fd00115d (2019). \n43 Yuan, F.- Y. et al. Conduction Mechanism and Improved Endurance in HfO2- Based RRAM \nwith Nitridation Treatment. Nanoscale Research Lett. 12, doi:10.1186/s11671- 017-2330- 3 (2017). \n44 Yoo, J., Park, J., Song, J., Lim, S. & Hwang, H. Field- induced nucleation in threshold \nswitching characteristics of electrochemical metallization devices. Appl. Phys. Lett. 111, 063109, \ndoi:10.1063/1.4985165 (2017). \n45 Huang, X. et al. Forming- Free, Fast, Uniform, and High Endurance Resistive Switching From \nCryogenic to High Temperatures in W/AlO x/Al 2O3/Pt Bilayer Memristor. IEEE Electron. Device \nLett. 41, 549- 552, doi:10.1109/LED.2020.2977397 (2020). \n46 Warburton, R., Itzler, M. & Buller, G. S. Improved fr ee-running InGaAs/InP single -photon \navalanche diode detectors operating at room temperature. Electronics Letters 45, 996- 997, \ndoi:10.1049/el.2009.1508 (2009). \n47 Russo, U., Kamalanathan, D., Ielmini, D., Lacaita, A. L. & Kozicki, M. N. Study of Multilevel \nProgramming in Programmable Metallization Cell (PMC) Memory. Ieee Transactions on Electron Devices 56, 1040- 1047, doi:10.1109/TED.2009.2016019 (2009). \n48 Buzhan, P. et al. Silicon photomultiplier and its possible applications. Nuclear Instruments & \nMethods in Physics Research Section a -Accelerators Spectrometers Detectors and Associated \nEquipment 504, 48- 52, doi:10.1016/S0168- 9002(03)00749- 6 (2003). \n49 Hamamatsu. Silicon photomultipliers: Theory & practice , \n (2017). \n50 Acerbi, F. et al. High Efficiency, Ultra -High -Density Silicon Photomultipliers. IEEE J. Sel. \nTop. Quantum Electron. 24, doi:10.1109/JSTQE.2017.2748927 (2018). \n51 Sonde, S. et al. Silicon compatible Sn -based resistive swit ching memory. Nanoscale 10, 9441-\n9449, doi:10.1039/c8nr01540f (2018). \n52 Lu, Z. Advanced Devices and Circuits for Near Infrared Single Photon Detection Doctor of \nPhilosophy thesis, University of Virginia, (2013). \n53 Liu, M. Infrared Single Photon Avalanche Diodes Doctor of Philosophy thesis, University of \nVirginia, (2008). \n54 Dalla Mora, A., Tosi, A., Tisa, S. & Zappa, F. Single -photon avalanche diode model for circuit \nsimulations. IEEE Photon. Technol. Lett. 19, 1922- 1924, doi:10.1109/LPT.2007.908768 (2007). \n55 Dautet, H. et al. Photon- Counting Techniques with Silicon Avalanche Photodiodes. Appl. Opt. \n32, 3894- 3900, doi:10.1364/AO.32.003894 (1993). \n56 Biolek, D., Di Ventra, M. & Pershin, Y. V. Reliable SPICE Simulations of Memristors, \nMemcapacitors and Meminductors. Radioengineering 22, 945- 968 (2013). \n \n22 \n Acknowledgments: \nThis is a preprint of an article published in Nature Communications . The final authenticated version is available \nonline at: https://doi.org/10.1038/s41467- 022-29195- 7 \nFigure Legends/Captions: \nFigure 1. Circuit model for passive quenching of the single photon avalanche photodiode \n(SPAD) and the experimental setup of the single photon measurement. (a) Equivalent circuit \nmodel for passive quenching of the SPAD in series with a quenching resistor. The dashed box is the SPAD, represented by a capacitor, a resistor, a photon switch, and voltage source. (b) \nThe SPAD discharge process when an avalanche is triggered by an incident photon. (c) \nRecharging process after quenching. (d) Single photon detection system. Passive quenching \nis accomplished by connecting a SPAD and a resistor in series. A voltage source (Keithley \n2400) is used to reverse bias the SPAD . The single photon signal is generated by attenuating \nthe optical signal from a laser diode driven by a Keysight 33600A waveform generator. The \navalanche response current is determined from the voltage on a 50 Ω readout resistor and the voltage is introdu ced to an amplifier (Mini- circuits ZFL- 1000 LN+) and then into an \noscilloscope (Rigol DS7024) through a bias tee (Mini- circuits ZFBT -4R2GW+). \n \nFigure 2. Quenching experiment conducted on the adaptive resistive switch ( ARS). (a): Typical \npulse shape of the ARS quenched single photon avalanche photodiode (SPAD ) (blue curve); \nthe red curve indicates the driving voltage of the laser. (b): The statistical distribution of the \ncritical recovery time for the SPAD quenched by the ARS. The average critical recovery time \nis 30 ns. (c): Jitter performance of the ARS quenched SPAD when operated at 6 MHz repetition \nrate. (d)&(e) Current -voltage characteristics of the ARS showing the hysteresis behavior of \nthe resistor, measured: (d), before and (e), after an estimated 10\n10 cycles of switching \n(quenching). \n Figure 3. Results of quenching experiments conducted using fixed quenching resistors: (a), Typical response pulse shape of the 60 kΩ quenched single photon avalanche photodiode \n(SPAD ) (blue curve) indicating features cor responding to the spike, plateau and recharging \nprocesses (pulse shape for the 40 kΩ, 100 kΩ, and 400 kΩ quenched SPAD has similar \nfeatures); the red curve indicates the driving voltage of the laser. (b) and (c), cumulative \ndistribution functions (CDFs) of the plateau and recharge times, respectively, for the 40 kΩ, \n60 kΩ, 100 kΩ, 400 kΩ quenched SPAD. (d), CDFs of the total recovery times for the 40 kΩ, 60 kΩ, 100 kΩ, 400 kΩ, and the critical recovery time of the adaptive resistive switch (ARS) \nquenched SP AD (black). \n \nFigure 4. Performance comparison between adaptive resistive switch (ARS) quenching and \npassive resistive quenching of the counting speed of a single photon avalanche photodiode \n(SPAD) (a)&(b): Avalanche response to a single photon signal with a 20 MHz repetition rate \nfor a single photon avalanche photodiode (SPAD ) quenched by (a) the adaptive resistive \nswitch ( ARS) and (b) the 100 kΩ. (c) Counting rate under different repetition rate for SPAD \nquenched by ARS and fixed resistor. (d)&(e): Pspice simulations of a SPAD quenched by the ARS showing the variation of the following parameters as a function of time: (d)the avalanche current trace (blue curve) and the voltage across the SPAD (red da shed curve); and (e), \nvoltage across the ARS (pink curve) and the resistance (dark curve).\n \n \nFigure 5. The counting performance of single photon avalanche photodiode (SPAD ) quenched \nby the adaptive resistive switch (ARS) degrades with time. (a) -(c) Counting histograms tested \n23 \n on SPAD quenched by the ARS when the pulse repetition rate is 6 MHz. (a) Checkpoint #1 \nwhen t≈0 min, ARS switching cycle ≈ 0. (b) Checkpoint #2 when t ≈ 30 min, ARS switching \ncycle ≈ 1.08×1010. (c) Ch eckpoint #3 when t ≈ 1h 30 min, ARS switching cycle ≈ 3.24×1010. \nAs time increases the SPAD dark count rate (d) and single photon detection efficiency (e) \nboth decrease. \n \n24 \n \n \n25 \n \n \n26 \n \n \n27 \n \n \n28 \n \n \n1 \n Supplementary Information \nfor \nDynamic -quenching of a single -photon avalanche photodetector using an \nadaptive resistive switch \nAuthors: Jiyuan Zheng1,2*, Xingjun Xue3, Cheng Ji1, Yuan Yuan3, Keye Sun3, Daniel Rosenmann4, Lai Wang2,5, \nJiamin Wu2,6, Joe C. Campbell3, Supratik Guha1,7 \nAffiliations: \n1 Pritzker School of Molecular Engineering, the University of Chicago, Chicago, IL 60637 USA. \n2 Beijing National Research Center for Information Science and Technology, Tsinghua University, Beijing 100084, \nChina. \n3 Electrical and Computer Engineering Depar tment, University of Virginia, Charlottesville, Virginia 22904, USA \n4 Center for Nanos cale Materials, Argonne National Laboratory, Argonne, IL 60439, USA. \n5 Department of Electronic Engineering, Tsinghua University, Beijing 100084, China. \n6 Department of Automation, Tsinghua University, Beijing 100084, China. \n7 Material Science Division, Argonne National Laboratory, Argonne, IL 60439, USA. \n \n \n*Please address correspondence and requests for materials to J. Z. (e mail: zhengjiyuan@mail.tsinghua.edu.cn ); \n2 \n Table of C ontents for Supplementary Information \n \nThe ARS profile .................................................................................................................................... 3 \nThe calculation for Photon Counting with Oscilloscope data ................................................................ 3 \nCounting rate ............................................................................................................................................ 3 \nJitter .......................................................................................................................................................... 3 \nA discussion about simulation discrepancies ........................................................................................ 5 \nSupplementary References .................................................................................................................. 6 \n \n3 \n The ARS profile \n \nThe c alculation for Photon Counting with Oscilloscope data \nCounting rate \nA threshold is set to get the effective counting from the avalanche response curve saved from the \noscilloscope. The counting principle is shown in Fig . s2. A timer controls the counting . When the laser pulse \ncomes, the timer is triggered . When the timer is running and the avalanche pulse exceeds the threshold, the \ntimer is stopped , and the pulse is regarded as an effective counting. Then, the timer is reset only when the next \nlaser pulse comes. This counting mechanism is widely used in comme rcial photon -counting technology1. \nJitter \nDue to the randomness of avalanche, each avalanche pulse has different timing performance, the current \npulse trigger the counter at different time pace. A program has been edited to collect the timing histogram of \ncounting and thereafter the jitter as show n in Fig. 2c can be plotted. \nFigure s1. Electron microscope photographs of the MFRS profile . (a) Low \nmagnification image showing 25 independent devices on a wafer. (b) High \nmagnification image showing the cross -bar architecture. \na \n b \n4 \n \n \n \nFigure s3. Schematic circuit diagram for Pspice simulation. The photon signal port, R1, \nR2, STrig, SSelf are used to mimic the optical switch in Fig. 2. V1 and R3 are the equivalent \ninternal voltage source (breakdown voltage) and SPAD's internal resistance, respectively. C1 \nis the SPAD junction capacitance. The equivalent optical switch, V1, R3 and C1 form the \nequivalent circuit of SPAD. R4 denotes the quenching resistance (ARS) . V2 is the external \nvoltage source. R5 is the 50 Ω impedance matching resistor. C4, R6, and L1 form a Bias Tee, \nwhich separates the AC signal and the DC signal. The AC signal is introduced from C4 into an \nFigure s2. Principle of counting . Counting timer starts with the laser pulse arrival and \nstops with the response exceeding threshold. \n5 \n oscilloscope (R7), of which the input impedance is 50 Ω. The discharging path (blue) and \nrecharging path (red) are labelled. The current flowing through R7, the voltage across SPAD \n(C1), and the voltage and current o n ARS are monitored in simulation. \n \n \nA discussion about simulation discrepanc ies \nIn the Pspice simulation, a hypothesis has been made for the ARS that the resistance switches between \nfixed off -state value and on -state value. The transition is linear, while the resistance is limited to off -state value \nor on -state value if its value be beyond the transition window. The hypothesis fits well when the time scale is \nshort (Fig. 4d), however, it fails to fit I- V curve (Fig. s4). The I- V sweep has a longer time scale and a more \ncomplex nonlinear model without limit should be used to have a better fitting. \n \n \n \nFigure s 4. Discrepancies in I-V simulation by using simple linear model to \ndescribe the complex dynamic switching of the ARS \n \n6 \n Supplementary References \n1 Goedhart, J. et al. Structure -guided evolution of cyan fluorescent proteins towards a quantum \nyield of 93%. Nat. Commun. 3, doi:10.1038/ncomms1738 (2012). \n " }, { "title": "2110.02909v1.Temperature_dependent_striction_effect_in_a_single_crystalline_Nd2Fe14B_revealed_using_a_novel_high_temperature_resistivity_measurement_technique.pdf", "content": "Temperature dependent striction e\u000bect in a single crystalline Nd 2Fe14B revealed using\na novel high temperature resistivity measurement technique\nKyuil Cho,1S. L. Bud'ko,1, 2and P. C. Can\feld1, 2\n1Ames Laboratory, Ames, Iowa 50011, USA\n2Department of Physics & Astronomy, Iowa State University, Ames, Iowa 50011, USA\n(Dated: October 7, 2021)\nWe studied the temperature dependence of resistivity in a single crystalline Nd 2Fe14B using a\nnewly developed high temperature probe. This novel probe uses mechanical pin connectors instead\nof conducting glue/paste. From warming and cooling curves, the Curie temperature was consistently\nmeasured around Tc= 580 K. In addition, anomalous discrete jumps were found only in cooling\ncurves between 400 and 500 K, but not shown in warming curves. More interestingly, when the\njumps occurred during cooling, the resistivity was increased. This phenomenon can be understood\nin terms of temperature dependent striction e\u000bect induced by the re-orientation of magnetic domains\nwell below the Curie temperature.\nINTRODUCTION\nNd2Fe14B is the most widely used permanent magnet\ndiscovered in 1984 [1{3]. This intermetallic compound\ncrystallizes in the tetragonal crystal structure, space\ngroup P 4/nmm [4], and shows a ferromagnetic phase be-\nlowTc= 586 K [5]. Due to its high anisotropy \feld ( HA\n\u00187 T) [5] as well as its high maximum energy product of\n(BH) max= 59 MGOe [6], this compound is the strongest\npermanent magnet currently available and further e\u000bort\nhas been put to increase its performance by optimizing\nsynthesis procedures [7{9]. In addition, Nd 2Fe14B also\nshows an interesting spin-reorientation transition at TSR\n= 135 K that has also drawn attention [10, 11].\nIn this article, we studied the temperature dependence\nof resistivity in a single crystalline Nd 2Fe14B up to 700 K\nusing a newly developed high temperature measurement\ntechnique, and found the multiple discrete jumps between\n400 and 500 K which were only shown in cooling curves,\nnot shown in warming curves. These jumps were con-\nsistently found in multiple measurements with di\u000berent\nsweep rates. We interpret these jumps as a temperature\ndependent striction e\u000bect caused by the reorientation\nof magnetic domains well below the Curie temperature.\nThe magnetic \feld induced striction e\u000bect (magnetostric-\ntion) is a well known phenomenon in ferromagnetic ma-\nterials that causes expansion or contraction in response\nto an applied magnetic \feld. Basically, upon application\nof a magnetic \feld, the underlying magnetic domains of\na material are re-arranged, so this re-arrangement results\nin the change in materials' dimensions. A similar stric-\ntion e\u000bect can also occur when a sample is cooled below\nthe Curie temperature. As the temperature decreases be-\nlow the Curie temperature, magnetic domains start form-\ning in arbitrary orientations. As the temperature further\ndecreases, the size of each magnetic domain gets larger\nand the interaction among domains get stronger. As a\nresult, the magnetic domains change their orientations to\nbe aligned with nearby domains in order to reduce themagnetostatic energy associated with the domain bound-\naries. In the current study, we found that some of dis-\ncrete jumps in resistivity only occur in the cooling curves.\nThese jumps can be described as the temperature depen-\ndent striction e\u000bect induced by the reorientation of mag-\nnetic domains. The jumps are not shown in the warming\ncurves since the magnetic domains are already in a sta-\nble state from the lower temperature region. Thus, even\nthough the temperature increases, the magnetic domains\nare not likely to change their orientations since they are\nalready in a stable state.\nIn Nd 2Fe14B, the magnetostriction e\u000bect was reported\nbelow the spin reorientation phase transition tempera-\ntureTSR= 135 K upon an application of pulsed magnetic\n\feld up to 15 T [12]. However, temperature-dependent\nstriction e\u000bect has not been found from the previous\nstudies [13, 14]. Our result is one clear evidence of tem-\nperature dependent striction e\u000bect in this compound.\nEXPERIMENT\nSingle crystals of Nd 2Fe14B were grown by using a so-\nlution growth method [15{17]. The sample for in-plane\nresistivity measurement has dimensions of 1.5 mm \u00020.53\nmm\u00020.29 mm with accuracy of about 5 %. To mea-\nsure the resistivity at high temperatures up to 800 K, we\ndeveloped a novel method. First of all, four contacts of\na sample were made by using a spot welding technique\nwith long Pt-wires (50 \u0016m in diameter) as shown in Fig. 2\n(a). Then the sample with long Pt wires are placed on\ntop of a sapphire plate of 12 mm \u000212 mm (panel (b)).\nAfter that, two small sapphire plates are placed on top\nof extended Pt-wire. In this way, the electrical shorting\nbetween Pt wires and bottom Copper plate is prevented.\nIn panel (c), another top Copper plate is placed on top of\nboth sapphire plates and securely pressed down by using\ntwo screws. In this way, the sapphire plates are securely\nheld between two Copper plates. At the same time, the\nPt wires are held tightly between two sapphire platesarXiv:2110.02909v1 [cond-mat.mtrl-sci] 6 Oct 20212\n1451501551602 K/minρ (µΩcm)(a)1\n451501551600.5 K/minρ (µΩcm)(b)3\n004 005 006 007 001451501551600.2 K/minρ (µΩcm)T\n (K)(c)440450460470480155.2155.4T\n (K)ρ (µΩcm)4\n30440450460470155.0155.2155.4T\n (K)ρ (µΩcm)4\n30440450460470480490156.5157.0ρ (µΩcm)T\n (K)\nFIG. 1. Temperature dependent resistivity in Nd 2Fe14B with\ndi\u000berent sweeping rates. During the down sweep, multiple\njumps in resistivity occurs between 400 and 500 K, suggesting\nthe presence of magnetostriction.\nwithout any shorting. In addition, the sample is securely\nheld in contact with the bottom sapphire plate without\nany extra glue or paste. Then, the whole prepared unit\nin panel (c) is mounted on the heating stage of the high-\ntemperature cryostat made by Cryo Industries of Amer-\nica, Inc. (panel (d)). Next procedure is to connect four\nPt wires to the four thick Copper wires. As shown in\npanel (e), each wire is wound around a pin connector\n(P1) and the second pin connector (P2) is plugged into\nP1 connector. In this way, the Pt wire is mechanically\nheld between two pin connectors (P1 and P2). Note that\nno extra conducting paste or glue is used. P1 connectors\nand thick Copper wires are permanently silver soldered.\nSince the melting point of silver solder is above 900 K,\none can safely conduct measurements up to 800 K. The\nadvantage of this novel method is that there is no need of\nconducting paste or glue. Once the experimental setup\nis ready, the cryostat is closed and pumped down to 1 x\n10\u00006torr using a turbo pump. The resistivity was mea-\nsured with about 1.5 mA current using a AC Resistance\nBridge SIM921 by Standford Research Systems.\nFIG. 2. Experimental set up with a single crystalline\nNd2Fe14B sample. (a) Four probe contacts with Pt wires\nwere made using a spot welding technique. (b) The sample is\nplaced on top of a sapphire plate (12 mm \u000212mm). Then,\ntwo other small sapphire plates are placed on top of the ex-\ntension of Pt wires. (c) Another copper piece is placed on top\nand screwed down, so that two layers of sapphire plates are\ntightly held between top and bottom copper pieces. (d) The\nprepared unit in panel (c) is mounted to the high tempera-\nture heating stage with two other screws, and four extension\nof Pt wires are connected to the pin connectors. (e) This\npanel shows how a Pt wire is connected between two pin con-\nnectors. A Pt wire is wound around the \frst pin connector\n(P1) and then the second pin connector (P2) is plugged in\nwith P1. Thus, the Pt wire is mechanically held between two\npin connectors without any glue or paste. Furthermore, P1\nconnector is permanently silver soldered with a thick Cu wire.\nRESULTS AND DISCUSSION\nUsing this novel method, we measured the temperature\ndependent resistivity in a single crystalline Nd 2Fe14B.\nThree di\u000berent measurements were conducted with dif-\nferent sweep rates 2 K/min, 0.5 K/min and 0.2 K/min.\nAs shown in Fig. 1, all three measurements consistently\nshow a ferromagnetic transition around Tc= 580 K.Tc's\nof warming curves are slightly higher than those of cool-\ning curves. And these di\u000berences get smaller when the\nsweeping rate decreases from 2 K/min to 0.2 K/min. As\nthe temperature decreases below Tc, the resistivity in-\ncreased. This is in opposite to common ferromagnetic\nmaterials such as Ni (Type-I) as shown in Fig. 3 since\nthe loss of spin disorder scattering induces the decrease of3\n3004 005 006 007 0010152025303540N\ni single crystalResistivity (µΩcm)T\n (K)Tc = 628 K\nFIG. 3. Temperature dependent resistivity in a single crys-\ntalline Ni was measured with the same developed method. Tc\nof Ni single crystal = 628 K is consistent with Tc= 630 K\nreported in literatures [18].\nresistivity below the Curie temperature. This anomalous\nincrease in resistivity was discussed by by Jen and Yao\nin 1987 [13, 14], explaining that Nd 2Fe14B is not Type-I\nbut Type-III ferromagnet. In Type-III feromagnets, the\nanomalous increase in resistivity arises not from spin-\ndisorder scattering but rather from the anomalous lat-\ntice contraction similar as c-axis resistivity of Gd [19, 20].\nHowever, the authors commented that the Curie temper-\nature of Nd 2Fe14B is di\u000berent than the expectation from\nType-III model, so further investiagtion is needed.\nIn addition, multiple discrete jumps were found only\nfrom the cooling curves between 400 and 500 K. When\nthe jumps occur, the resistivities are always slightly in-\ncreased as shown in the insets of Fig. 1. We explain these\njumps as a re-orientation of magnetic domains well below\nTc. As the temperature decreases below the Curie tem-\nperature, magnetic domains start forming in arbitrary\norientations. As the temperature further decreases, the\nsize of each magnetic domain gets larger and the interac-\ntion between domains gets stronger. Thus, some domains\nchange their orientations by realigning with nearby do-\nmains. In this way, the total magnetostatic energy asso-\nciated with the domain boundaries can be reduced. In\naddition, this realignment can induce the change in sam-\nple dimensions. The reason why the resistivity increases\nduring the jumps is not clear, but it seems related to\nthe charateristic of Type-III ferromagnet since the spin-\nlattice contraction is strong compared to Type-I ferro-\nmagnet. Further microsopic investigation is needed to\nclearly correlate the relation between discrete jumps and\nreorientation of magnetic domains.\nWe also measured the resistivity of Ni single crystal\n(grown from Materials Preparation Center at Ames Lab-\noratory, which is supported by the US DOE Basic EnergySciences.) to check the performance of the developed\ntechnique. As shown in Fig. 3, the Tcof Ni single crys-\ntal was measured to be about 628 K, which is consistent\nwithTc= 630 K in literatures [18].\nCONCLUSIONS\nWe investigated the temperature dependence of resis-\ntivity in a single crystalline Nd 2Fe14B using a newly de-\nveloped method. In addition to the Curie temperature\naround 580 K, we identi\fed anomalous discrete jumps\nbetween 400 and 500 K only from the cooling curves.\nThese jumps occurred in a way to increase the resistiv-\nity. We explained that these jumps can be understood\nas the e\u000bect of temperature dependent striction of mag-\nnetic domains well below the Curie temperature. Further\nmicroscopic studies are needed to clarify the relation be-\ntween jumps and realignment of magnetic domains.\nACKNOWLEDGMENTS\nThe work in Ames Laboratory was supported by the\nU.S. Department of Energy (DOE), O\u000ece of Science,\nBasic Energy Sciences, Materials Science and Engineer-\ning Division. Ames Laboratory is operated for the U.S.\nDOE by Iowa State University under contract DE-AC02-\n07CH11358. We thank Materials Preparation Center at\nAmes Laboratory for providing us a calibration sample\nof single crystalline Nickel. KC was supported by the\nGordon and Betty Moore Foundation's EPiQS Initiative\nthrough Grant GBMF4411.\n[1] M. Sagawa, S. Fujimura, H. Yamamoto, Y. Matsuura,\nand K. Hiraga, IEEE Transactions on Magnetics 20, 1584\n(1984).\n[2] K. H. J. Buschow, Reports on Progress in Physics 54,\n1123 (1991).\n[3] J. F. Herbst, Rev. Mod. Phys. 63, 819 (1991).\n[4] J. F. Herbst, J. J. Croat, F. E. Pinkerton, and W. B.\nYelon, Phys. Rev. B 29, 4176 (1984).\n[5] S. Hirosawa, Y. Matsuura, H. Yamamoto, S. Fujimura,\nM. Sagawa, and H. Yamauchi, Journal of Applied\nPhysics 59, 873 (1986).\n[6] Y. Matsuura, Journal of Magnetism and Magnetic Ma-\nterials 303, 344 (2006).\n[7] O. Gut\reisch, M. Verdier, and I. Harris, Journal of Al-\nloys and Compounds 196, L19 (1993).\n[8] O. Gut\reisch, M. Verdier, and I. R. Harris, Journal of\nApplied Physics 76, 6256 (1994).\n[9] S. Hirosawa, IEEE Transactions on Magnetics 55, 1\n(2019).\n[10] D. Givord, H. Li, and R. P. de la B^ athie, Solid State\nCommunications 51, 857 (1984).4\n[11] A. Kreyssig, R. Prozorov, C. D. Dewhurst, P. C. Can\feld,\nR. W. McCallum, and A. I. Goldman, Phys. Rev. Lett.\n102, 047204 (2009).\n[12] P. Algarabel, M. Ibarra, C. Marquina, A. del Moral, and\nS. Zemirli, Journal of Magnetism and Magnetic Materials\n84, 109 (1990).\n[13] S. U. Jen and Y. D. Yao, Journal of Applied Physics 61,\n4252 (1987).\n[14] Y. D. Yao and S. U. Jen, Chinese Journal of Physics 26,\n200 (1988).\n[15] P. C. Can\feld and Z. Fisk, Philosophical Magazine B 65,\n1117 (1992).[16] P. C. Can\feld and I. R. Fisher, Journal of Crystal Growth\n225, 155 (2001), proceedings of the 12th American Con-\nference on Crystal Growth and Epitaxy.\n[17] J.-Y. Wang, L. Lewis, D. Welch, and P. Can\feld, Mate-\nrials Characterization 41, 201 (1998).\n[18] L. Abadlia, F. Gasser, K. Khalouk, M. Mayou\f, and\nJ. G. Gasser, Review of Scienti\fc Instruments 85, 095121\n(2014).\n[19] D. J. W. Geldart and T. G. Richard, Phys. Rev. B 12,\n5175 (1975).\n[20] F. C. Zumsteg, F. J. Cadieu, S. Mar\u0014 celja, and R. D.\nParks, Phys. Rev. Lett. 25, 1204 (1970)." }, { "title": "1611.04857v1.Linear_magnetoresistance_in_a_quasi_free_two_dimensional_electron_gas_in_an_ultra_high_mobility_GaAs_quantum_well.pdf", "content": "Linear magnetoresistance in a quasi-free two dimensional electron\ngas in an ultra-high mobility GaAs quantum well\nT. Khouri,1, 2,\u0003U. Zeitler,1, 2C. Reichl,3W. Wegscheider,3\nN. E. Hussey,1, 2S. Wiedmann,1, 2,yand J.C. Maan1, 2\n1Radboud University, High Field Magnet Laboratory (HFML-EMFL),\nToernooiveld 7, 6525 ED Nijmegen, The Netherlands\n2Radboud University, Institute of Molecules and Materials,\nHeyendaalseweg 135, 6525 AJ Nijmegen, Netherlands\n3Laboratory for Solid State Physics,\nETH Z urich, 8093 Z urich, Switzerland\n(Dated: October 1, 2018)\nAbstract\nWe report a magnetotransport study of an ultra-high mobility (\u0016 \u0016\u001925\u0002106cm2V\u00001s\u00001)n-type\nGaAs quantum well up to 33 T. A strong linear magnetoresistance (LMR) of the order of 105%\nis observed in a wide temperature range between 0.3 K and 60 K. The simplicity of our material\nsystem with a single sub-band occupation and free electron dispersion rules out most complicated\nmechanisms that could give rise to the observed LMR. At low temperature, quantum oscillations are\nsuperimposed onto the LMR. Both, the featureless LMR at high Tand the quantum oscillations\nat lowTfollow the empirical resistance rule which states that the longitudinal conductance is\ndirectly related to the derivative of the transversal (Hall) conductance multiplied by the magnetic\n\feld and a constant factor \u000bthat remains unchanged over the entire temperature range. Only at\nlow temperatures, small deviations from this resistance rule are observed beyond \u0017= 1 that likely\noriginate from a di\u000berent transport mechanism for the composite fermions.\n\u0003T.Khouri@science.ru.nl\nyS.Wiedmann@science.ru.nl\n1arXiv:1611.04857v1 [cond-mat.mes-hall] 15 Nov 2016Magnetoresistance studies are one of the simplest yet most powerful tools to probe the\nelectronic properties of solids. For metals and semiconductors, classical theories predict\nthe resistance to \frst increase quadratically with a transverse external magnetic \feld and\nthen saturate [1]. In a growing number of novel material systems including topological\ninsulators (TIs) [2{7], Dirac [8{11] and Weyl semi-metals [12{14] and silver chalcogenides\n[15, 16], however, a linear magnetoresistance (LMR) is observed whose origin is still widely\ndebated. While it is frequently claimed to arise from a complicated band structure e.g.\nfrom a linear dispersion, more basic explanations [17] like sample inhomogeneities [18{20],\ndensity or sample thickness variations [21] may equally be responsible for the LMR. In\norder to distinguish between these di\u000berent e\u000bects it would be desirable to explore the LMR\nphenomenon in a system with minimal disorder and a simple band structure.\nIn this Letter, we investigate an ultra-high mobility GaAs quantum well (QW) that can\nbe described by an ideal free-electron-like model with a parabolic dispersion. We observe\na strikingly large LMR up to 33 T with a magnitude ( R(B)\u0000R(0))=R(0) of order 105%\nonto which quantum oscillations become superimposed in the quantum Hall regime at low\ntemperature. The free electron-like band structure, in combination with a nearly defect-\nfree environment, excludes most of the possible exotic explanations for the appearance of\na LMR. The quasi-two dimensionality of the transport additionally simpli\fes the situation\nsuch that sample thickness variations and surface/edge e\u000bects (e.g. as proposed in TIs) can\nbe neglected or eliminated. Our results demonstrate that even in an ultra-clean free electron\ngas in two dimensions, a strikingly large LMR can be observed over a wide temperature\nrange. Our subsequent analysis points to density \ructuations as the primary origin of the\nphenomenon.\nOur sample is a 27 nm-thick ultra-high mobility (\u0016 \u0016\u001925\u0002106cm2V\u00001s\u00001)n-type GaAs\nQW with dimensions of 4 :5\u00024:5 mm2. The sample was cleaved from a wafer that was\ngrown by molecular beam epitaxy (MBE) without any rotation during the growth. For the\nmagnetotransport measurements, eight indium contacts were di\u000bused in a van der Pauw\ngeometry. Because of the low mean sheet density of \u0016 n\u00193\u00021011cm\u00002only one single sub-\nband is occupied at low temperatures. We apply a small AC excitation current ( I\u0014100 nA)\nand measure the Hall and corresponding longitudinal signals of the sample in a standard six-\npoint con\fguration with lock-in detection while sweeping the magnetic \feld perpendicular\n2to the QW plane in a range from 0 to 33 T.\nIn Fig.1 c) we present measurements of the longitudinal resistance Rxxfrom 20 K to 80 K.\nEven in the absence of quantum e\u000bects, a large LMR is observed extending down to almost\nzero magnetic \feld with a magnitude that exhibits a negligible temperature dependence.\nThe temperature independence of the LMR is remarkable given that the sample mobility\nvaries by a factor of 10 over the same temperature range, as shown in Fig. 1 b). At low\ntemperatures ( T= 0:3 K), the usual Shubnikov-de Haas oscillations are observed in Rxxand\nHall plateaus in Rxyassociated with the integer and fractional quantum Hall regimes (see\nFig. 1 a)). Interestingly this vast spectrum of (fractional) quantum Hall states evolves out of\na LMR background with a slope that is similar to the one seen at high temperatures. This\nis illustrated in Fig. 2 a) where we show representative curves between T= 0.3 K and 20 K.\nThe 20 K curve is reproduced here to demonstrate the similarities of the LMR at high and\nlow temperatures. We emphasize that the very strong LMR that we observe over a wide\ntemperature range is particularly striking given the extremely low defect concentration in\nour material system ( lmfp>200µm).\nA linear component in the longitudinal resistance at low temperatures is known to arise\nin 2DEGs from the so-called resistance rule that states that Rxx=dRxy\ndB\u0002B\u0002\u000b=Rdi\u000b\n[22{26] where \u000bis the constant of proportionality. In Fig. 2 a) we show that this rule is\nwell obeyed in our sample with \u000b\u00180.026\u00060:003 across the entire temperature range. It is\nnoted here from previous work that \u000bhas almost the same value for samples with mobilities\nand densities varying by two orders of magnitude [27]. At high temperatures, in the absence\nof Hall plateaus,dRxy\ndBbecomes a constant and the empirical resistance rule describes the\nfeatureless LMR equally well. It is signi\fcant that this same resistance rule is valid over the\nentire temperature range with the same value of \u000b. Indeed, most classical transport theories\n[21, 28] explain the LMR and the resistance rule as an admixture of a component of the\nHall resistivity (which depends linearly on the magnetic \feld) to the longitudinal resistance\ncaused by inhomogeneities such as density or sample thickness variations. Such variations\ngive rise to a gradient of the (transverse) Hall voltage in the longitudinal direction that will\nnaturally be picked up in measurements of Rxx, therefore giving rise to a linear component\nin the magnetoresistance. At 0.3 K, we \fnd that the location of the Hall plateaus and the\nminima in the longitudinal resistance do not coincide exactly with respect to the magnetic\n\feld position allowing us to estimate roughly a small density gradient of \u0001 n\u00190:7\u00021010cm\u00002\n3across the sample amounting to a variation of \u00182.3% along the length of the sample.\nAlthough this deviation is rather small, it can severely in\ruence the measurements of Rxx,\nespecially in high mobility samples with a low mean sheet density like ours, where the\nlongitudinal resistivity is small and the Hall voltage rises steeply with increasing B. This\nimplies that Rxxis almost solely determined by the contribution from the Hall resistance\n\u0001Rxy. In the simplest case, whereby we assume that only two di\u000berent densities are present\nin the sample, we calculate \u0001 Rxy= ((1=n)\u0000(1=(n+ \u0001n)))\u0002B\ne= 1578 \n for B= 33 T, in\ngood agreement with the measured value of Rxxof 1640 \u000685\n (2.2 K \u0014T\u001440 K).\nAt even higher temperatures ( T > 60 K), the thermal energy is large enough to allow\ncharge carriers to occupy the second sub-band of the quantum well which, according to\nself-consistent calculations, lies merely 70 K above the Fermi energy. At this point the pure\n2D-character of the system breaks down and the MR is governed by two sub-bands leading\nto deviations from the strict linear behavior, even though the density gradient remains (see\nFig. 1 c)).\nAt low temperatures where the quantum oscillations are strong, we \fnd that the resistance\nrule also provides a natural explanation for the maxima which appear before and after each\nminima. A magni\fcation around \u0017= 1 is representatively shown in Fig. 2 b). While the\nminima and zeroes in the resistance are commonly explained by the opening of an energy\ngap, the resistance maxima lack a detailed description. The derivative of the Hall resistance,\nhowever, which reproduces these features including their temperature dependence suggests\nthat the presence of these maxima is closely linked to the density gradient across the sample.\nMoreover, high magnetic \felds enable us to investigate the region beyond \u0017= 1 which to\nthe best of our knowledge has not been studied with respect to the resistance rule thus far.\nAround the \flling factor \u0017= 1=2, deviations from the resistance rule are most obvious as\nRdi\u000b\frst slightly underestimates and then overestimates Rxx(see Fig. 2 a)). This di\u000berence\ndisappears with increasing temperature and on approaching the classical transport regime\nwhere the quantum oscillations are suppressed. It is important to study such deviations\nfrom the resistance rule in order to understand its limitations and the underlying physics\nmore deeply, especially as most previous studies mainly focused on the similarities between\nRxxandRdi\u000b.\n4To proceed, we \"invert\" the resistance rule and calculate RxyfromRxx:\nRxy=Z\nRxxB\u00001\u000b\u00001dB=R\u00001\ndi\u000b (1)\nThis approach has several advantages: Firstly, in contrast to the strength of quantum os-\ncillations, the Hall resistance has well de\fned values in a magnetic \feld (including the high\naccuracy of the plateau values) thereby making it easier to identify deviations between Rxy\nandR\u00001\ndi\u000b. Secondly, no derivatives are involved reducing the noise level of the calculated\nR\u00001\ndi\u000bcompared to the usual Rdi\u000b. It is of course necessary to add an integration constant\nCwhich for our data set remains of order of 250 \n. The corresponding \u000b(T) values match\nthose determined from Rdi\u000b. The comparison between R\u00001\ndi\u000bandRxyis presented in Fig. 3\nwhere clear deviations are visible in the lowest Landau level at the lowest temperature.\nIt is very plausible that these deviations are linked to the presence of composite fermions\npresent at high magnetic \felds and low temperatures. It is interesting that the deviations,\nof opposite sign at 0.3 K, cross Rxyclose to\u0017= 1=2. We speculate that this crossing might\nre\rect the opposite sign of the e\u000bective \feld Be\u000bof composite fermions. At high temper-\natures (T\u001510K) where the fractional quantum Hall states are suppressed, both curves\noverlay each other almost perfectly. Intriguingly, similar deviations from the resistance rule\nare apparent in Ref.[22] around \flling factor 3/2 which, however, are not addressed in this\nwork.\nIn summary, we demonstrate the observation of a linear magnetoresistance in a high\nquality quasi-two-dimensional free electron gas with a parabolic dispersion. We show that\nthis LMR is a generic phenomenon that is caused by an admixture of a component of the\nHall resistivity to the longitudinal resistance and is strongly linked to density \ructuations\ninevitably present in most material systems and occurs even in ultra-high mobility 2DEGs\nwith low carrier density. Therefore, extreme care must be taken to attribute the observation\nof a LMR to more exotic e\u000bects or to complexities in the band structure. At low temper-\natures, quantum oscillations are superimposed on top of the LMR giving a structure that\ncan be reproduced extremely well by the empirical resistance rule that mixes longitudinal\nand Hall components of the resistance tensor due to a small density gradient. One other key\n\fnding is that the resistance rule remains valid up to 60 K where the thermal energy might\nhave been expected to erase e\u000bects of the small density gradient in transport experiments.\nDeviations from the resistance rule are observed at low temperatures and high magnetic\n5\felds (\u0017 <1) which might be related to new physics associated with the peculiar transport\nmechanism of composite fermions.\n[1] P. L. Rossiter and J. Bass, in Mater. Sci. Technol. (Wiley-VCH Verlag GmbH & Co. KGaA,\nWeinheim, Germany, 2006).\n[2] X. Wang, Y. Du, S. Dou, and C. Zhang, Phys. Rev. Lett. 108, 266806 (2012).\n[3] G. M. Gusev, E. B. Olshanetsky, Z. D. Kvon, N. N. Mikhailov, and S. A. Dvoretsky, Phys.\nRev. B 87, 081311(R) (2013).\n[4] C. M. Wang and X. L. Lei, Phys. Rev. B 86, 035442 (2012).\n[5] H. Tang, D. Liang, R. L. J. Qiu, and X. P. A. Gao, ACS Nano 5, 7510 (2011),\narXiv:arXiv:1101.2152v3.\n[6] Y. Zhao, C.-Z. Chang, Y. Jiang, A. DaSilva, Y. Sun, H. Wang, Y. Xing, Y. Wang, K. He,\nX. Ma, Q.-K. Xue, and J. Wang, Sci. Rep. 3, 3060 (2013).\n[7] J. Wang, A. M. DaSilva, C.-Z. Chang, K. He, J. K. Jain, N. Samarth, X.-C. Ma, Q.-K. Xue,\nand M. H. W. Chan, Phys. Rev. B 83, 245438 (2011).\n[8] M. Novak, S. Sasaki, K. Segawa, and Y. Ando, Phys. Rev. B 91, 041203(R) (2015).\n[9] T. Liang, Q. Gibson, M. N. Ali, M. Liu, R. J. Cava, and N. P. Ong, Nat. Mater. 14, 280\n(2014).\n[10] J. Feng, Y. Pang, D. Wu, Z. Wang, H. Weng, J. Li, X. Dai, Z. Fang, Y. Shi, and L. Lu, Phys.\nRev. B 92, 081306(R) (2015).\n[11] Y. Zhao, H. Liu, C. Zhang, H. Wang, J. Wang, Z. Lin, Y. Xing, H. Lu, J. Liu, Y. Wang, S. M.\nBrombosz, Z. Xiao, S. Jia, X. C. Xie, and J. Wang, Phys. Rev. X 5, 031037 (2015).\n[12] C. Shekhar, A. K. Nayak, Y. Sun, M. Schmidt, M. Nicklas, I. Leermakers, U. Zeitler, Y. Sk-\nourski, J. Wosnitza, Z. Liu, Y. Chen, W. Schnelle, H. Borrmann, Y. Grin, C. Felser, and\nB. Yan, Nat. Phys. 11, 645 (2015).\n[13] C. Shekhar, V. S uss, and M. Schmidt, arXiv:1606.06649.\n[14] Y. Zhao, H. Liu, J. Yan, W. An, J. Liu, X. Zhang, H. Wang, Y. Liu, H. Jiang, Q. Li, Y. Wang,\nX.-Z. Li, D. Mandrus, X. C. Xie, M. Pan, and J. Wang, Phys. Rev. B 92, 041104(R) (2015).\n[15] T. F. Rosenbaum, R. Xu, A. Husmann, M.-L. Saboungi, J. E. Enderby, and P. B. Littlewood,\nNature 390, 57 (1997).\n6[16] W. Zhang, R. Yu, W. Feng, Y. Yao, H. Weng, X. Dai, and Z. Fang, Phys. Rev. Lett. 106,\n156808 (2011).\n[17] A. A. Abrikosov, Phys. Rev. B 58, 2788 (1998).\n[18] M. M. Parish and P. B. Littlewood, Nature 426, 162 (2003).\n[19] A. Narayanan, M. Watson, S. Blake, N. Bruyant, L. Drigo, Y. Chen, D. Prabhakaran, B. Yan,\nC. Felser, T. Kong, P. Can\feld, and A. Coldea, Phys. Rev. Lett. 114, 117201 (2015).\n[20] J. Hu and T. F. Rosenbaum, Nat. Mater. 7, 697 (2008).\n[21] G. J. C. L. Bruls, J. Bass, A. P. van Gelder, H. van Kempen, and P. Wyder, Phys. Rev. Lett.\n46, 553 (1981).\n[22] W. Pan, J. S. Xia, H. L. Stormer, D. C. Tsui, C. L. Vicente, E. D. Adams, N. S. Sullivan,\nL. N. Pfei\u000ber, K. W. Baldwin, and K. W. West, Phys. Rev. Lett. 95, 066808 (2005).\n[23] A. Chang and D. Tsui, Solid State Commun. 56, 153 (1985).\n[24] H. Stormer, K. Baldwin, L. Pfei\u000ber, and K. West, Solid State Commun. 84, 95 (1992).\n[25] T. R otger, G. J. C. L. Bruls, J. C. J. Maan, P. Wyder, K. Ploog, and G. Wiemann, Phys.\nRev. Lett. 62, 90 (1989).\n[26] S. H. Simon and B. I. Halperin, Phys. Rev. Lett. 73, 3278 (1994).\n[27] B. Tieke, R. Fletcher, U. Zeitler, A. Geim, M. Henini, and J. Maan, Phys. Rev. Lett. 78,\n4621 (1997).\n[28] A. van Gelder, Solid State Commun. 28, 481 (1978).\nFIGURES\n70 10 20 30024T=0.3K\nT=80K\nT=60K\nT=40K\nT=30KRxx[kΩ]\nB[T]T=20Koffset0.5kΩ c)0 10 20 30\n024b)B[T]Rxx[kΩ]a)\n04080\nRxy[kΩ]10 100\n105106\nµ[cm2/(Vs)]T[K]\nFIG. 1. a) Longitudinal (black) and Hall resistance (red) at 0.3 K. The blue arrows indicate from\nleft to right the positions of the \flling factors \u0017= 2;1 and 1/2, respectively. b) Drude mobility\nas calculated from the sheet resistance at zero magnetic \feld. c) Longitudinal resistance curves in\na temperature range from 20 K to 80 K. Di\u000berent temperatures are indicated by di\u000berent colours\nand are o\u000bset by a constant value of 500 \n for clarity. The inset shows a schematic of the sample\ndimensions\n810 12 1401230 10 20 30\n02420K\n10K\n2.2KB[T]R[kΩ]a)offset1kΩ\n1.2K\nb)Rxx\nB[T]ν=12.2K1.2K0.3K\n0.1 1 101000.020.03\nαc)\nT[K]024\n0.3KR[kΩ] [kΩ]9FIG. 2. a) Longitudinal resistance Rxx(black curve) and calculated resistance rule Rdi\u000b(red\ncurve) for temperature of T= 0:3;1:2;2:2;10 and 20 K. For clarity the curves have been o\u000bset with\nrespect to each other and the (blue) dashed lines indicate from left to right the positions of the\n\flling factors \u0017= 2;1 and 1/2, respectively. b) Magni\fcation of the region around \flling factor\n\u0017= 1 for low temperatures. The position of \u0017= 1 is indicated by the blue arrow. c) Temperature\ndependence of the proportionality constant \u000bas extracted from our data. The dashed lines show\nthe spread of the data points.\n0 10 20 30050100\nT=10KT=1.2KT=0.3KR[kΩ]\nB[T]offset15kΩ\nFIG. 3. Comparison of Rxy(black) and the inverted resistance rule R\u00001\ndi\u000b(red) for 0.3 K,1.2 K and\n10 K. For clarity the curves have been o\u000bset with respect to each other. The blue arrows indicate\nfrom left to right the positions of the \flling factors \u0017= 2;1 and 1/2, respectively.\n10" }, { "title": "2108.11739v1.An_investigation_of_high_entropy_alloy_conductivity_using_first_principles_calculations.pdf", "content": "arXiv:2108.11739v1 [cond-mat.mtrl-sci] 26 Aug 2021An investigation of high entropy alloy conductivity using fi rst-principles calculations\nVishnu Raghuraman,1,a)Yang Wang,2and Michael Widom1\n1)Department of Physics, Carnegie Mellon University, Pittsb urgh, PA, 15213,\nUSA\n2)Pittsburgh Supercomputing Center, Carnegie Mellon Univer sity, Pittsburgh, PA,\n15213, USA\n(Dated: 27 August 2021)\nThe Kubo-Greenwood equation, in combination with the first-princip les Korringa-\nKohn-Rostoker Coherent Potential Approximation (KKR-CPA) ca n be used to cal-\nculate the DC residual resistivity of random alloys at T = 0 K. We impleme nted this\nmethod in a multiple scattering theory based ab initio package, MuST, and applied it\nto theabinitio study of theresidual resistivity ofthe highentropy a lloy Al xCoCrFeNi\nas a function of x. The calculated resistivities are compared with experimental data.\nWe also predict the residual resistivity of refractory high entropy alloy MoNbTaV xW.\nThe calculated resistivity trends are also explained using theoretica l arguments.\na)Author to whom correspondence should be addressed: vishnura@ andrew.cmu.edu\n1High entropy alloys (HEAs) are alloys with five or more principal elemen ts1–3. The\npresence of multiple elements results in a large entropic contribution to the free energy,\nwhich stabilizes a single phase solid solution over other potentially comp eting intermetallic\ncompounds. Since their introduction in 20042,3, they have become a highly active area of\nboth experimental and theoretical research. This is largely due to the desirable functional\nand mechanical properties that HEAs may possess. For example, t he quinary CoCrFeMnNi\nalloy (also called the Cantor alloy3) is found to exhibit ultimate strengths and elongations\nin excess of 1 GPa and 60% respectively at 77 K4, as well as large fracture toughness5;\nCuxCoFeMnNi has been used as a substrate to grow graphene6; The electrical resistivity of\ntherefractoryHEAHf 8Nb33Ta34Ti11Zr14sharplydropstozeroat Tc≈7.3K7,demonstrating\nsuperconductivity. HEAs arepromising candidates forhydrogen e mbrittlement resistance8,9,\nmagnetoresistance, shape memory response10, response to irradiation11,12, and other useful,\ninteresting functional properties.\nDue to the large number of possible HEAs, first-principles calculation s are an effective\nmethod of screening for desired properties. The ab-initio approach has been used to obtain\nthe density of states, evaluate formation energies, identify the d ifferent competing phases\nandtransition temperatures, determine chemical species orderin g, calculate elastic constants\netc. Electrical conductivity is an important functional property of allo ys which is of both\ntheoretical and practical interest. Predicting the conductivity f rom first-principles can be\ndone in a few different ways. The problem can be treated semi-classic ally by solving the lin-\nearized Boltzmann equation13numerically, with the required band-structures obtained from\nDFTcalculations. Thisapproachwasfirst usedbyStocksandButler14tocalculatetheresid-\nual resistivity of Ag xPd1−xsystem using the single-site Korringa-Kohn-Rostoker Coherent\nPotential Approximation15–17(KKR-CPA). Their results agreed well with experiment and\nsince then, this technique has been used on wide variety of alloy syst ems. Recently, Wang\net al18used the software BoltzTraP219to calculate the electrical transport properties of\nmedium entropy alloy family Si yGeySnx. In this case, the band structure was obtained from\nsupercell calculations using a plane-wave pseuopotential code. Th e semi-classical method,\nhowever, requires a well-defined band structure at the Fermi ene rgy. As a result, it cannot\nbe applied to alloys with high chemical disorder, as such systems lack a sharp Fermi surface\nand their electronic states have finite lifetimes, hence their energy levels are broadened.\nTo avoid this issue, the conductivity can also be obtained using Kubo lin ear response\n2theory20. The Kubo formalism deals with current-current correlation funct ions instead of\nbandstructures, andiscapableofdealingwithhighlydisorderedalloy s. Inaseminalpaper21,\nButler combined the Kubo-Greenwood equation with KKR-CPA and de rived conductivity\nexpressions for random alloys. This technique includes vertex corr ections, which represent\nthe “scattering-in” contribution to the conductivity. It was first implemented by Swihart et\nal22, and employed to calculate residual resistivities for Cu xZn1−x, CuxGa1−x, CuxGe1−xand\nAgxPd1−xalloys. Some HEA conductivity studies have also been done using this a pproach.\nMu et al23used the Kubo-Greenwood formalism to study the residual resistiv ity of the\nCantor-Wu alloys24. In this paper, we present an implementation of the Kubo-Greenwo od\nformalism in the open source, multiple scattering theory based, DFT codeMuST25, and we\nshow the residual resistivity of the multiphase high entropy alloy Al xCoCrFeNi as a function\nofx. The electrical and thermal properties of Al xCoCrFeNi make it a suitable candidate\nfor thermoelectric applications like waste heat recovery and refrig eration26. We are able to\nrecreate the experimental trend of increased resistivity at large r values of x. In addition,\nour calculations recover the non-monotonic behaviour observed in the multi-phase region.\nWe also obtain first-principles predictions for the single-phase refr actory high entropy alloy\nMoNbTaV xWasafunctionof x. Forboththesesystems, weprovidetheoreticaljustifications\nfor the calculated results.\nThe paper is organized as follows. First, we provide a brief introduct ion to the theory\nbehind the KKR method, the Coherent Potential Approximation, an d the Kubo-Greenwood\nequationinKKR-CPAformalism. Ourimplementationofthistechniqueis firsttestedontwo\nnon-spin-polarized binaries - BCC CuZn and FCC AgPd. It is further t ested on Cantor-Wu\nalloys, which are magnetic FCC solid solutions. After establishing confi dence in the code,\nwe apply it to the the two HEA systems previously mentioned, along wit h a comparison to\npreviously obtained experimental data for the Al alloy. Finally, we co nclude by commenting\non the limitations of our current implementation and discuss how thes e limitations can be\novercome, so that this code can be used to study more complex fun ctional alloy behavior.\nThe density functional theory (DFT) based ab initio method is built up onsolving a single\nelectron Schr¨ odinger equation, called the Kohn-Sham (KS) equat ion,27,28\n/bracketleftbig\n−∇2+Veff([ρ(r)])/bracketrightbig\nψi(r) =ǫiψi(r), (1)\n3where the electron density ρ(r) is given by\nρ(r) =/summationdisplay\nǫi≤ǫF|ψi(r)|2, (2)\nassuming that the KS wavefunctions are orthogonal and the Ferm i energy is determined by\nthe number of electrons in the system. The effective potential Veffconsists of electrostatic\nterms and the exchange-correlation (XC) term. The XC functiona l can be modelled in\ndifferent ways; in this paper, we use the Local Density Approximatio n (LDA)27, where the\nXC functional is purely dependent on the local density ρ(r). A popular alternative is the\nGeneralized Gradient Approximation (GGA)29,30, which depends on the local density and\nit’s gradient.\nThe conventional approach for solving (1) is to diagonalize the Hamilt onian of the Kohn-\nSham equation and calculate the corresponding eigenvalues and eige nvectors. From the\neigenvectors, the density is calculated self-consistently using (2) . Many popular DFT codes\nlikeVASP, WIEN2k, Quantum Espresso etc use this approach. However, the density can\nalso be obtained from the Green’s Function of the Hamiltonian - an app roach taken by the\nKKR-Green’s Function method33,35, based on multiple scattering theory31,32.\nIn the multiple scattering theory approach to an alloy, the system is divided into non-\noverlapping atomic cells, each of which has one atom present at the c enter. The total\neffective potential Veff(r) can then be considered as the sum of the cell potentials\nvn(rn) =\n\nVeff(r),ifr∈Ωn\n0,otherwise, (3)\nwithrn=r−Rn, whereRnis the position vector of the atomic site and Ω nis the volume\nof thenth cell. Each cell can be treated as an electron scatterer, with tn(ǫ) as thet-matrix\nassociated with the local potential in the nth cell. In addition, we can define the multiple\nscattering path matrix34\nτnm(ǫ) =tn(ǫ)δnm+tn(ǫ)/summationdisplay\nk/negationslash=ngnk(ǫ)τkm(ǫ), (4)\nas the sum of all the scattering processes that start from cell nand end at cell m, andgnk(ǫ)\nis the free electron propagator from cell nto cellk. The multiple scattering path matrix\n4can be used to construct the single-site Green’s Function at cell n33,35\nG(rn,rn,ǫ) =/summationdisplay\nLL′Zn\nL(rn,ǫ)τnn\nLL′(ǫ)Zn•\nL′(rn,ǫ)\n−/summationdisplay\nLZn\nL(rn,ǫ)Jn•\nL(rn,ǫ), (5)\nwhereLis a combination of the angular momentum quantum numbers land magnetic\nquantum number m,Zn\nL(rn,ǫ) (Jn\nL(rn,ǫ)) represents a regular (irregular) solution to the\nsingle site Schr¨ odinger’s equation with potential vn(rn) shown in equation (3) at site n. The\ndot (•) operator is applied to the spherical harmonics of the single-site so lutions when they\nare written as a series expansion in the angular momentum basis.\nThe electron density in cell ncan be obtained using\nρ(rn) =−1\nπIm/integraldisplayǫF\n−∞G(rn,rn,ǫ)dǫ,withrn∈Ωn, (6)\nwhereǫFis the Fermi energy. This density can then be used to re-calculate t he effective\npotentialVeff([ρ(r)]) in the Hamiltonian, and the re-calculated Hamiltonian produces a ne w\nGreen’s Function. This cycle can be continued till self-consistency is reached. As mentioned\nin the previous section, the calculation of the electron density from the Green’s function\nimplies that the energy eigenvalues and the KS wavefunctions are no t required. This ap-\nproach has some significant advantages, one of which is the ability to combine with coherent\npotential approximation to deal with random systems.\nThe KKR-Green’s function method combined with the CPA forms a pow erful technique,\nnamely KKR-CPA, to deal with random alloys from the first principles. It is based on\nconstructing aneffective medium which mimics the ensemble average o f a disordered system.\nThe CPA medium can be imagined as a periodic system consisting of a “vir tual” species,\ndescribed by t-matrixtCPA(ǫ). The CPA medium can be conveniently obtained by applying\nthe single-site approximation\nτnn\nCPA(ǫ) =/summationdisplay\nαcατnn\nα(ǫ), (7)\nwhich assumes that the chemical species distribution on the underly ing lattice is completely\nrandom. The probability of a particular site occupied by species αis determined by its\nconcentration cαin the alloy. The term τnn\nα(ǫ), which represents the multiple scattering\npath matrix for a CPA medium with an impurity of species αat siten, is obtained from36\nτnn\nα(ǫ) =/bracketleftbig\n1+τnn\nCPA(ǫ)(t−1\nα(ǫ)−t−1\nCPA(ǫ))/bracketrightbig−1τnn\nCPA(ǫ). (8)\n5Furthermore, due to the periodicity of the CPA medium, the t-matrix and the multiple\nscattering path matrix for the medium are related through the equ ation\nτnn\nCPA(ǫ) =1\nΩBZ/integraldisplay\nd3k/bracketleftbig\nt−1\nCPA(ǫ)−g(k,ǫ)/bracketrightbig−1, (9)\nwhereg(k,ǫ)isthelatticeFouriertransformofthefreeelectronpropagator gnk(ǫ)inequation\n(4) and Ω BZis the volume of the first Brillouin zone. Equations (7)-(9) can be com bined to\ncreate an iterative scheme that determines tCPA(ǫ) self-consistently.\nCPA is a successful and popular technique that has been used heav ily to calculate total\nenergy, density of states and other important electronic struct ure properties of random\nalloys. However, the single-site approximation ignores any short-r ange order that may be\npresent in the alloy. While there are techniques available that incorpo rate chemical short\nrange order in CPA36–40, they are beyond the scope of this work.\nFor a system of non-interacting electrons moving under the influen ce of a random po-\ntential, the symmetric part of the DC electrical conductivity tenso r at T = 0 K can be\nexpressed as20\nσµν(ǫ) =π\nNΩ/angbracketleftBigg/summationdisplay\nλ,λ′∝angb∇acketleftλ|ˆjµ|λ′∝angb∇acket∇ight∝angb∇acketleftλ′|ˆjν|λ∝angb∇acket∇ightδ(ǫ−ǫλ)δ(ǫ−ǫλ′)/angbracketrightBigg\n, (10)\nwhereµ,νrepresent Cartesian directions, |λ∝angb∇acket∇ight,|λ′∝angb∇acket∇ightare the eigenvectors of the Hamiltonian\nassociated with a given configuration of the disordered system, ǫλ,ǫλ′are the corresponding\neigenvalues, Nrepresents the number of atoms in the system and Ω is the volume pe r atom.\nThe angle brackets denote an average over all the possible configu rations of the disordered\nsystem, and ˆjµrefers to the current operator component, which in the non-rela tivistic case\nis given in atomic units as\nˆjµ=−2√\n2i∂\n∂rµ(11)\nIn order to use (10) within the framework of multiple scattering the ory, the eigenkets must\nbe replaced with multiple scattering path matrices. With some algebra , and the use of (5),\nwe can express (10) as21\nσµν= lim\nδ− →01\n4/bracketleftbig\n˜σµν(ǫ+,ǫ+)−˜σµν(ǫ+,ǫ−)−˜σµν(ǫ−,ǫ+)+ ˜σµν(ǫ−,ǫ−)/bracketrightbig\n,(12)\nwhere\n˜σµν(z1,z2) =−1\nπNΩ∝angb∇acketleftJmµ\nL4L1(z2,z1)τmn\nL1L2(z1)Jnν\nL2L3(z1,z2)τnm\nL3L4(z2)∝angb∇acket∇ight. (13)\n6withǫ+=ǫF+iδandǫ−=ǫF−iδ. Here the symbol Jrefers to the current matrix, which\nis the position basis representation of the current operator matr ix elements. Butler further\ndemonstrates that (13) can be rephrased within the single-site CP A formalism as21\n˜σµν=−1\nπΩ/parenleftBigg/summationdisplay\nαβcαcβ˜Jαµ\nK1(z2,z1)[1−χω]−1\nK1K2˜Jβν\nK2(z1,z2)\n+/summationdisplay\nαcα˜Jαµ\nL4L1(z2,z1)τCPA\nL1L2(z1)Jαν\nL2L3(z1,z2)τCPA\nL3L4(z2)/parenrightBigg\n. (14)\nThe termsχandωare 4th order tensors given by the expressions21\nχL1L2L3L4=1\nΩBZ/integraldisplay\nd3kτCPA\nL1L2(k,z1)τCPA\nL3L4(k,z2)−τCPA\nL1L2(z1)τCPA\nL3L4(z2),(15)\nωL1L2L3L4=/summationdisplay\nαcαxα\nL1L2(z1)xα\nL3L4(z2). (16)\nThe term (1 −χω)−1represents the vertex correction, or “scattering-in” term. To under-\nstand these equations and how they are derived in more detail, the r eader is referred to\nButler’s work21. Additionally, to learn more about the calculation of the current mat rices\nand other important details pertaining to implementation, the reade r is referred to the work\nby Banhart41. It can be seen that calculating χis computationally expensive, due to the\nBrillouin Zone integration. However, this calculation can be made signifi cantly faster by\nonly integrating over the irreducible part of the Brillouin Zone, and th en applying rotation\noperations to recover the contribution of the other parts. For c rystals with cubic symmetry,\nthe integration only has to be performed over 1/48th of the first B rillouin zone. For certain\nsystems, approximating (1 −χω)−1≈Idoes not significantly impact the conductivity. An\nexample of this is the Ag-Pd binary at high Pd concentration, the rea sons for which have\nbeen explored in details elsewhere42. Hence, computational cost can be reduced in such cal-\nculations by neglecting the vertex corrections. In this paper, how ever, the vertex corrections\nare included in all the conductivity calculations.\nThe conductivity expressions have been implemented in MuST25, a multiple scattering\ntheory based open source code for ab initio electronic structure c alculations. In order to\ndemonstrate the validity of the implementation, the code is applied to systems for which\ncomputational results are available for validation. First, we apply th em to the BCC Cu-Zn\nbinary and FCC Ag-Pd binaries. Figure 1 shows the residual resistivit y of Cu-Zn obtained\nusingMuST.DespiteCuZnshowingBCCphaseonlyfortheequiatomicc ase, thecalculations\n70 0.2 0.4 0.60.8 1\nCu concentration05101520ρ (µΩ-cm)Without Vertex Corrections\nWith Vertex Corrections\nFIG. 1. Residual resistivity of Cu xZn1−xfrom first-principles calculations. The red curve (with\ncircles) represents resistivity without vertex correctio ns and the black curve (with squares) repre-\nsents resistivity with vertex corrections. Note that calcu lations have been performed for the BCC\nphase at all values of x.\nhave been done for the BCC phase at all Cu concentrations. The re sistivity appears to\nobey Nordheim’s relation ( ρ0∝x(1−x))43, and compares well with previously obtained\ncomputational44and experimental45results. However, an unexpected peak in resistivity can\nbe seen in the vicinity of 10% Cu, which was not examined in the previous computational\nstudies22,44. Comparison with measurements is difficult for this concentration, a s there is a\nscarcity of experimental resistivities for the Zn-rich region45. Further, the experimentally\nobserved phase is not BCC at this composition. The peak is large enou gh to rule out\nnumerical errors as the cause, implying that there is some interest ing physics going on here\nwhich needs further study. It can be seen that vertex correctio ns play a major role in\nthis system, a feature that has also been previously noted22. Figure 2 shows the residual\nresistivity of Ag-Pd obtained using MuST, which compares well with pr eviously obtained\ncomputational results44. A significant deviation from Nordheim’s relation can be observed.\nThis is attributed to the palladium d-states, which are the major contributors to the DOS\nat Fermi energy for high palladium concentrations22. It can also be seen that the vertex\ncorrections are negligible at high Pd concentration, but become sligh tly more significant\nas the silver content increases. The absence of vertex correctio ns is also associated with a\n80 0.2 0.4 0.60.8 1\nAg concentration010203040ρ (µΩ-cm)Without Vertex Corrections\nWith Vertex Corrections\nFIG. 2. Residual resistivity of Ag xPd1−xfrom first-principles calculations. The red curve (with\ncircles) represents resistivity without vertex correctio ns and the black curve (with squares) repre-\nsents resistivity with vertex corrections. Note that calcu lations have been performed for the FCC\nphase at all values of x.\npredominant dcharacter at the Fermi energy42. To further test the the implementation of\nthe Kubo-Greenwood formula in MuST, we apply it to the conductivity calculation for the\nCantor-Wu alloys, which are magnetic solid solutions on an FCC lattice. Specifically, we\nexamine the binaries NiFe, NiCo, and NiPd, the ternaries FeMnNi, CoCr Ni and CoFeNi,\nthe four element HEAs CoCrFeNi and CoFeMnNi, and the quinary CoC rFeNiPd. Figure\n3 shows the residual resistivity for these systems. These values a re nearly similar to the\ncomputational results obtained by Mu et al23. The alloys fall into two categories: low\nresidual resistivity alloys containing Co, Fe, Ni or Pd and high residua l resistivity alloys\ncontaining Cr and/or Mn. This curious trend was explained by looking a t the spin-resolved\nFermi surfaces23. The low resistivity alloys have sharp Fermi surfaces, which are ass ociated\nwith large mean free paths; conversely, the high resistivity alloys ha ve washed out Fermi\nsurfaces, which are associated with shorter mean free paths. Th e CuZn, AgPd and Cantor-\nWuresults instill confidence inthe implementation of our code, andde monstrate its viability\nas a useful tool to study HEA electrical conductivity.\nThe first HEA we study is the five-element Al xCoCrFeNi, with xdenoting the Al content.\nExperimentally, this alloy is single-phase FCC for 0 ≤x≤0.375 and single-phase BCC\n9NiPdNiCoNiFe\nCoFeNiCoCrNiFeMnNi\nCoCrMnNiCoFeMnNiCoCrFeNi\nCoCrFeNiPd020406080ρ (µΩ-cm)\nFIG. 3. The residual resistivity of a set of Cantor-Wu alloys calculated using Kubo-Greenwood\nformula with KKR-CPA method implemented in MuST. All calcul ations are spin-polarized.\nfor 1.25≤x≤2. At intermediate values of x, the alloy shows multi-phase FCC+BCC\nbehavior26,52. Multi-phase systems are difficult to study, owing to their inhomogen eity. As\na result simple models are needed to calculating the resistivity in this re gime. We calculate\nthe pure FCC resistivity ρFCCand pure BCC resistivity ρBCCand perform a parallel average\n1\nρp=wFCC\nρFCC+wBCC\nρBCC(17)\nand a series average\nρs=wFCCρFCC+wBCCρBCC, (18)\nwherewFCCandwBCCare the averaging weights that denote the volume fractions of the\nFCC/BCC phases in the alloy. These parameters are taken from exp eriment46. Figure 4\nshows the residual resistivity calculated using MuST, where LDA was used as the exchange-\ncorrelation functional. The resistivities calculated using PBE significa ntly differed from\nthe LDA values, and did not recreate the experimental trend at so me Al concentrations.\nThe sensitivity of the residual resistivity values to the exchange-c orrelation functional and\nthe lattice parameter is discussed in the supplementary material. Bo th experimental and\nfirst-principles resistivities increase with increasing Al content. Th e BCC resistivity values\nclosely follow the non-monotonic behaviour experimentally observed in the multi-phase re-\ngion. While both the series and parallel averages are nearly similar, it c an be seen that the\n100 0.5 1 1.5 2\nAl content6080100120140160180ρ(µΩ-cm)\nFCC\nBCC\nParallel Average\nSeries Average\nExperimentFCC + BCC\nFIG. 4. Residual resistivity of Al xCoCrFeNi as a function of x. The black curve with circles\nand the red curve with squares represent the residual resist ivity of the pure FCC and BCC phase\nrespectively, obtained from first-principles calculation s. The blue curve with triangles and green\ncurve with stars represents the parallel and series average s. The orange curve with crosses is the\nexperimental result52.\nshape of the parallel averaged curve is closer to experiment. The e xperimental values are\nlarger than the calculated resistivities. This is due to two reasons. F irstly, the complexity\nof the experimental microstructures are not captured by the fir st principles calculations.\nThis leads to lower scattering in the computational systems, leading to lower resistivities.\nSecondly, the experimental curve is obtained at room temperatur e, and it is expected to ex-\nceed the calculated residual resistivity. To capture the non-mono tonicity, the experimentally\nobserved concentrations of the consistent elements were used46. If nominal concentrations\nare used, the non-monotonic behaviour is lost. This is most likely the r eason for monotonic\nresults obtained in a previous computational study of this HEA47.\nFigure 5 shows the concentration averaged density of states (DO S) for two different Al\nconcentrations. The DOS at the Fermi level is much lower for Al con centration x= 2\nthanx= 0, owing to the lack of d-electrons in Al. The reason for the lower Fermi level\nDOS also becomes apparent when we look at the partial DOS for Al 2CoCrFeNi in Figure\n6. The DOS at Fermi level for Al is significantly lower than the other t ransition elements.\nThis, however, does not guarantee increased resistivities at highe r Al concentrations. The\n11-0.45-0.3-0.1500.150.30.45\nE - EF (Ryd)0102030Avg DOS (States/Ryd/Atom)Al0CoCrFeNi\nAl2CoCrFeNi\nFIG. 5. The averaged density of states for CoCrFeNi and Al 2CoCrFeNi. This was obtained by\ntaking the concentration-weighted average of the partial d ensity of states for the two systems.\n-0.45-0.3-0.15 00.150.3\nE - EF (Ryd)01020304050DOS (States/Ryd/Atom)Al\nCo\nCr\nFe\nNi\nFIG. 6. Partial DOS for the elements present in Al 2CoCrFeNi.\neffective valence of transition metal atoms in Al-TM alloys has been pr edicted and observed\nto be negative48. This is due to the strong sp-dhybridization, which leads to the transfer of\nspelectrons (conduction electrons) to the partially filled dbands of transition elements49,50.\nThis explains the reduction in conductivity, or increase in residual re sistivity with increasing\nAl content.\nFinally, we use our first-principles code to predict the residual resis tivity trend of\n120 0.2 0.4 0.60.8 1\nV content024681012ρ (µΩ-cm)\nFIG. 7. First-principles residual resistivity for MoNbTaV xW as a function of x.\n-0.1 -0.05 00.05 0.1\nE - EF (Ryd)5101520Avg DOS (States/Ryd/Atom)MoNbTaW\nMoNbTaVW\nFIG. 8. Concentration averaged DOS for MoNbTaW (black curve with circles) compared with\nequiatomic MoNbTaVW (red curve with squares)\nMoNbTaV xW as a function of x. This five element refractory high entropy alloy is a\nsingle-phase BCC solid solution. Figure 7 shows the calculated resistiv ities, and Figures\n8 and 9 show the concentration averaged DOS and partial DOS resp ectively. In Figure 7,\nLDA has been used as the exchange-correlation functional. For th is system, PBE produces a\nlarger resistivity at all vanadium concentrations. This is partly due t o well known tendency\nof LDA to produce “overbinding” results51, but other effects may also contribute. The\n13-0.2-0.15-0.1-0.0500.050.10.150.2\nE - EF (Ryd)01020304050Avg DOS (States/Ryd/Atom)Mo\nNb\nTa\nV\nW\nFIG. 9. Partial DOS for the elements present in equiatomic Mo NbTaVW alloy.\nsensitivity of the residual resistivity values to the exchange-corr elation functional and the\nlattice constant is discussed in the supplementary material. There is an apparent conflict\nhere - the concentration averaged DOS for x= 1 is higher than for x= 0, owing to the\nlarger vanadium partial DOS as compared to the other elements. Ho wever, the calculated\nresidual resistivities increase with vanadium content. This can be re solved by considering\nthe atomic sizes of the element. While the refractory metals have sim ilar atomic sizes,\nvanadium is significantly smaller. This increases disorder in the system , which results in\nincreased scattering, or increased residual resistivity. The size d ifference also means that\nvanadium is weakly bound to the refractory metals. When atoms com e together in a solid,\ntheir sharp atomic energy spectra broaden and form energy band s. Stronger bonding will\nresult in an energy spectrum which is more spread out. Conversely, weak bonding will result\nin more localized atomic-like energy bands, which are narrower and sh arper. This explains\nboth the higher resistivity and higher density of states. This alloy pr ovides a important\ntakeaway - an increase or decrease in the DOS does not automatica lly imply an increased\nor decreased residual resistivity. Additional analysis is necessary to explain the calculated\ntrends.\nInconclusion, we have implemented the Kubo-Greenwoodequation in the non-relativistic\nsingle-siteKKR-CPAformalismandtesteditonthepreviouslystudied systemsCuZn, AgPd,\nand the Cantor-Wu alloys. After code validation, we applied our code to obtain the residual\n14resistivities of Al xCoCrFeNi as a function of the concentration of Al. We found that b oth\nexperiment and first-principles calculations show increased resistiv ities at higher values of\nx. This can be explained on the basis of the sp-dhybridization that occurs in Al-TM\nalloys. In the multiphase region, however, the experimental resist ivity is found decreasing\nwith increasing the content of Al. This effect was captured in first-p rinciples calculations,\nas a result of using experimentally obtained concentrations for the BCC and FCC phase.\nWe also predicted the residual resistivity of refractory HEA MoNbT aVxW as a function of\nx. We found that the residual resistivity increases with V content, w hich was explained\non the basis of the size mismatch between V and the other refracto ry metals. Despite the\nreduction in conductivity, the DOS at the Fermi energy increased w ith the addition of V.\nThis occurred due to the higher partial DOS for V, which results fro m it’s weak bonding to\nthe refractory metals.\nThere are many possible extensions to our current code implementa tion. We intend to\nincorporate chemical short range order by combining the CA-CPA t echnique40with the\nKubo-Greenwood equation. This will allow us to study K-state alloys lik e CoCrNi and\nNi25Cr, which are systems where residual resistivity increases with short range order.\nSUPPLEMENTARY MATERIAL\nThe supplementary material contains the computational details re levant to the first-\nprinciples calculations and the lattice parameters used for all the sy stems studied in this\npaper. The variation of the resistivity with the lattice parameter is e xamined, along with a\ncomparison of computational results obtained using PBE and LDA fu nctionals.\nThis work is based on open-source ab initio software package MuST25, a project sup-\nported in part by NSF Office of Advanced Cyberinfrastructure and the Division of Materials\nResearch within the NSF Directorate of Mathematical and Physical Sciences under award\nnumber 1931367, 1931445, and1931525. The conductivity impleme ntation in MuST and the\ncalculations done in this paper were supported by the Department o f Energy under Grant\nNo. DE-SC0014506. We acknowledge helpful discussions with S Mu, G . Malcolm Stocks, H\nEbert and J Banhart during code implementation.\n15DATA AVAILABILITY STATEMENT\nAlltherelevantdataandcomputationaldetailsarepresentinthes upplementarymaterial.\nREFERENCES\n1D. B. Miracle, O. N. Senkov, Acta Materialia 122, 448 (2017)\n2J. W. Yeh, S. K. Chen, S. J. Lin, J. Y. Gan, T. S. Chin, T. T. Shun, C. H. Tsau and S.\nY. Chang, Adv. Eng. Mater. 6, 299 (2004)\n3B. Cantor, I. T. H Chang, P. Knight and A. J. B Vincent, Mater. Sci. Eng. A 375, 213\n(2004)\n4E. P. George, D. Raabe, and R. O. Ritchie, Nat Rev Mater 4, 515 (20 19)\n5B. Gludovatz, A. Hohenwarter, D. Catoor, E. H. Chang, E. P. Geo rge, R. O. Ritchie,\nScience 345, 1153 (2014)\n6Y. F. Kao, C. I. Hung, S. H. Chang, J. W. Yeh, W. K. Hsu, Cryst. En g. Comm. 16, 6187\n(2014)\n7P. Kozelj, S. Vrtnik, A. Jelen, S. Jazbec, Z. Jaglicic, S. Maiti, M. Feu erbacher, W. Steurer,\nJ. Dolinsek, Phys. Rev. Lett. 113, 107001 (2014)\n8H. Luo, Z. Li and D. Raabe, Sci. Rep. 7, 9892 (2017)\n9H. Luo, W. Lu, X. Fang, D. Ponge, Z. Li, D. Raabe, Mater. Today 21 , 1003 (2018)\n10C. Segui, J. Appl. Phys. 115, 113903 (2014)\n11T. Egami, W. Guo, P. D. Rack, T. Nagase, Metall. Mater. Trans. A 45 A, 180 (2014)\n12T. Nagase, S. Anada, P. D. Rack, J.H. Noh, H. Yasuda, H. Mori, T. E gami, Intermetallics\n38, 70 (2013)\n13N. W. Ashcroft and N. D. Mermin, Rinehart and Winston, New York (1 976)\n14G. M. Stocks and W. H. Butler, Phys. Rev. Lett. 48, 55 (1982)\n15P. Soven, Phys. Rev. 156, 809 (1967).\n16B. Gy¨ orffy, Phys. Rev. B 5, 2382 (1972)\n17G. M. Stocks, W. M. Temmerman, and B. L. Gy¨ orffy, Phys. Rev Let t.41, 339 (1978)\n18D. Wang, L. Liu, M. Chen, H. Zhuang, Acta Materialia 199, 443 (2020 )\n19G. K. H Madsen, J. Carrete, M. J. Verstraete, Computer Physics Communications 231,\n140 (2018)\n1620R. Kubo, J. Phys. Soc. Jpn. 12, 570 (1957)\n21W. H. Butler, Phys. Rev. B 31, 3260 (1985)\n22J. C. Swihart, W. H. Butler, G. M. Stocks, D. M. Nicholson, and R. C. Ward, Phys. Rev.\nLett. 57, 1181 (1986)\n23S. Mu, G. D. Samolyuk, S. Wimmer, M. C. Troparevsky, S. N. Khan, S . Mankovsky, H.\nEbert and G. M. Stocks , npj Comput Mater 5, 1 (2019)\n24Z. Wu, H. Bei, F. Otto, G. M. Pharr and E. P. George, Intermetallics 46, 131 (2014)\n25https://github.com/mstsuite/MuST\n26S. Shafeie, S. Guo, Q. Hu, H. Fahlquist, P. Erhart, and A. Palmqvist , Journal of Applied\nPhysics 118, 184905 (2015)\n27P. Hohenberg and W. Kohn, Phys. Rev., 136, B864 (1964)\n28W. Kohn and L. J. Sham, Phys. Rev., 140, A1133 (1965)\n29J. P. Perdew and Y. Wang, Phys. Rev. B 33, 8800(R) (1986)\n30J. P. Perdew, K. Burke, and M. Ernzerhof, Phys. Rev. Lett. 77, 3865 (1996)\n31J. Korringa, Physica, 13, 392 (1947)\n32W. Kohn and N. Rostoker, Phys. Rev. 94, 1111 (1954)\n33J. S. Faulkner, G. M. Stocks, and Y. Wang, IOP Publishing Ltd, 2018\n34B. Gy¨ orffy and M. Stott, D. Fabian and L. Watson, Eds. Academic P ress, New York, 385\n(1973)\n35J. S. Faulkner and G. M. Stocks, Phys. Rev. B 21, 3222 (1980)\n36A. Gonis, G. M. Stocks, W. H. Butler, and H. Winter Phys. Rev. B 29, 555 (1984)\n37A. Gonis and J. W. Garland, Phys. Rev. B 16, 2424 (1977)\n38D. A. Rowlands, J. B. Staunton, and B. L. Gy¨ orffy, Phys. Rev. B 67, 115109 (2003)\n39D. A. Rowlands, J. B. Staunton, B. L. Gy¨ orffy, E. Bruno, and B. G inatempo, Phys. Rev.\nB72, 045101 (2005)\n40V. Raghuraman, Y. Wang, and M. Widom, Phys. Rev. B 102, 054207 ( 2020)\n41J. Banhart, Philosophical Magazine B 77:1, 85 (1998)\n42W. H. Butler and G. M. Stocks, Phys. Rev. B 29, 4217 (1984)\n43L. Nordheim, Ann. Phys. 9, 607 (1931)\n44P. R. Tulip, J. B. Staunton, S. Lowitzer, D. K¨ odderitzsch, and H. Ebert, Phys. Rev. B 77,\n165116 (2008)\n45C. Y. Ho, J. Phys. Chem. Ref. Data 12, 183 (1983)\n1746Y. F. Kao, T. J. Chen, S. K. Chen, J. W. Yeh, Journal of Alloys and C ompounds 488, 1,\n57 (2009)\n47J. Kudrnovsk´ y, V. Drchal, F. M´ aca, I. Turek, and S. Khmelevsk yi Phys. Rev. B 100,\n014441 (2019)\n48G.V. Raynor, Progress in Metal Physics 1, 1 (1949)\n49G. T. de Laissardi` ere, D. N. Manh, L. Magaud, J. P. Julien, F. Cyr ot-Lackmann, and D.\nMayou Phys. Rev. B 52, 7920 (1995)\n50J. A. Moriarty and M. Widom, Phys. Rev. B 56, 7905 (1997)\n51A. van de Walle and G. Ceder, Phys. Rev. B 59, 14992, (1999)\n52H. P. Chou, Y. S. Chang, S. K. Chen, J. W. Yeh, Materials Science an d Engineering B\n163, 184 (2009)\n18arXiv:2108.11739v1 [cond-mat.mtrl-sci] 26 Aug 2021Supplementary Material : An investigation of high entropy a lloy conductivity using\nfirst-principles calculations\nVishnu Raghuraman,1Yang Wang,2and Michael Widom1\n1)Department of Physics, Carnegie Mellon University, Pittsb urgh, PA, 15213,\nUSA\n2)Pittsburgh Supercomputing Center, Carnegie Mellon Univer sity, Pittsburgh, PA,\n15213, USA\n(Dated: 27 August 2021)\n1-3 -2 -1 0 1 2 3\nPercent Increase in Lattice Constant70758085ρ (µΩ-cm)\nFIG. S1. Residual resistivity of FCC Al 0.75CoCrFeNi as a function of the percentage change in the\nlattice constant (from the experimental value).\nI. COMPUTATIONAL DETAILS AND DATA\nAll electrical conductivity calculations use atomic sphere approxima tion (ASA), LDA as\nthe exchange-correlation potential and angular momentum cutoff lmax= 4. Special K-points\nmethod was used for the Brillouin Zone integration. For CuZn, a 120x 120x120 k-grid was\nused to calculate the conductivity, while for AgPd a 60x60x60 k-grid was employed. For\nthe Cantor-Wu alloys and HEAs, a maximum of 70x70x70 K-points wer e used (although\nfor some alloys lesser Kpoints were sufficient). For the Cantor-Wu a lloys, the experimental\nlattice constants were used and are present in the supplementary materials of Mu et al1. For\nthe Al xCoCrFeNi, the experimental lattice constants were used, taken f rom Kao et al2. For\nAgPd, the lattice constants were kindly provided to us by J Banhart . For the other systems,\nlattice constants were calculated from first-principles and are pre sented in Tables S1 to S3.\nII. LATTICE CONSTANT SENSITIVITY\nThe effect of lattice constant on the resistivity of Al xCoCrFeNi is expected to vary with\nxand the type of crystal structure (FCC or BCC). However, it is ins tructive to look at a\nparticular case - FCC Al 0.75CoCrFeNi. Figure S1 shows the variation of residual resistivity\nwith percentage change in the lattice constant. It is clear that the resistivity is highly\n2-4 -2 0 2 4\nPercent Increase in Lattice Constant9.51010.51111.5ρ (µΩ-cm)\nFIG. S2. Residual resistivity of BCC MoNbTaVW as a function o f percentage change in the lattice\nconstant (from the first-principles equilibrium value).\nsensitive to the lattice constant (with a change of almost 20 µΩ-cm with 6% change in the\nlattice constant). This demonstrates the importance of using exp erimental lattice constants\n(where available) for the resistivity calculations. A similar trend can a lso be seen for the\nMoNbTaVW alloy, where the residual resitivity increases with an incre ase in the lattice\nconstant.\nIII. EXCHANGE-CORRELATION FUNCTIONAL\nFigure S3 shows the residual resistivity of Al xCoCrFeNi calculated using the PBE func-\ntional. It can be seen that PBE resistivities do not match the experim ental trend as well as\nthe LDA resistivities, especially the BCC values near x= 1. The sensitivity of the residual\nresistivity to the exchange-correlation functional was explored b y Muet al1. They find that\nthis sensitivity is due to the variation of the exchange splitting, which controls the Fermi\nenergy relative to the minority-spin bands. Due to LDA’s better pre diction of local mo-\nments, it is a better choice for conductivity calculations in this syste m. Figure S4 shows the\nPBE and LDA residual resistivities of MoNbTaVW. In this case, the fir st-principles lattice\nparameter for LDA and PBE are different (given in Table S3). To gaug e the effect of lattice\nparameter, the PBE resistivity was calculated using LDA lattice cons tants and vice-versa.\n30 0.5 1 1.5 2\nAl content6090120150180ρ (µΩ-cm)\nBCC\nFCC\nParallel Average\nSeries Average\nExperimentFCC + BCC\nFIG. S3. Residual resistivity of Al xCoCrFeNi as a function of x, with PBE used as the exchange-\ncorrelation functional. The black curve with circles and th e red curve with squares represent the\nresidual resistivity of the pure FCC and BCC phase respectiv ely, obtained from first-principles\ncalculations. The blue curve with triangles and green curve with stars represents the parallel and\nseries averages. The orange curve with crosses is the experi mental result3\n0 0.2 0.4 0.60.8 1\nV content051015ρ (µΩ-cm)PBE\nLDA\nFIG. S4. Residual resistivity for MoNbTaV xW as a function of x. The black curve with circles\nrepresents calculations done using the PBE functional and t he red curve with squares represents\ncalculations done using the LDA functional.\n40 0.2 0.4 0.60.8 1\nV content051015ρ (µΩ-cm)LDA\nLDA with PBE LC\nPBE with LDA LC\nPBE\nFIG. S5. Residual resistivity of MoNbTaV xW as a function of x. Theblack curve with circles is the\nLDA resistivity with LDA lattice constants, the red curve wi th squares is the LDA resistivity with\nPBE lattice constants, the orange curve with diamonds is the PBE resistivity with LDA lattice\nconstants, and the blue curve with triangles is the PBE resis tivity with PBE lattice constants.\nThe results of these calculations are present in Figure S5. The plot c learly shows that the\neffect of lattice constant is not large enough to explain the differenc e between the PBE and\nLDA resistivities. The exact reason behind the difference is unclear, but it appears that\nthe variation is due to intrinsic differences between the two types of exchange-correlation\nfunctionals.\nREFERENCES\n1S. Mu, G. D. Samolyuk, S. Wimmer, M. C. Troparevsky, S. N. Khan, S . Mankovsky, H.\nEbert and G. M. Stocks , npj Comput Mater 5, 1 (2019)\n2Y. F. Kao, T. J. Chen, S. K. Chen, J. W. Yeh, Journal of Alloys and C ompounds 488, 1,\n57 (2009)\n3H. P. Chou, Y. S. Chang, S. K. Chen, J. W. Yeh, Materials Science an d Engineering B 163,\n184 (2009)\n5xaCuZn(Atomic Units)\n0.0 5.66\n0.05 5.62\n0.07 5.61\n0.08 5.605\n0.09 5.60\n0.1 5.59\n0.11 5.585\n0.12 5.58\n0.13 5.575\n0.15 5.57\n0.2 5.55\n0.3 5.51\n0.4 5.475\n0.5 5.5445\n0.6 5.41\n0.7 5.38\n0.8 5.35\n0.9 5.33\n1.0 5.3055\nTABLE S1. Lattice Constants for BCC Cu xZn1−x\n6xaAgPd(Atomic Units)\n0.0 7.4490\n0.1 7.4796\n0.2 7.5129\n0.3 7.5442\n0.4 7.5773\n0.5 7.6074\n0.7 7.6747\n0.8 7.7116\n0.9 7.7502\n1.0 7.7942\nTABLE S2. Lattice Constants for FCC Ag xPd1−x\nxaLDA\nBCC(Atomic Units) aPBE\nBCC(Atomic Units)\n0.00 6.00 6.12\n0.10 5.99 6.11\n0.20 5.985 6.105\n0.30 5.98 6.10\n0.40 5.97 6.09\n0.50 5.9601 6.085\n0.60 5.955 6.08\n0.70 5.95 6.07\n0.80 5.94 6.065\n0.90 5.935 6.06\n1.00 5.93 6.055\nTABLE S3. Lattice Constants for BCC MoNbTaV xW\n7" }, { "title": "1910.08619v1.Atomically_Controlled_Tunable_Doping_in_High_Performance_WSe2_Devices.pdf", "content": " \n1 \n Atomically Controlled Tunable Doping in High Performance WSe 2 Devices \n \nChin -Sheng Pang, Terry Y.T. Hung, Ava Khosravi, Rafik Addou, Qingxiao Wang, Moon J. \nKim, Robert M. Wallace, and Zhihong Chen* \n \nC.-S. Pang, T.Y.T. Hung, Prof. Z. H. Chen \nBirck Nanotechnology Center \nDepartment of Electrical and Computer Engineering \nPurdue University \n1205 W State St, West Lafayette, IN 47907, USA \nEmail: zhchen@p urdue.edu \n \nA. Khosravi, Dr. R. Addou, Q. Wang, Prof. M. J. Kim, Prof. R. M. Wallace \nDepartment of Materials Science and Engineering \nUniversity of Texas at Dallas \n800 West Campbell Road, Richardson, TX 75080, USA \n \nDr. R. Addou \nSchool of Chemical, Biological, and Environmental Engineering \nOregon State University \nCorvallis, OR 93771, USA \n \n \nAbstract: \nTwo-dimensional transitional metal dichalcogenide (TMD) field -effect transistors \n(FETs) are promising candidates for future electronic applications, owing to their excellent \ntransport properties and potential for ultimate device scaling. However, it is widely \nacknowledged that substantial contact resistance associated with the contact -TMD interface has \nimpeded device performance to a large extent. It h as been discovered that O 2 plasma treatment \ncan convert WSe 2 into WO 3-x and substantially improve contact resistances of p -type WSe 2 \ndevices by strong doping induced thinner depletion width. In this paper, we carefully study the \ntemperature dependence of t his conversion, demonstrating an oxidation process with a precise \nmonolayer control at room temperature and multilayer conversion at elevated temperatures. \nFurthermore, the lateral oxidation of WSe 2 under the contact revealed by HR -STEM leads to \npotential unpinning of the metal Fermi level and Schottky barrier lowering, resulting in lower \ncontact resistances. The p -doping effect is attributed to the high electron affinity of the formed \nWO 3-x layer on top of the remaining WSe 2 channel, and the doping level i s found to be \n2 \n dependent on the WO 3-x thickness that is controlled by the temperature. Comprehensive \nmaterials and electrical characterizations are presented, with a low contact resistance of ~528 \n m and record high on -state current of 320 A/m at -1V bias being reported. \n \n \n1. Introduction \nTwo-dimensional (2D) transitional metal dichalcogenides (TMDs) have attracted wide \nattention, owing to their excellent material properties and potential applications in post -\nCMOS,1–8 neuromorphic computing,9–11 as well as flexible electronics.12–15 Studying \nsemiconducting TMDs (e.g. MoS 2, WSe 2) as the channel material for field -effect transistors \n(FETs) is one of the most vital research areas, due to their superior transport properties and \nultra-thin body thickness for ultimate device scaling.16,17 However, how to make good contacts \nremains a big challenge for TMD FETs, w hile it is widely acknowledged that minimiz ing \ncontact resistance (R C) is essential to obtain high performance devices and reveal intrinsic TMD \nproperties.18–22 \nIn general, there are two strategies to optimize current injection at a metal/semicond uctor \n(MS) interface. One method is to select a metal contact with the preferred work function for \nelectron or hole injection, given no strong Fermi level pinning at the contact interface, which is \ntypically not the case for TMDs.23–25 The other method is to dope the semiconductor \ndegenerately to reduce the depletion width of the MS junction.26–30 We will show in our paper \nthat by controlling the temperature at which a multi -layer WSe 2 FET device is exposed to direct \nO2 plasma, the number of top WSe 2 layers gets converted into WO 3-x (0 < x < 1) can be precisely \ncontrolled, which in turn determines the p -type doping level in the device. Moreover, this \nconversion is found to extend into the contact area by tens of nanometer s, which can possibly \nunpin the Fermi level of the metal contact and dope a small segment of WSe 2 underneath the \ncontact, resulting in reduced contact resistance of the device. The use of controlled oxidation at \n3 \n the Sc/WSe 2 interface has also been recently reported to produce an optimized Schottky \njunction which can be controlled to exhibit n - or p-type transport.31 \nIn our unique O 2 plasma treatment, the top few WSe 2 layers are converted into WO 3-x that \nbehaves as a p -type doping layer for the underlying WSe 2 due to its high electron affinity. \nDifferent from the previously reported self -limiting oxidation of only the topmost WSe 2 layer \nin a remote plasma environment,32 a direct O 2 plasma is employed in our process. Interestingly, \nwe found that the doping level can be tuned from non -degenerate to degenerate by increasing \nthe treatment temperature which directly controls the number of WSe 2 layers that get converted \ninto WO 3-x. We further demonstrate low contact resistance of 528 m and a record high hole \ncurrent in a scaled WSe 2 FET, shedding light on a promising path in the quest for high -\nperformance electronics. In addition, the achieved p -type doping on WSe 2 has excellent air \nstability, precise doping level control, and is an industry compatible process. \n \n2. Results and Discus sions \nTwo types of Schottky barrier (SB) device structures (2 or 4 -probe) were implemented, \nas shown schematically in Figure 1 a, b. A scanning electron microscope (SEM) of one of our \n4-probe devices is shown in Figure 1c with dimension being labelled. The critical fabrication \nprocesses are described in the Experimental Section. High resolution scanning transmission \nelectron microscope (HR -STEM) image and electron energy loss spectroscopy (EELS) line \nscan across a multi -layer WSe 2 flake underneath of a Ti/Pd contact are shown in Figure 1d. \nBand diagrams and working principles of SB devices are illustrated in the insets of Figure 1e. \nIt is known that the Fermi level of metal contact is pinned close to the mid -gap of WSe 2.33,34 \nTherefore, band movements modulated by scanning the back -gated voltage (V B) lead to either \nhole injection from the source to the valence band at negative V B or electron injection from the \ndrain to the conduction band at positive V B. Consequently, transfer characteristics of a pristine \nWSe 2 device exhibit a typical ambipolar behavior, as shown in Figure 1e. \n4 \n \n2.1. Material Analyses \nDifferent samples were exposed to a direct O 2 plasma at various conditions. Simply \ncomparing color contrast of the samples before and after exposure under an optical microscope, \nit was rather clear that process temperature is more effective than plasma power or exposure \ntime in controlling the WSe 2 oxidation process. Optical images and details are presented in \nSection I (Supporting Information). Raman spectra of three sets of pristine bi -layer and tri -layer \nCVD WSe 2 samples are presented in the top panels of Figure 2a. Consistent with previous \nreports ,35,36 the 1B2g Raman mode at 310 cm-1 only appears in multi -layers and bulk WSe 2 but \ndoes not sho w up in monolayers. In the bottom left panel of Figure 2a, the 1B2g peak vanished \nafter the room temperature (RT) O 2 plasma treatment on all three bi -layer samples, indicating \nthat only the bottom WSe 2 layer was left while the top layer was oxidized. In co ntrast, the 310 \ncm-1 peak remained in the spectra for all three tri -layer samples (right bottom panel), suggesting \nthat only the top layer was converted to oxide while the bottom two layers were intact after the \nRT treatment. Interestingly, when the temper ature was raised to 150 oC, no Raman peaks were \nobserved in bilayer samples after the treatment. We conclude that both layers were converted \ninto WO 3-x. Therefore, different from the RT treatment, more than one layer of WSe 2 can be \nconverted by O 2 plasma a t elevated temperatures. \nThe surface chemistry alteration of CVD WSe 2 flakes upon O 2 plasma exposure at \ndifferent temperatures was investigated by x -ray photoelectron spectroscopy (XPS). Detailed \ninformation can be found in the Experimental Section. Figure 2b, c show W 4 f and Se 3 d core \nlevel spectra of a pristine WSe 2 flake and following O 2 plasma exposure for 60s at RT, 90 °C, \n150 °C, and 250 °C. The dominant XPS signal originated from the substrate (SiO 2/Si) due to \nthe lateral size and thickness of WSe 2 flakes is shown in Section II (Supporting Information). \nThe XPS scan of pristine WSe 2 flake did not reveal any additional chemical states such as W -\nO or Se -O. In Figure 2b, after O 2 plasma exposure at RT, an additional chemical state \n5 \n corresponding to W -O chemical bond was detected at 36.2 eV in W 4 f core level. No additional \nchemical states were detected in Se 3 d core level, indicating that oxygen did not react with \nselenium. Similar to the O 2 plasma treatment at RT, the W -O chemical state was detected in W \n4f chemical state following the treatment at 90 °C, 150 °C and 250 °C with increasing intensity. \nThroughout the treatment at different temperatures, the oxide species were below the XPS \ndetection limit in Se 3 d core level spectra. Figure 2d presents an i ncrease in the percentage of \noxidized W atoms on the top few WSe 2 layers after O 2 plasma treatment at different \ntemperatures. In Figure 2e, the selenium to tungsten ratio of the WSe 2 flakes was calculated \nusing the integrated intensity of XPS core levels a nd corresponding sensitivity factor. It shows \nthat the Se/W ratio decreased gradually from 2.1 in pristine flakes to 0.6 after the treatment at \n250 °C, suggesting that the density of W -O chemical states depends critically on the treatment \ntemperature. The W 4f and Se 3 d core level binding energies after each treatment are shown in \nFigure 2f. The red shift of binding energy was detected in both W4 f and Se 3 d core level spectra \nin all treatment temperatures, indicating different levels of p -doping. Lower bind ing energy \nsuggests that more prominent doping effect can be achieved at higher temperature \ntreatment.37,38 \nThe doping effect in WSe 2 was also examined by Raman spectroscopy. Figure 2g \ncompares the Raman spectra of WSe 2 flakes before and after the O 2 plasma treatment at 250 \n°C. The degenerate E12g/A1g vibrational mode at 250.8 cm-1 and the higher wave number peak \n2LA(M) at 259.0 cm-1 as a Raman fingerprint of WSe 2 were detected. A clear blue shift of \nE12g/A1g and 2LA(M) was observed after the 250 °C treatment. According to previous \nstudies37,39, the blue shift (~ 1.3 cm-1) in the E12g/A1g peak is correlated to p -type doping effect \nin WSe 2 flakes, which is consistent with our XPS analysis and electrical characterizations \ndiscussed in the later paragraph. Therefore, we have confirmed that both atomica lly precise \nlayer control and doping level modulation can be achieved through different treatment \ntemperatures. \n6 \n HR-STEM measurements were performed to directly quantify the number of WSe 2 \nlayers being converted to oxide by the 250 °C O 2 plasma treatment. D etailed information of \nHR-STEM can be found in the Experimental Section. Figure 3a shows a cross -section view of \na WSe 2 device with a channel length (L G) of 65nm. The observed bending curvature was caused \nby the carbon layer deposition induced stress durin g the TEM sample preparation using focused \nion beam (FIB). From the magnified image of the channel region presented in Figure 3b, nearly \nthree layers of WSe 2 were converted to WO 3-x by the 250 °C treatment, leaving two WSe 2 layers \nremaining underneath. Int erestingly, it was observed that WO 3-x penetrated laterally into the \ncontact at the scale of ~12nm, as revealed in Figure 3c. We believe this phenomenon contributes \nsignificantly to unpinning of the metal Fermi level and potentially lowering of the SB heig ht \nfor easier hole injection. Furthermore, WO 3-x induced heavy doping in the remaining WSe 2 \nunder the contact can effectively reduce the depletion width to produce a transparent barrier for \ncarrier injection into the channel. Both mechanisms contribute to a very low contact resistance \nof 528 m and correspondingly record high on -state performance reported in the later \nparagraph. More detailed information regarding the EELS mapping can be found in Section III \n(Supporting Information). \n \n2.2. Electrical Characterizations \nWe now focus on electrical characterization of devices that have undergone O 2 plasma \ntreatment at different temperatures, as shown in Figure 4 a. One could immediately observe \nsignificant differences in the magnitude of the threshold volta ge (V TH) shift from the pristine \n(black) to after treatment (red) characteristics. It is clear that V TH shift, an indication of the \ndoping level, increases with increasing temperature, consistent with the shift of binding energy \nshown in Figure 2f. We beli eve that the higher doping level achieved at higher temperature can \nbe attributed to a larger number of WSe 2 layers being converted into a thicker WO 3-x layer. \nExcept for the device treated at 250 °C, V TH of the other two devices treated at RT and 150 °C \n7 \n is within the voltage window to reveal the off -state performance. The preserved on/off ratios of \n~107 indicate that WO 3-x serves as an effective doping layer rather than a conductive layer \nshunting between the S/D electrodes.40 Based on the V TH shift extracted from the device \ncharacteristics, we can calculate the amount of charges induced by doping (Q = C oxVTH_Shift , \nCox = 38.5 nF/cm2) and estima te the dopant concentration to be ~ 2.2 x1012 cm-2 for devices \nundergone the RT treatment and ~ 8.3 1012 cm-2 for those gone through the treatment at 150 oC. \nMore devices data set can be found in Section IV (Supporting Information) \nIn addition, device contact resistances (R C) were significantly reduced after the plasma \ntreatment. 4 -probe configuration shown in Figure 1b was used to extract R C. Figure 4b, c show \nRC as a function of back gate voltage for pristine devices and the same set of devices after the \nO2 plasma treatment at 150 °C and 250 °C, respectively. Due to the positive V TH shift, R C is less \ngate voltage dependent and reduced drastically. R C of a device gone through the 250 oC \ntreatment was extracted to be as low as 528 m, at V BG = -50V . As explained earlier, we \nbelieve that the O 2 plasma treatment not only affects the channel doping but also lowers the SB \nheight and barrier width at the contact interface to allow for higher current injection, which is \nnow attributed to the lateral penetrati on of WO 3-x as observed from our HR -STEM analysis. A \ntable of extracted R C values from different devices with or without treatment can be found in \nSection V (Supporting Information). \nUtilizing the demonstrated doping and low R C, we fabricated devices with scaled \nchannel length (L G) and achieved the outstanding on -state performance in WSe 2 FETs. Twelve \nSB-devices with different L G ranging from ~70 nm to ~1050 nm were fabricated on exfoliated \nmultilayer (5 -10 layers) WSe 2. 250 oC O2 plasma treatment was performed to all devices. Total \ndevice resistance (R total) and current density (I DS) extracted at V B = -50V and V DS = -0.9V are \nshown in Figure 5 a. Although these devices were not fabricated on the same flake to guarantee \nan accurate extraction of R C from the transmission line method (TLM), we still performed the \nextraction to get a rough estimate. R C ~ 1.1 k m was extracted from the linear fitting of the \n8 \n Rtotal vs L G plot, which agrees with the values obtained from the 4 -probe measu rements, \npresented in Table S1 (Supporting Information). The output characteristics of our best \nperforming device with L G ~ 70nm is shown in Figure 5b with I DS = 320 A/m being achieved \nat V DS = -1V. Finally, these O 2 plasma treated devices were placed in the laboratory ambient \nenvironment without any passivation layer for 7 days before re -measurements. Negligible \nchanges in characteristics were observed as shown in Section VI (Supporting Information), \nsuggesting a robust p -doping scheme for high performan ce WSe 2 devices. \n Finally, we compare our result to other reported contact resistance for hole injection in \nWSe 2-based devices,22,26 –28,41 –44 and summarize in Table 1 . Our O2 plasma treatment offers a \ncomparable R C while requiring a simpler fabrication process compared to a 2D/2D contact .22 \n \n3. Conclusion \nWe have achieved tunable p -type doping on WSe 2 through O 2-plasma treatment at \ndifferent temperatures, with supporting evidences from XPS, Raman, HR -STEM and electrical \ncharacteristics. We conclude that the doping level is determined by how many WSe 2 layers \nbeing converted into WO 3-x by the O 2-plasma treatment. Larger number of layers are converted \nat higher temperatures, resulting in thicker WO 3-x for higher doping. The penetration of WO 3-x \ninto the contact region is believ ed to contribute to the unpinning of the Fermi level and thinning \nof the barrier width for higher current injection. Low R C ~528 m was measured from 4 -\nprobe measurements after 250 oC O2 plasma treatment, leading to a record -high hole current in \nWSe 2 devices. This air -stable, efficient p -doping strategy can enable high performance WSe 2-\nbased electronics or be applied to other material of interests for tunable doping effect by \ntransferring WSe 2 on top followed by self -limiting oxidation under specific temperature \ntreatment. \n \n4. Methods \n9 \n CVD Flakes Transferring Process: Mono/bi/tri -layer CVD WSe 2 flakes were \npurchased from 2D Layer ( https://2dlayer.com/ ). To transfer onto a desired substrate, \npolystrene ( PS) was used as the supporting film to peel off the WSe 2 flakes from the growth \nsubstrate. 9 g of PS (Molecular weight ∼192 000 g/mol) was dispersed in 50 mL toluene. Then \nthis solution was spin -coated on the growth substrate at a speed of 4000 rpm for 40 secs, \nfollowed by baking at 90 oC for 5 mins. In order to allow water to penetrate to the interface \nbetween the WSe 2 film and the SiO 2/Si substrate to detach the WSe 2 flakes, a diamond scribe \nwas used to make some scratches at the edges of the PS film. Next, the PS film attached to the \nWSe 2 flakes was gradually peeled off from the growth substrate in water and transferred to the \ntarget substrate. Finally, the PS film w as removed by soaking in toluene, acetone and IPA. \n 2-probe and 4 -probe Device Fabrication: Mono/bi -layer CVD WSe 2 flakes were \ntransferred or multilayer layers (5 -10) WSe 2 was exfoliated from a bulk crystal onto a 90nm \nSiO 2 capped p++ doped Si substrate as a global back -gated scheme. E -beam lithography was \nemployed to define source/drain (S/D) regions (for 2 -probe devices) and two additional voltage \nprobes (for 4 -probe devices) followed by e -beam evaporated Ti (1nm) / Pd (30nm) (at pressure \n~ 1E -6 torr) as electrodes and a PMMA lift -off process. \n Electrical Characterization: The electrical measurements were performed by HP 4156B \nprecision semiconductor parameter analyzer with Lake Shore probe station under vacuum at \nroom temperature. \n X-ray Photoelectron Spectroscopy: XPS scans were carried out in an Ultra High \nVacuum (UHV) cluster tool using an Omicron EA125 hemispherical 7 channels analyzer with \na monochromatic Al Kα source (hν= 1486.7 eV).45 XPS peaks were deconvoluted and analyzed \nusing Aanalyzer software.46 Quantitative analysis of the elemental concentration of the samples \nis acquired based on integrated photoelectron intensity and sensitivity factor for a given core \nlevel. \n10 \n Quantitative analysis of relative elemental concentration can be determin ed from XPS \nmeasurement. The number of photoelectrons per second for specific elemental core level (I) is \ndirectly proportional to the number of atoms of the elements per centimeter cubic of the sample \nsurface (n), while it is indirectly proportional to at omic sensitivity factor (S). Atomic sensitivity \nfactor (S) of the elements core level is developed from a specific spectrometer. Thus, the \nelemental concentration (C x) is described as: Cx=nx\nni=IxSx⁄\n∑IiSi⁄. \n Raman Spectroscopy: Raman spectra were taken using a 532 nm laser focused through \na 100X objective lens at room temperature and under ambient condition. \n TEM Analysis: TEM analysis is carried out using an aberration -corrected (probe Cs -\ncorrector) JEM -ARM200F (JEOL. USA. Inc.) electron microscope operated at 200 kV. The \nhigh angle annular dark field (HAADF) and annular bright field (ABF) images are performed \nto study the cross -sectional morphology of the device. The convergence semi -angle of the \nelectron probe is set to 25 mrad, and the collection semi -angle is 70 -250 mrad for HAADF and \n12-24 mrad for ABF, respectively. The elemental characterization of the device is performed \nusing the electron energy loss spectroscopy (EELS) line scan using a Gatan Enfina spectrometer \nwith the collection semi -angle for EELS for 30 mrad. \n \n11 \n References \n1. Jena, D. Tunneling transistors based on graphene and 2 -D Crystals. Proc. IEEE 101, \n1585 (2013). \n2. Seabaugh, B. A. C. &Zhang, Q. Low -Voltage Tunnel Transistors for Beyond CMOS \nLogic. Proc. IEEE 98, 2095 (2010). \n3. Müller, M. R. et al. Gate -Controlled WSe2 Transistors Using a Buried Triple -Gate \nStructure. Nanoscale Res. Lett. 11, 512 (2016). \n4. Pang, C. -S., Ilatikhameneh, H. &Chen, Z. Gate tunable 2D WSe2 Esaki diode by SiNx \ndoping. in Device Research Conference - Conference Digest, DRC 1–2 (2017). \ndoi:10.1109/DRC.2017.7999450 \n5. Sarkar, D. et al. A subthermionic tunnel field -effect transistor with an atomically thin \nchannel. Nature 526, 91 (2015). \n6. Pang, C. -S. et al. WSe 2 Homojunction Devices : Electrostatically Configurable as \nDiode s , MOSFETs , and Tunnel FETs for Reconfigurable Computing. Small 1902770 \n(2019). doi:10.1002/smll.201902770 \n7. Pang, C. -S., Thakuria, N., Gupta, S. K. &Chen, Z. First Demonstration of WSe 2 Based \nCMOS -SRAM. in IEEE Int. Electron Devices Meet. 22.2.1 -22.2. 4 (IEEE, 2018). \ndoi:10.4231/D3ZC7RV9X \n8. Pang, C. -S. &Chen, Z. First Demonstration of WSe 2 CMOS Inverter with Modulable \nNoise Margin by Electrostatic Doping. in Device Research Conference - Conference \nDigest, DRC 1–2 (IEEE, 2018). doi:10.1109/DRC.2018.844 2258 \n9. Paul, T., Ahmed, T., Tiwari, K. K. &Thakur, C. S. A high -performance MoS 2 synaptic \ndevice with floating gate engineering for neuromorphic computing A high -performance \nMoS 2 synaptic device with floating gate engineering for neuromorphic computing. 2D \nMater. 6, 045008 (2019). \n12 \n 10. Seo, S. et al. Artificial optic -neural synapse for colored and color -mixed pattern \nrecognition. Nat. Commun. 9, 5106 (2018). \n11. Xie, D., Hu, W. &Jiang, J. Bidirectionally -trigged 2D MoS 2 synapse through \ncoplanar -gate elec tric- double -layer polymer coupling for neuromorphic \ncomplementary spatiotemporal learning. Org. Electron. 63, 120 (2018). \n12. Gao, L. Flexible Device Applications of 2D Semiconductors. Small 13, 1603994 \n(2017). \n13. Lin, P. et al. Piezo -Phototronic Effect for Enhanced Flexible MoS 2 / WSe 2 van der \nWaals Photodiodes. Adv. Funct. Mater. 28, 1802849 (2018). \n14. Yu, X., Pr évot, M. S., Guijarro, N. &Sivula, K. Self -assembled 2D WSe 2 thin films \nfor photoelectrochemical hydrogen production. Nat. Commun. 6, 7596 (2015). \n15. Akinwande, D., Petrone, N. &Hone, J. Two -dimensional flexible nanoelectronics. Nat. \nCommun. 5, 5678 (2015). \n16. Desai, S. B. et al. MoS 2 transistors with 1 -nanometer gate lengths. Science (80 -. ). \n354, 99 (2016). \n17. Nourbakhsh, A. et al. MoS 2 Field -Effect Transistor with Sub -10 nm Channel Length. \nNano Lett. 16, 7798 (2016). \n18. Cui, X. et al. Multi -terminal transport measurements of MoS2 using a van der Waals \nheterostructure device platform. Nat. Nanotechnol. 10, 534 –540 (2015). \n19. Leong, W. S. et al. Low Resistance Metal Contacts to MoS2 Devices with Nickel -\nEtched -Graphene Electrodes. ACS Nano 869–877 (2015). doi:10.1021/nn506567r \n20. Smithe, K. K. H., English, C. D., Suryavanshi, S.V &Pop, E. Intrinsic electrical \ntransport and performance p rojections of synthetic monolayer MoS 2 devices Intrinsic \nelectrical transport and performance projections of synthetic monolayer MoS 2 devices. \n2D Mater. 4, 011009 (2017). \n13 \n 21. English, C. D., Shine, G., Dorgan, V. E., Saraswat, K. C. &Pop, E. Improved Contacts \nto MoS 2 Transistors by Ultra -High Vacuum Metal Deposition. Nano Lett. 16, 3824 \n(2016). \n22. Chuang, H. J. et al. Low-Resistance 2D/2D Ohmic Contacts: A Universal Approach to \nHigh -Performance WSe 2 , MoS 2 , and MoSe 2 Transistor s. Nano Lett. 16, 1896 –1902 \n(2016). \n23. Kim, C. et al. Fermi Level Pinning at Electrical Metal Contacts of Monolayer \nMolybdenum Dichalcogenides. ACS Nano 11, 1588 (2017). \n24. Gong, C., Colombo, L., Wallace, R. M. &Cho, K. The Unusual Mechanism of Partial \nFermi Level Pinning at Metal − MoS2 Interfaces. Nano Lett. 14, 1714 (2014). \n25. Bampoulis, P. et al. Defect Dominated Charge Transport and Fermi Level Pinning in \nMoS2 / Metal Contacts. ACS Appl. Mater. Interfaces 9, 19278 (2017). \n26. Yamamoto, M., Nakaharai , S., Ueno, K. &Tsukagoshi, K. Self -Limiting Oxides on \nWSe 2 as Controlled Surface Acceptors and Low -Resistance Hole Contacts. Nano Lett. \n16, 2720 –2727 (2016). \n27. Cai, L. et al. Rapid flame synthesis of atomicall y thin MoO3 down to monolayer \nthickness for effective hole doping of WSe2. Nano Lett. 17, 3854 (2017). \n28. Fang, H. et al. High -Performance Single Layered WSe 2 p -FETs with Chemically \nDoped Contacts. Nano Lett. 12, 3788 (2012). \n29. Chen, K. et al. Air stab le n-doping of WSe2 by silicon nitride thin films with tunable \nfixed charge density. Apl Mater. 2, 092504 (2014). \n30. Hung, T. Y. T., Pang, C. -S., Liu, X., Zemlyanov, D. &Chen, Z. Atomically Thin p -\ndoping Layer and Record High Hole Current on WSe2. in Devi ce Research Conference \n- Conference Digest, DRC 1–2 (2019). \n14 \n 31. Smyth, C. M. et al. Engineering the interface chemistry for scandium electron contacts \nin WSe 2 transistors and diodes Engineering the interface chemistry for scandium \nelectron contacts in WSe 2 transistors and diodes. 2D Mater. 6, 045020 (2019). \n32. Pudasaini, P. R. et al. High -performance multilayer WSe2field -effect transistors with \ncarrier type control. Nano Res. 11, 722 (2018). \n33. Smyth, C. M. et al. Engineering the Palladium − WSe 2 Inter face Chemistry for Field \nE ff ect Transistors with High -Performance Hole Contacts. ACS Appl. Nano Mater. 2, \n75 (2019). \n34. Das, S. &Appenzeller, J. WSe2 field effect transistors with enhanced ambipolar \ncharacteristics. Appl. Phys. Lett. 103, 103501 (2013). \n35. Luo, X. et al. Effects of lower symmetry and dimensionality on Raman spectra in two -\ndimensional WSe 2. Phys. Rev. B 88, 195313 (2013). \n36. Li, Z. et al. Layer Control of WSe2 via Selective Surface Layer Oxidation. ACS Nano \n10, 6836 –6842 (2016). \n37. Khosravi, A. et al. Covalent nitrogen doping in molecular beam epitaxy -grown and \nbulk WSe2. APL Mater. 6, 026603 (2018). \n38. Addou, R. et al. One dimensional metallic edges in atomically thin WSe 2 induced by \nair exposure. 2D Mater. 5, 025017 (2018). \n39. Desai, S. B., Seol, G., Kang, J. S., Fang, H. &Battaglia, C. Strain -Induced Indirect to \nDirect Bandgap Transition in Multilayer. Nano Lett. 14, 4592 (2014). \n40. Liu, B. et al. High -Performance WSe 2 Field -E ff ect Transistors via Controlled \nFormation of In - Plane Heterojunctions. ACS Nano 10, 5153 (2016). \n41. Jung, Y. et al. Transferred via contacts as a platform for ideal two -dimensional \ntransistors. Nat. Electron. 2, 187 –194 (2019). \n15 \n 42. Chien, P. Y. et al. Reliable doping technique for WSe2 by W:Ta co -sputtering process. \nin 2016 IEEE Silicon Nanoelectronics Workshop, SNW 2016 58–59 (2016). \ndoi:10.1109/SNW.2016.7577984 \n43. Zhao, P. et al. Air stable p -doping of WSe2 by covalent functionalization. ACS Nano 8, \n10808 –10814 (2014). \n44. Zhang, R., Drysdale, D., Koutsos, V. &Cheung, R. Controlled Layer Thinning and p -\nType Doping of WSe2 by Vapor XeF2. Adv. Funct. Mater. 27, 1702455 (2017). \n45. R.M.Wallace. In -Situ Studies of Interfacial Bonding of High -N Dielectrics for CMOS \nBeyond 22nm. ECS Trans. 16, 255 (2008). \n46. Herrera -Go´mez, A., Hegedus, A. &Meissner, P. L. Chemical depth profile of ultrathin \nnitrided films. Appl. Phys. Lett. 81, 1014 (2002). \n \nAcknowledgements \nC.-S. P. And T.Y .T. H. contributed equally to this work. \nC.-S. P., T.Y .T. H., A. K., R. A., R. M. W., and Z. C. acknowledge financial support from \nNEWLIMITS, a center in nCORE, a Semiconductor Research Corporation (SRC) program \nsponsored by NIST through award number 70NANB17H041. M. J. K. was supported in part \nby the Louis Beecherl, Jr. Endowment Funds, Global Research and Development Center \nProgram (2018K1A4A3A01064272) and Brain Pool Program (2019H1D3A2A01061938) \nthroug h the National Research Foundation of Korea (NRF) funded by t he Ministry of Science \nand ICT. \n \nAuthor Contributions \nZ. C. conceived and managed the project. C. -S. P and T. H. fabricated the devices, perform the \nO2-plasma treatment, and conducted the electrical and Raman measurements. A. K. and R. A. \n16 \n performed the XPS measure ment and analysis. Q. W. performed the FIB on samples for \nHRTEM analysis. All authors wrote and revised the manuscript. \n \nCompeting Interests Statement \nThe authors declare no competing interests. \n \n \n17 \n (a) (b) (c) \n \n \n \n(d) \n \n(e) \n \nFigure 1. Schematics illustrations of a) 2 -probe and b) 4 -probe (type 2) WSe 2 devices. c) \nSEM image of a 4 -probe device with dimensions labelled. d) HR-STEM and EELS line scan \nacross the SiO 2/WSe 2/contact region. e) Transfer characteristics of a WSe 2 SB device showing \nambipolar behaviors with gate -dependent electron/hole injection. \n \n \n18 \n (a) \n \n \n \n(b) (c) \n \n \n \n \n(d) (e) \n \n \n \n \n \n \n19 \n (f) (g) \n \n \nFigure 2. a) Comparison of Raman spectra between pristine bi -layer/tri -layer WSe 2 and after \nRT O 2 plasma treatment. b) W 4f and c) Se 3d core level spectra of pristine WSe 2 and after O 2 \nplasma treatment at RT, 90 oC, 150 oC, or 250 oC. d) Percentage of oxidized W atoms and e) Se \nto W ratio on the top few layers in pristine WSe 2 and after O 2 plasma treatment at various \ntemperatures. f) The red shift of the binding energy calculated from b, c after O 2 plasma \ntreatment at different temperature s. g) Raman spectra of WSe 2 before and after treatment at 250 \noC. The blue shift of E12g/A1g and 2LA(M) indicate p -doping effect in WSe 2. \n \n \n \n20 \n (a) (b) \n \n \n(c) \n \n \nFigure 3. a) Cross -section view of a WSe 2 device with L G = 65nm. b) The zoom -in observation \nof the channel region from a, indicating ~ 3 layers of WSe 2 is converted into WO 3-x. c) The \nlateral penetration of WO 3-x underneath the edge of contact after the O 2-plasma treatment. \n \n \n \n21 \n (a) \n \n \n(b) (c) \n \n \nFigure 4. a) Transfer characteristics comparison of pristine WSe 2 FETs and after O 2 plasma \ntreatment at RT, 150 oC, and 250 oC. The comparison of R C between pristine and after O 2 plasma \ntreatment at b) 150 oC and c) 250 oC using 4 -probe measurements. \n \n \n \n22 \n (a) (b) \n \n \nFigure 5. a) Measured R total (black data) and current density (red data) at V B = -50V and V DS = \n-0.9V for 12 devices with L G ranging from ~70nm to ~1050nm. R C ~ 1.14 k m is extracted \nfrom a linear fitting curve. b) Output characteristics of a device with record -high hole current \ndensity of 320 A/um at V DS = -1V after 250 oC O2 plasma treatment. \n \n \n \n23 \n Table 1. RC comparison for WSe 2 hole injection at room temperature. \n \nLayer Number [ref] RC (kΩ*μm) Special Treatment \n10L 22 0.3 2D/2D Contact \n6L 27 0.9 MoO 3 Passivation \n4L 26 1.1 Ozone Treatment \n10L 28 1.3 NO 2 Treatment \n2L 41 4.0 Transferred Contact \n20L 42 11.4 W:Ta Co -sputtering \n13L 41 12.5 Transferred Contact \n1L 43 38.0 NO 2 Treatment \n 1L 41 50.0 Transferred Contact \n7L 44 100 XeF 2 Thinning \n~8L (this work) 0.5 O2-plasma at 250 oC \n \n " }, { "title": "0805.2215v1.Field_induced_quantum_critical_route_to_a_Fermi_liquid_in_high_temperature_superconductors.pdf", "content": "arXiv:0805.2215v1 [cond-mat.supr-con] 15 May 2008Field-induced quantum critical\nroute to a Fermi liquid in\nhigh-temperature\nsuperconductors\nTakasada Shibauchi∗†, Lia Krusin-Elbaum‡, Masashi Hasegawa§, Yuichi Kasahara∗, Ryuji Okazaki∗, and Yuji Matsuda∗ ¶\n∗Department of Physics, Kyoto University, Sakyo-ku, Kyoto 6 06-8502, Japan,‡IBM T.J. Watson ResearchCenter, Yorktown Heights, New York 10598,§Department of Materials\nScience and Engineering, Nagoya University, Chikusa-ku, N agoya 464-8603, Japan, and¶Institute for Solid State Physics, University of Tokyo, Kas hiwa, Chiba 277-8581, Japan\nSubmitted to Proceedings of the National Academy of Science s of the United States of America\nIn high transition temperature ( Tc) superconductivity, charge doping\nis a natural tuning parameter that takes copper oxides from t he an-\ntiferromagnet to the superconducting region. In the metall ic state\naboveTcthe standard Landau’s Fermi-liquid theory of metals as\ntypified by the temperature squared ( T2) dependence of resistivity\nappears to break down. Whether the origin of the non-Fermi-l iquid\nbehavior is related to physics specific to the cuprates is a fu ndamen-\ntal question still under debate. We uncover a new transforma tion\nfrom the non-Fermi- to a standard Fermi-liquid state driven not by\ndoping but by magnetic field in the overdoped high- Tcsuperconduc-\ntor Tl2Ba2CuO6+x. From the c-axis resistivity measured up to 45 T,\nwe show that the Fermi-liquid features appear above a sufficie ntly\nhigh field which decreases linearly with temperature and lan ds at\na quantum critical point near the superconductivity’s uppe r criti-\ncal field — with the Fermi-liquid coefficient of the T2dependence\nshowing a power-law diverging behavior on the approach to th e crit-\nical point. This field-induced quantum criticality bears a s triking\nresemblance to that in quasi-two dimensional heavy-Fermio n super-\nconductors, suggesting a common underlying spin-related p hysics in\nthese superconductors with strong electron correlations.\nquantum criticality |strongly correlated electron materials |superconductivity\nQuantumcriticality refers toaphasetransition process be-\ntween competing states of matter governed not by ther-\nmal but by quantum fluctuations demanded by Heisenberg\nuncertainty principle [1]. It has emerged at the front and\ncenter of the physics of strongly correlated electron syste ms\nknown tohost competing quantumorders, and is witnessed by\na proliferation of reports on heavy Fermions [2, 3, 4, 5], iti ner-\nant (quantum) magnets [6], and high-transition-temperatu re\n(high-Tc)superconductors [7], with quantummatter tuned(at\ntimes arguably) through a transition by pressure, magnetic\nfield, or doping. Arguably, since one has to rely on long shad-\nows cast by quantum criticality far above zero temperature\n[8], for, obviously, T= 0 K cannot ever be attained.\nThe often-invoked hallmark of quantum criticality is an\nunconventional behavior of resistivity. For resistivity c ontri-\nbution, the standard Fermi liquid (FL) theory of metals pre-\ndicts a quadratic temperature dependence ρ(T) =ρ(0)+AT2\nat low temperatures. In high- Tccuprates, however, the baf-\nflingT-linear resistivity over a huge temperature range near\noptimal (hole) doping has been observed [9], flagging, in thi s\nsense, a non-Fermi liquid (n-FL) behavior in the metallic st ate\naboveTc. This has led to new theoretical concepts, some re-\nlated (e.g., phenomenology of “marginal Fermi liquid” [10] )\nand some unrelated (e.g., “strange metal” state [11]) to qua n-\ntum criticality. In most considerations of cuprates near qu an-\ntum critical points (QCPs) the tuning parameter is chargedoping [1, 10]. And while there is some experimental support\n[7, 12] for a doping-driven QCP, it is still to be broadly con-\nfirmed. Thus, it is of primary import to probe experimentally\nhow the n-FL state transforms into the conventional FL state ,\nand whether and how charge or spin degrees of freedom are\ninvolved.\nHere we report on the transformation from such ‘strange’\nn-FL state to the conventional FL metallic state in high- Tc\nsuperconductors in high magnetic fields. Our experiments\nmeasuring charge transport in overdoped Tl 2Ba2CuO6+xre-\nveal an unanticipated quantum criticality in a cuprate that is\nnot doping- but field-induced. The results are in close corre -\nspondence with the quantum criticality in the quasi-two di-\nmensional heavy-Fermion superconductors having strong an -\ntiferromagnetic fluctuations, suggesting common fundamen tal\nphysics of magnetic origin responsible for the observed QCP .\nTo have access to large regions of the metallic regime at\nlow temperatures, we use magnetic fields to destroy super-\nconductivity in heavily doped Tl 2Ba2CuO6+x(Tc≈15 K).\nThis material has a single CuO 2layer per unit cell and is rel-\natively clean among cuprates as evidenced by the high Tc(up\nto 93 K) that can be controlled with oxygen content. We fo-\ncus here on the c-axis longitudinal magnetotransport ( H∝bardblc),\nsince it should be less affected by orbital contributions tha n\nthe transverse geometry, and since in our overdoped system\nit is expected that Fermi surface is three-dimensional-lik e and\ncoherent [13], as revealed by the fact that the temperature\ndependence of c-axis resistivity ρc(T) can be well scaled by\nthat ofab-plane resistivity ρab(T) [see below].\nResults and Discussion\nFigure 1 shows the temperature dependence of c-axis resistiv-\nity at zero and 45 T fields. At zero field, ρc(T) is metallic all\nthe way down to Tc. This represents a clear contrast with the\nsemiconductinglike upturn in ρcobserved at lower dopings of\nBi2Sr2CaCu 2O8+y[14,15]inthepseudogapstate[16]. Wecan\nexamine our data within the overall temperature dependence\nρc(T) =ρc0+A0T2+CTwhich reproduces the temperature\ndependence of ρab(T) [17]. Also, it can be as easily fitted by\nThe authors declare no conflict of interest.\nThis paper was submitted directly to the PNAS office.\nAbbreviations: FL, Fermi liquid; n-FL, non-Fermi liquid; Q CP, quantum critical point\n†To whom correspondence should be addressed. E-mail:shibau chi@scphys.kyoto-u.ac.jp\nc/circlecop†rt2007 by The National Academy of Sciences of the USA\nwww.pnas.org — — PNAS Issue Date Volume Issue Number 1–5a power law with the exponent 1.3 ( <2) (inset in Fig. 1).\nRegardless of the choice, the temperature dependence is not\nT-quadratic as in a conventional FL; it marks an n-FL state\neven in the heavily overdoped region.\nWhen we apply 45 T along the caxis, the superconduc-\ntivity is destroyed and the entire temperature dependence\nup to 100 K can now be fitted with the simple FL form\nρc(0)+AT2. This clearly demonstrates that sufficiently high\nmagnetic fields destroy all remnants of the n-FL behavior, re -\ncovering the all familiar Fermi-liquid metal; i.e.in this over-\ndopedcupratethere exists a field-induced transformation from\nthe n-FL to FL state .\nTo follow the temperature dependence of ρcat different\nfields we plot it against T2in Fig. 2. It is evident that the\nAT2dependenceis observedbelow afield-dependenttempera-\ntureTFLindicated by the arrows. At higher temperatures the\nρc(T) data deviate from the T2behavior as can be seen more\nclearly by subtracting ρc(0)+A(H)T2in the upper panel. We\nnote that although the change is gradual, the power in the\ntemperature dependence unmistakably changes from 2 at low\ntemperatures ( T < T FL) to less than 2 at high temperatures\n(T > T FL). The field dependence of the TFLis depicted in the\nT-HdiagraminFig. 3. At45T,FLstateextendsupto100K,\nand at lower fields the Fermi liquid breaks down crossing to\nan n-FL behavior above TFL. With decreasing field TFL(H)\ndecreases linearly and extrapolates to zero in the vicinity of\nthe uppercritical field Hc2(0) [see below], terminatingat a pu-\ntative QCP. We conclude then that in zero temperature limit,\nthe normal state above Hc2in Tl2Ba2CuO6+xis a Fermi liq-\nuid, in agreement with the recent observation in this system\nof the Wiedemann-Franz law [18].\nNext we examine the field dependence of ρcat constant\ntemperatures, plotted in Fig. 4(a). At low temperatures, th e\nresistivity is zero below the so-called irreversibility fie ldHirr\n[14]inthevortexsolidstate. Wenotethatabove Hirrthemag-\nnetoresistance is always positive. We recall that in less-doped\npseudogapped Bi 2Sr2CaCu 2O8+ythe observed magnetoresis-\ntance is negative over a large field range [14], consistent with\nfilling of the low-energy states within the pseudogap in the\napplied magnetic field. We surmise then, that at this doping\nthe pseudogap is either way below the superconductingenerg y\nscale, or perhaps entirely absent.\nThe superconducting coherence can survive up to a char-\nacteristic field Hsc, above which thequasiparticle conductivity\novercomes the vortex contribution [19, 20, 21]. This often u n-\nderestimates the upper critical field Hc2nearTcin high-Tc\ncuprates; it is notoriously difficult to obtain from transpor t\nowing to large thermal fluctuations. However, previous stud -\nies ofc-axis magnetotransport [20] revealed that in the over-\ndoped regime in the low- Tlimit,Hc2is very near Hsc(0). In\nour sample we evaluate µ0Hc2(0)∼8 T. Above this limiting\nfieldρc(H) at low- Tis strictly H-linear in the normal state\nover the entire field range.\nTotakeacloser lookathigher T, wesubtractthehigh-field\nlinear term from ρc(H) and obtain δρc, which quantifies the\ndeviation from the H-linear dependence. This analysis high-\nlights a noticeable deviation from the field-linearity belo w a\ntemperature-dependentcharacteristic field HFL, see Fig. 4(b).\nThe obtained HFL(T) is also plotted in Fig. 3. Remarkably\nandconsistently it follows the TFL(H) line within the exper-\nimental error bars. We surmise then, while the H-linear andlarge magnetoresistance isanon-trivial findingin itsown r ight\nthat needs to be further understood, here it clearly is a phe-\nnomenon of the Fermi-liquid. Indeed, several theoretical a c-\ncounts within the Fermi-liquid picture derive large H-linear\nρc(H) [22, 23].\nWe remark that at low temperatures below 5 K the stan-\ndard FL state is confirmed by the classical Kohler’s rule for\nmagnetoresistance, see Fig. 4(c). At higher temperatures,\nwhere the low field data below HFL[including ρn\nc(0)] no longer\nfollow what is expected in the simple FL state, the scaling is\nclearly violated. And while the violation of Kohler’s rule a t\nhigh temperatures can be caused by other mechanisms, the\nlow temperature data are consistently in correspondence wi th\nthe field-induced FL state. The temperature-dependent vi-\nolation further indicates that here the magnetoresistance is\nnot simply governed by ωcτ(a product of the cyclotron fre-\nquency and scattering time). From this we conclude that the\nobserved field-induced AT2behavior is an intrinsic effect and\nnot an artifact due to ωcτ.\nAt finite temperatures the observed field-induced trans-\nformation appears to be crossover-like. So now we will ask\nwhether the T→0 K terminus of HFL(T) indicates a true\nphase transition at QCP. We note the conspicuously strong\nfield dependence of the FL coefficient A: it increases with de-\ncreasing field and decreasing TFL, see inset of Fig. 3. Indeed\nwe find that the field dependence can be fitted to\nA(H) =A0+D(H−HQCP)−α, [1]\nwhereA0andDare constants and αandHQCPare the rel-\nevant parameters of the fit. As we discussed earlier, in zero\nfieldρc(T) can be analyzed either by a power law or by the\nρc0+A0T2+CTdependence. In the analysis of the field de-\npendencethetwodifferentforms wouldrequiredifferentvalu es\nofA0in Eq. (1). Intheformer case, we take A0= 0µΩcm/K2\nandwecanfitthe A(H)byα= 0.62andHQCP= 7.4T.Inthe\nlatter case, we use the finite coefficient A0= 0.86µΩcm/K2\n(see Fig. 1) and the fit gives α= 1.04 andHQCP= 5.8 T.\nThus, experimental A(H) algebraically diverges at HQCP.\nWithin Fermi liquid theory, as T→0 K the energy depen-\ndence of the total scattering rate near the Fermi surface tak es\nthe form 1 /τ= 1/τ0+a(E−EF)2(1/τ0comes from the im-\npurity scattering, ais a constant in energy E, andEFis the\nFermi energy) [24]. At the QCP the singularity of a(H) will\nmirror thatof A(H)—thetwocoefficients arerelated through\nthe quasiparticle-quasiparticle scattering cross sectio n. The\nfound divergence of Athus gives us confidence in assigning\nHQCPas the QCP field, and αas the exponent characteriz-\ning quantum criticality. We remark that strongly correlate d\nelectron systems commonly obey Kadowaki-Woods relation\nA∝γ2[25], where γis the electronic coefficient of specific\nheat and a measure of the effective mass m∗of a Landau\nquasiparticle. While this relation is complex (and sometim es\nviolated [5]), we note that with large ( ∼103[17]) resistiv-\nity anisotropy in Tl 2Ba2CuO6+x, the obtained Avalues near\nHQCPimply enhanced γ∼30 mJ/mol-K2, comparable to\nthat e.g. in superconducting Sr 2RuO4[26], where similarly\nanisotropic Avalues between the c-axis and in-plane resistivi-\nties have been observed. This enhancement of Aand a lack of\nsaturation may also be related to the enhanced susceptibili ty\nχ0in the overdoped Tl 2Ba2CuO6+x[9]. We surmise then that\nat finite temperatures the system is governed by the quantum\n2www.pnas.org — — Footline Authorfluctuations, generating the n-FL state which crosses over t o\nthe conventional FL above HFL.\nThe n-FL state with non- T2dependence of resistivity [2]\nand a violation of Kohler’s rule [3] has also been observed in\nheavy-Fermion superconductors having strong antiferroma g-\nneticfluctuations. Notably, inCeCoIn 5withquasi-twodimen-\nsional electronic structure, a quite similar field-induced QCP\nhas been identified by the transport and specific heat mea-\nsurements [27, 28, 29]. In high magnetic fields, the resistiv ity\nrecovers the AT2dependence at low temperatures in a simi-\nlar manner near the upper critical field Hc2(0) (≈5 T). It has\nbeen pointed out [28] that the underlying antiferromagneti c\nfluctuations [30] become critical in the immediate vicinity of\nthe superconductivity, preventing development of magneti c\norder. We note that anisotropic violation of the Wiedemann-\nFranz law in CeCoIn 5was recently found near the QCP [31],\nwhere the FL renormalization parameter Z(∼1/m∗) tends to\nzero in the cdirection but remains finite in the abplane. This\nsuggests thatthe cdirection is more susceptible toinstabilities\nrelated to QCP.\nAn intriguing question to ask is whether field-induced\nHQCP≈Hc2(0) in a highly overdoped cuprate is a shear coin-\ncidence or are they inherently linked. In particular, one ma y\nask whether an extended regime of superconducting fluctu-\nations can promote the observed n-FL state. In the heavy-\nFermion superconductor CeCoIn 5, FL coefficient Aalso di-\nverges at the QCP located very near Hc2(0), with αclose to\nunity [27]. By applying pressure HQCPis strongly suppressed\nand is no longer coincident with Hc2(0) [29]. This rather com-\npellingly points to a QCP controlled by a competing order,\nmost likely related to antiferromagnetism [32]. In cuprate s,\nneutron scattering experiments [33, 34] show that magnetic\nfield can inducea distinct static magnetic order, and a sur-\nprisingly much enhanced spin fluctuations at low Twithin\nthe vortex cores, also detected by a spatially resolved NMR\n[35]. Thus, spin correlations in cuprates seem to experienc e afield-induced boost.\nOurwork, inadeparturefrom previousstudies, probesthe\nhigh-field regime at very high hole doping—much distanced\nfrom the antiferromagnetic ‘mother order’. That the antife r-\nromagnetic fluctuations [36] could have such long reach [37]\nand play a role in the uncovered field-induced QCP is quite\nextraordinary. We expect that the true nature of thequantum\ncritical fluctuations that produce the n-FL state in the high ly\noverdoped Tl 2Ba2CuO6+xis complex, since here we are not\nfar from the superconductivity’s charge-doping end point [ 38].\nFrom our experiments, with salient similarities found betw een\na cuprate and a heavy-Fermion compound, all evidence here\npoints to a spin-controlled QCP universal to these strongly\ncorrelated electron systems.\nMaterials and Methods\nSingle crystals ofTl 2Ba2CuO6+xwere grown byafluxmethod\n[39]. In this system, the doping can be tuned by oxygen con-\ntent covering a range from somewhat overdoped ( Tc≈93 K)\nup to heavily overdoped ( Tc≈0 K) [9]. In our study, we used\na homogeneous highly-overdoped crystal with a sharp transi -\ntion atTc≈15 K (see Fig. 1). The c-axis resistivity ρc(T,H)\nwas measured in the 45-T hybrid magnet at NHMFL (com-\nprising a 11.5 T superconducting outsert and 33.5 T resistiv e\ninsert magnets) by the standard four-probe method using an\nac resistance bridge [40]. The temperature at high fields was\ncontrolled to ∼50 mK by using a capacitance censor at low\ntemperatures, where the magnetoresistance of Cernox resis -\ntive sensors is not negligible.\nACKNOWLEDGMENTS. We thank A. I. Buzdin, S.\nChakravarty, S. Fujimoto, N. E. Hussey, H. Kontani, and C. M.\nVarma for discussions, and B. Brandt for technical assistan ce at\nNHMFL. This work was supported in part by Grants-in-Aid forS ci-\nentific Research from JSPS, and for the 21st Century COE “Cent er\nfor Diversity and Universality in Physics” from MEXT, Japan .\n1. Sachdev S (1999) in Quantum Phase Transitions (Cambridge Univ. Press,\nCambridge).\n2. Sidorov VA et al.(2002) Superconductivity and quantum criticality in\nCeCoIn 5.Phys Rev Lett 89:157004.\n3. Nakajima Y et al.(2007) Non-Fermi liquid behavior in the magnetotrans-\nport of Ce MIn5 (M: Co and Rh): Striking similarity between quasi two-\ndimensional heavy Fermion and high- Tccuprates. J Phys Soc Jpn 76:024703.\n4. Mathur ND et al.(1998) Magnetically mediated superconductivity in\nheavy Fermion compounds. Nature 394:39-43.\n5. Custers J et al.(2003) The break-up of heavy electrons at a quantum\ncritical point. Nature 424:524-527.\n6. Grigera SA et al.(2004) Disorder-sensitive phase formation linked to meta-\nmagnetic quantum criticality. Science 306:1154-1157.\n7. Valla T et al.(1999) Evidence for quantum critical behavior in the opti-\nmally doped cuprate Bi 2Sr2CaCu2O8+δ.Science 285:2110-2113.\n8. Kopp A, Chakravarty S (2005) Criticality in correlated qu antum matter.\nNat Phys 1:53-56.\n9. Kubo Y, Shimakawa Y, Manako T, Igarashi H (1991) Transport and mag-\nnetic properties of Tl 2Ba2CuO6+δshowing a δ-dependent gradual transi-\ntion from an 85-K superconductor to a nonsuperconducting me tal.Phys Rev\nB43:7875-7882.\n10. Varma CM, Littlewood PB, Schmitt-Rink S, Abrahams E, Ruc kenstein\nAE (1989) Phenomenology of the normal state of Cu-O high-tem perature\nsuperconductors. Phys Rev Lett 63:1996-1999.\n11. Anderson PW (2006) The ‘strange metal’ is a projected Fer mi liquid with\nedge singularities. Nat Phys 2:626-630.\n12. Tallon J, Loram JW (2001) The doping dependence of T∗- what is the\nreal high- Tcphase diagram? Physica C 349:53-68.13. Hussey NE, Abdel-Jawad M, Carrington A, Mackenzie AP, Ba licas L\n(2003) A coherent three-dimensional Fermi surface in a high -transition-\ntemperature superconductor. Nature 425:814-817.\n14. Shibauchi T, Krusin-Elbaum L, Li M, Maley MP, Kes PH (2001 ) Closing\nthe pseudogap by Zeeman splitting in Bi 2Sr2CaCu2O8+yat high magnetic\nfields.Phys Rev Lett 86:5763-5766.\n15. Krusin-Elbaum L, Shibauchi T, Mielke CH (2004) Null orbi tal frustration\nat the pseudogap boundary in a layered cuprate superconduct or.Phys Rev\nLett92:097005.\n16. Basov ND, Timusk T (2005) Electrodynamics of high- Tcsuperconduc-\ntors.Rev Mod Phys 77:721-779.\n17. Abdel-Jawad M et al.(2006) Anisotropic scattering and anomalous\nnormal-state transport in a high-temperature superconduc tor.Nat Phys\n2:821-825.\n18. Proust C, Boaknin E, Hill RW, Taillefer L, Mackenzie AP (2 002) Heat\ntransport in a strongly overdoped cuprate: Fermi liquid and a pure d-wave\nBCS superconductor. Phys Rev Lett 89:147003.\n19. Morozov N et al. (2000) High-field quasiparticle tunneling in\nBi2Sr2CaCu2O8+δ: Negative magnetoresistance in the superconducting\nstate.Phys Rev Lett 84:1784-1787.\n20. Shibauchi T, Krusin-Elbaum L, Blatter G, Mielke CH (2003 )\nUnconventionally large quantum-dissipative gap regime in overdoped\nBi2Sr2CaCu2O8+y.Phys Rev B 67:064514.\n21. Mackenzie AP et al.(1993) Resistive upper critical field of Tl 2Ba2CuO6\nat low temperatures and high magnetic fields. Phys Rev Lett 71:1238-1241.\n22. Abrikosov AA (2000) Linear c-axis magnetoresistance in underdoped\nYBa2Cu3O6+δ.Phys Rev B 61:5928-5929.\n23. Schofield AJ and Cooper JR (2000) Quasilinear magnetores istance in an\nalmost two-dimensional band structure. Phys Rev B 62:10779-10784.\n24. See, for example, Ashcroft NW and Mermin ND (1976) Solid State Physics\n(Holt, Rinehart and Winston, New York).\nFootline Author PNAS Issue Date Volume Issue Number 325. Tsujii N, Kontani H, Yoshimura K (2005) Universality in h eavy Fermion\nsystems with general degeneracy. Phys Rev Lett 94:057201.\n26. Maeno Y et al.(1997) Two-dimensional Fermi liquid behavior of the su-\nperconducting Sr 2RuO4.J Phys Soc Jpn 66:1405-1408.\n27. Paglione J et al.(2003) Field-induced quantum critical point in CeCoIn 5.\nPhys Rev Lett 91:246405.\n28. Bianchi A, Movshovich R, Vekhter I, Pagliuso PG, Sarrao J L (2003)\nAvoided antiferromagnetic order and quantum critical poin t in CeCoIn 5.\nPhys Rev Lett 91:257001.\n29. Ronning F et al.(2006) Pressure study of quantum criticality in CeCoIn 5.\nPhys Rev B 73:064519.\n30. Moriya T, Takimoto T (1995) Anomalous properties around magnetic\ninstability in heavy electron systems. J Phys Soc Jpn 64:960-969.\n31. Tanatar MA, Paglione J, Petrovic C, Taillefer L (2007) An isotropic vi-\nolation of the Wiedemann-Franz law at a quantum critical poi nt.Science\n316:1320-1322.\n32. Pham LD, Park T, Maquilon S, Thompson JD, Fisk Z (2006) Rev ersible\ntuning of the heavy-Fermion ground state in CeCoIn 5.Phys Rev Lett\n97:056404.\n33. Lake B et al.(2001) Spins in the Vortices of a High-Temperature Super-\nconductor. Science 291:1759-1762.34. Wilson SD et al. (2007) Quantum spin correlations through the\nsuperconducting-to-normal phase transition in electron- doped supercon-\nducting Pr 0.88LaCe0.12CuO4−δ.Proc Natl Acad Sci USA 104:15259-15263.\n35. Kakuyanagi K, Kumagai K, Matsuda Y, Hasegawa M (2003) Ant iferro-\nmagnetic vortex core in Tl 2Ba2CuO6+δstudied by nuclear magnetic reso-\nnance. Phys Rev Lett 90:197003.\n36. Scalapino DJ (1995) The case for dx2−y2pairing in the cuprate super-\nconductors. Phys Rep 250:330-365.\n37. Wakimoto S et al.(2004) Direct relation between the low-energy spin\nexcitations and superconductivity of overdoped high- TcSuperconductors.\nPhys Rev Lett 92:217004.\n38. Kopp A, Ghosal A, Chakravarty S (2007) Competing ferroma gnetism in\nhigh temperature copper oxide superconductors. Proc Natl Acad Sci USA\n104:6123-6127.\n39. Hasegawa M, Takei H, Izawa K, Matsuda Y (2001) Crystal gro wth tech-\nniques for Tl-based cuprate superconductors. J Cryst Growth 229:401-404.\n40. Kawakami T, Shibauchi T, Terao Y, Suzuki M, Krusin-Elbau m L\n(2005) Evidence for universal signatures of Zeeman-splitt ing-limited pseu-\ndogaps in superconducting electron- and hole-doped cuprat es.Phys Rev Lett\n95:017001.\n4www.pnas.org — — Footline Author0.04\n0.03\n0.02\n0.01\n0.00ρc (Ω cm)\n100 80 60 40 20 0\nT (K)µ0H = 0 TTl2Ba2CuO6 (Tc~15 K)\nµ0H = 45 T ρc = ρc(0) + A(45 T)T 2\n ρc = ρc0 + A0T 2 +CT\n0.040\n0.035\n0.030\n0.025\n0.020\n0.015ρc (Ω cm)\n6004002000\nT1.3 (K1.3)µ0H = 0 T\nFig. 1. Temperature dependence of the c-axis resistivity ρcin an overdoped crystal of Tl 2Ba2CuO6+xunder zero (black solid line) and a 45 T field (squares). Red da shed\nand solid curves are the fits to ρc0+A0T2+CTandρc(0)+AT2, respectively. Inset: ρcvsT1.3at zero field. Solid line is a linear fit.\n40\n35\n30\n25\n20\n15ρc (mΩ cm)\n10000 8000 6000 4000 20000\nT 2 (K2)45 T\n40 T\n35 T\n30 T\n25 T\n20 T\n15 T\n11.5 T\n \n TFL -6-4-202ρc - ρc(0) -A(H)T 2 (mΩ cm) 10000 8000 6000 4000 20000T 2 (K2)\nTFL \n26\n24\n22\n20\n18\n2000150010005000 TFL \nFig. 2. c-axis resistivity ρcas a function of T2at fixed fields. Upper panel: ρcwith the Fermi-liquid contribution subtracted highlights the non-Fermi-liquid behavior for\nT > T FL(marked by arrows). Lower panel: ρcfitted to the AT2dependence (dashed lines) for T < T FL. Onsets of the deviation from AT2have error bars indicated\nin Fig. 3. Inset shows an expanded view of the low temperature region.\nFootline Author PNAS Issue Date Volume Issue Number 5100\n80\n60\n40\n20\n0T (K)\n40 30 20 10 0\nµ0H (T)SCFLn-FL\n HQCP6\n5\n4\n3\n2\n1\n0A (µΩcm/K2)\n50403020100\nµ0H (T)A0HQCP\nFig. 3. Temperature-field diagram obtained from the high-field tran sport measurements. Blue solid circles, TFL(H), and open squares, HFL(T), separate Fermi-liquid\n(FL) and non-Fermi-liquid (n-FL) states. Red squares are th e onset of superconductivity (SC). Thick red line represent sHsc(T), which in cuprates varies exponentially with\nT[14, 19]. Red hatched area outlines Hc2(T). Inset: The Fermi-liquid coefficient Aas a function of H. The data can be fitted to Eq. (1) as shown by blue-solid and\nred-dashed lines corresponding to two choices of A0, see text.\n0.04\n0.03\n0.02\n0.01\n0.00ρc (Ω cm)\n403020100\nµ0H (T) 100 K\n 70 K\n 50 K\n 30 K\n 20 K\n 15 K\n 5.0 K\n 1.5 K\n0.56 K\n Hsc\nHirrH // c3\n2\n1\n0δρc (mΩ cm)\n40302010\nµ0H (T) 70 K HFL\n \n \n \n \n1.5 K5.0 K 10 K 20 K 30 K 50 K(b) (a) \n0.8\n0.6\n0.4\n0.2\n0.0[ρcn(H ) - ρcn(0)] / ρcn(0)\n3000 2500 2000 1500 1000 5000\nµ0H / ρcn(0) (T / Ω cm) HFL 0.56 K\n 1.5 K\n 5.0 K\n 10 K\n 15 K\n 20 K\n 30 K\n 50 K\n 70 K\n 100 K(c) \nFig. 4. Field dependence of the c-axis resistivity ρc. (a)ρcvs fieldHat fixed temperatures. Dashed line is a linear fit to the 1.5 K da ta. Below Hscthe downward\nrounding of ρcsignifies the onset of superconductivity, and ρcis zero below the irreversibility field Hirr. (b)δρc(H)obtained by subtracting the H-linear part from ρc(H)\nat fixedT. Each curve is shifted vertically for clarity. HFL(T), marked by arrows [also in (c)], are the deviation points fro mH-linear magnetoresistance (MR). (c) Kohler\nplot of normal-state MR against µ0H/ρn\nc(0)∝ωcτ.ρn\nc(0)is the normal-state zero-field ρc(T)[dashed line in Fig. 1].\n6www.pnas.org — — Footline Author" }, { "title": "2305.02197v2.The_Volume_of_Healthy_Red_Blood_Cells_is_Optimal_for_Advective_Oxygen_Transport_in_Arterioles.pdf", "content": "The Volume of Healthy Red Blood Cells is Optimal for Advective\nOxygen Transport in Arterioles\nLucas Amoudruza,c, Athena Economidesb, and Petros Koumoutsakosa,*\naComputational Science and Engineering Laboratory, School of Engineering and Applied Sciences, Harvard\nUniversity, Cambridge, MA 02138, United States\nbInstitute of Neuropathology, University of Zurich, CH-8091 Zurich, Switzerland\ncComputational Science and Engineering Laboratory, ETH Z¨ urich, CH-8092, Switzerland\n*Corresponding author: petros@seas.harvard.edu\nAbstract\nRed blood cells (RBCs) are vital for transporting oxygen from the lungs to the body’s tissues through the\nintricate circulatory system. They achieve this by binding and releasing oxygen molecules to the abundant\nhemoglobin within their cytosol. The volume of RBCs affects the amount of oxygen they can carry, yet\nwhether this volume is optimal for transporting oxygen through the circulatory system remains an open\nquestion. This study explores, through high-fidelity numerical simulations, the impact of RBC volume on\nadvectve oxygen transport efficiency through arterioles which form the area of greatest flow resistance in\nthe circulatory system. The results show that, strikingly, RBCs with volumes similar to those found in vivo\nare most efficient to transport oxygen through arterioles. The flow resistance is related to the cell-free layer\nthickness, which is influenced by the shape and the motion of the RBCs: at low volumes RBCs deform\nand fold while at high volumes RBCs collide and follow more diffuse trajectories. In contrast, RBCs with\na healthy volume maximize the cell-free layer thickness, resulting in a more efficient advectve transport of\noxygen.\nBlood flow plays a vital role in sustaining life as\nit enables the delivery of oxygen and nutrients to ev-\nery tissue and organ in the body while also remov-\ning harmful waste like carbon dioxide. Red blood\ncells (RBCs) are a crucial component of blood, mak-\ning up almost half of the total blood volume in hu-\nmans [37]. These specialized cells are responsible for\ncarrying oxygen from the lungs to the rest of the\nbody, where it is used to fuel cellular metabolism.\nThey are formed by a visco-elastic membrane that\nsurrounds the cytosol of the cell. The cytosol con-\ntains a high concentration of hemoglobin, which is\nresponsible for the transportation of oxygen [37, 10].\nThe RBC membrane is composed of a lipid bilayer\nanchored to a cytoskeleton and allows for significant\ndeformations [30]. The lipid bilayer of the membrane\nbehaves like an incompressible liquid crystal withlocalized bending resistance, while the cytoskeleton\nprovides resistance to local shear and dilation [22].\nAccording to current models, the unstressed shape of\nthe cytoskeleton is an oblate spheroid of reduced vol-\nume approximately 0 .95, compared to a sphere with\nthe same membrane area [30, 22, 3]. However, at\nequilibrium healthy RBCs adopt a biconcave shape\nwith a reduced volume ( v) of approximately 0 .65 [14].\nIn this paper, we study the effect of this reduced vol-\nume on the oxygen transport efficiency in straight\ntubes with circular cross-section that have represen-\ntative characteristics of arterioles.\nThe biconcave shape and reduced volume of RBCs\nas well as metrics for their optimality remain a sub-\nject of debate in the scientific community. Several\nresearchers proposed that the biconcave shape al-\nlows for a large surface-to-volume ratio for RBCs\n1arXiv:2305.02197v2 [physics.bio-ph] 15 Apr 2024so that they maximize oxygen exchange between the\nRBC interior and their environment [29, 50]. The\nexchange of oxygen between the cytoplasm and the\nenvironment is higher for cells with lower thickness\nbecause cytoplasmic diffusion may be more limiting\nthan membrane permeability, as shown by Richard-\nson et al. [41]. Other studies suggest that the bicon-\ncave shape allows for a more efficient flow through\ncapillaries and kidney tubules, due to the RBCs’ de-\nformability [30, 36, 51, 35]. Uzoigwe [50] proposed\nthat RBCs have a biconcave shape to maximize their\nmoment of inertia, thereby reducing shear stresses in\nblood flow and decreasing blood flow resistance in ar-\nteries, but did not present any quantitative results.\nGuo et al. [23] showed that the volume of cells affects\ntheir stiffness, while other studies found that osmotic\npressure and cell stiffness affect the viscosity of whole\nblood [48, 47, 39, 43]. Alterations in RBC volume can\nsignificantly impact the function of the circulatory\nsystem and individual health [50]. Farutin et al. [15]\nstudied numerically the cell transport efficiency in\nchannels and observed that the optimal hematocrit\ndepends on the RBCs’ volume.\nIn this study, we investigate the effect of the re-\nduced volume of RBCs on the advectve oxygen trans-\nport efficiency along the blood flow direction. To\nthis end, we study the transport of a fixed quantity\nof hemoglobin by RBCs with various resting shapes,\nparameterized by the reduced volume of the cells. We\ninvestigate the effect of the RBC reduced volume on\nthe oxygen flux through a straight tube with circular\ncross-section. This metric has been used experimen-\ntally to determine the optimal hematocrit for oxygen\ntransport [47, 15]. We choose tubes with dimensions\nand pressure gradient similar to those found in arte-\nrioles, where the flow resistance is the highest in the\ncirculatory system [7, 8, 33, 34].\nThe study relies on a recent and appropriately val-\nidated RBC model, comprising visco-elastic mem-\nbranes enclosing the cytosol and suspended in the\nblood plasma. The model was extensively calibrated\nin Amoudruz et al. [3] and validated against exper-\nimental data in various flow conditions. The evolu-\ntion of the solvent and the cytosol are described by\ndissipative particle dynamics (DPD) [25, 13]. The\nnumerical simulations are performed with Mirheo ,a high-performance software for blood flow and mi-\ncrofluidics [1].\nMethods\nWe model blood with RBCs composed of visco-elastic\nmembranes surrounding their viscous cytosol, and\nsuspended in the blood plasma. The RBC mem-\nbrane deforms from forces that arise from bending\nresistance of the lipid-bilayer, as well as due to the\nshear and dilation elasticity of the cytoskeleton with\nrespect to its stress-free state (SFS), and membrane\nviscosity. The resistance to bending is described by\nthe energy\nUbending = 2κbI\nH2dA, (1)\nwhere the integral is taken over the membrane sur-\nface, κbis the bending modulus and His the local\nmean curvature. The in-plane elastic energy is given\nby\nUin−plane =Kα\n2I\u0000\nα2+a3α3+a4α4\u0001\ndA0\n+µI\u0000\nβ+b1αβ+b2β2\u0001\ndA0,\nwhere the integral is taken over the SFS surface, α\nandβare the local dilation and shear strain invari-\nants, respectively, Kαis the dilation elastic modulus,\nµis the shear elastic modulus and the coefficients a3,\na4,b1andb2are parameters that control the non-\nlinearity of the membrane elasticity for large defor-\nmations [31].\nEach membrane is composed of 2562 particles lo-\ncated at the corners of a triangulated mesh and evolve\naccording to Newton’s law of motion. The bending\nenergy is discretized following references [26, 6], and\nthe in-plane energy is computed as described in Lim\net al. [30]. The forces acting on the particles are the\nnegative gradients of the discretized energy terms,\nwith respect to the particle positions. Dissipation on\nthe membrane is modeled by particles sharing an edge\nin the triangle mesh that exert a pairwise force as\ndescribed in Fedosov [16], proportional to the mem-\n2brane viscosity ηm. Finally, the area of the mem-\nbrane and the volume of the cytosol are constrained\nthrough energy penalization terms,\nUarea=kA(A−A0)2\nA0, U volume =kV(V−V0)2\nV0,\nwhere A0andV0are the area and volume of the cell at\nrest and AandVare the area and volume of the cell,\nrespectively. These penalization terms are required\nas the DPD method does not impose incompressibil-\nity of the cytosol, and the membrane elastic energies\nalone do not conserve the membrane area. The pa-\nrameters of the model take physiological values cal-\nibrated from experimental data [3] and are listed in\nthe Appendix.\nThe RBC cytosol and surrounding plasma are rep-\nresented with particles that evolve through DPD in-\nteractions [25, 13, 3] (see Appendix for more de-\ntails). We emphasize that the model parameters cor-\nrespond to the 1:5 ratio of viscosities for the plasma\n(1.2 Pa s [53]) and the RBC cytosol (6 Pa s [52]). To\nmodel the no-slip and no-flux boundary conditions on\nwalls, particles are bounced-back from the walls’ sur-\nface. Furthermore, the particles interact with a layer\nof frozen particles that are inside the walls through\nDPD interactions [40]. In addition, DPD particles\nare bounced-back from membrane surfaces, and in-\nteract with the membrane particles through the dis-\nsipative and stochastic parts of the DPD interactions\nonly [16]. The cytosol and plasma particles interact\nwith each other only through the conservative part\nof the DPD forces. The DPD parameters are cho-\nsen from the macroscopic properties of the fluids as\ndescribed in ref. [2].\nResults\nWe examine the equilibrated flow of RBCs inside a\ncircular tube of radius R∈ {30µm,40µm}. These\nsizes are typical for arterioles [21]. The volume\nfraction of RBCs, or tube hematocrit, is set to\nHct∈ {40%,45%,50%}and the blood suspension\nis subjected to a pressure gradient ∇p∈ {1×\n10−3cmH 2Oµm−1,2×10−3cmH 2Oµm−1}, typical\nfor arterioles of this size [21]. These conditions\n0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0\nv0.02.55.07.510.012.515.017.520.0velocity (mm/s)\nFigure 1: Mean flow velocity in the tube against the\nreduced volume of the RBCs for a fixed pressure gra-\ndient, with different tube radii, hematocrits and pres-\nsure gradients.\n:R= 30 µm, Hct = 40%, ∇p= 1×\n10−3cmH 2Oµm−1;\n :R= 40 µm, Hct = 40%,\n∇p= 1×10−3cmH 2Oµm−1;\n :R= 40 µm,\nHct = 45%, ∇p= 1×10−3cmH 2Oµm−1;\n :\nR= 40 µm, Hct = 50%, ∇p= 1×10−3cmH 2Oµm−1;\n:R= 40 µm, Hct = 45%, ∇p= 2×\n10−3cmH 2Oµm−1.\ncorrespond to mean flow velocities of the order of\n10 mm s−1, consistent with values reported in the\nliterature [37]. Mean flow velocities of all simula-\ntions are reported on fig. 1. We study different cases\nwhere all RBC membranes have the same area and\nvisco-elastic properties (calibrated from experimental\ndata [3]) but have a different volume V0parameter-\nized by the reduced volume v=V0/Vs, where Vsis\nthe volume of a sphere with the same area as the cell’s\nmembrane. All initial triangle mesh were produced\nby minimizing the membrane energy with a target\nvolume V0. The number of RBCs is adapted to keep\nthe hematocrit constant, N=⌊πR2LHct/V0⌋. We\nstudy a periodic domain with a length L= 100 µm\nalong the flow direction. No-slip and no-flux bound-\nary conditions are applied on the side walls. All quan-\ntities are reported from equilibrated blood flows.\nWe find that the reduced volume affects the flow\npatterns and RBCs deformability (fig. 2). Specifi-\ncally, for low reduced volumes, the cells deform much\n3Figure 2: Snapshots of RBCs flowing near the walls of the tube with R= 40 µm and Hct = 0 .45. The flow\ndirection is from left to right. Cells with different reduced volumes respond differently to the flow shear\n(from left to right: v= 0.35, 0.65, 0.95).\nmore than at high values of v. In the limit v→1, only\nspherical cells are allowed, and as the area is constant\nthey cannot deform. RBCs with a physiological re-\nduced volume do not exhibit significant deformations\ncompared to those with lower reduced volumes. In-\nstead, RBCs with v= 0.65 seem to keep a relatively\nflat shape similar to tank-treading RBCs, a type of\nmotion where the membrane rotates around a steady\nelongated shape similar to tank treads [18].\nWe quantify the amount of transported oxygen by\ncomputing the flux of cytosol in the tube for each\nvalue of v,\nQc=V0\nLNX\ni=1Ui,\nwhere Uiis the time-averaged velocity of the ith\nRBC’s center of mass. We assume that the\nhemoglobin concentration inside the cytosol is con-\nstant, and therefore the cytosol flux is proportional\nto the oxygen flux. Figure 3 shows the flux of cytosol\nagainst the reduced volume of the RBCs for differ-\nent tube radii and hematocrits. The flux of cytosol\nis normalized by the flux of plasma with no RBCs,\nQp=|∇p|πR4/8η, where ηis the dynamic viscosity\nof the plasma. In these conditions, Qcreaches a max-\nimum at a reduced volume v≈0.65. Strikingly, the\noxygen flux is maximized at the physiological reduced\nvolume of RBCs.\nTo elucidate the mechanisms that reduce the cy-\ntosol flux when the volume of the RBCs deviates\nfrom its physiological value, we examine the cell-free\nlayer (CFL) near the walls of the tube (fig. 4). We\nreport its thickness, δ, with respect to the reduced\n0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0\nv0.120.140.160.180.200.22Qc/Qp\nFigure 3: Cytosol flux Qc, normalized by that of the\nplasma at zero hematocrit, Qp, against the reduced\nvolume of RBCs vflowing in the tube of different\nradii and hematocrits. Same labels as in fig. 1.\n4v=0.35v=0.65v=0.95Figure 4: Snapshots of RBCs flowing near the walls\n(top horizontal line) of the tube. The flow direction\nis from left to right. The slice of the RBCs in the\nvertical plane is represented with black lines.\n0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0\nv0.00.20.40.60.81.01.21.41.6δ[µm]\nFigure 5: Cell-free layer thickness δagainst the re-\nduced volume of RBCs vflowing in the tube. Same\nlabels as in fig. 1.\nθ0.30.40.50.60.70.80.91.0v04590µFigure 6: Top left: The rim (white) of a RBC at\nrest. Bottom left: The normal of the rim plane (dark\narrow) and the normal of the cell plane (red arrow)\nform the rim angle θ. Right: Violin plots illustrating\nthe variation in rim angle distribution θ(in degrees)\nof flowing cells across different reduced volumes v.\nCrosses indicate the mean value of θ.\nvolume von fig. 5. The viscosity is lower in the CFL\nthan in the blood suspension, hence the shear rate\nis higher in the CFL region. Therefore, for a given\npressure difference, a larger CFL thickness causes a\nhigher flux of hemoglobin (figs. 3 and 5) [9]. We sug-\ngest that the change in the CFL thickness depends\non the reduced volume of the RBCs. It has been re-\nported in the literature that cells that are close to\nthe walls in a Poiseuille flow tend to migrate towards\nthe center of the pipe due to their deformability [44].\nIn contrast, a large volume fraction of RBCs may\ncause the cells to move closer to the walls due to col-\nlisions between the cells. In the remainder of this\nstudy we investigate the deformations of RBCs and\ntheir trajectories and correlate these observations to\nthe CFL thickness. The deformation of the cells are\nquantified by the rim angle (see below) and bend-\ning energy of each cell. We distinguish tumbling-like\nmotion, due to the external shear stresses, from tank-\ntreading motion, where the membrane rotates around\na steady shape. The cell trajectories are quantified\nby the diffusion coefficient along the radial direction.\nWe fix R= 40 µm,∇p= 1×10−3cmH 2Oµm−1and\nHct = 0 .45 for clarity, but similar results were ob-\nserved for the other conditions listed in fig. 1.\n50.30.40.50.60.70.80.91.0v02004006008001000Eb/∑b\nFigure 7: Left: Violin plots illustrating the varia-\ntion in bending energy distribution of flowing cells\nacross different reduced volumes v. Crosses indicate\nthe mean value of the bending energy, shaded regions\nindicate the maximum density. The dashed line cor-\nresponds to the bending energy of the cells at rest.\nκbis the bending modulus. Right: Time sequences of\ntypical RBCs in the tube (time increasing from left\nto right). From top to bottom: v= 0.4,v= 0.65 and\nv= 0.95. The white stripe represents the rim of the\ncell at rest (see fig. 6).\nRim angle In a sufficiently high shear, the RBC\nmembrane rotates around the cytosol [18]. In this\nsituation, the region of the membrane that forms the\nrim at equilibrium differs from the principal disc of\nthe deformed cell, unlike RBCs at rest. We define\nthe rim angle as the angle between the normal to the\nprincipal disc of the cell and the normal to the region\ncorresponding to the rim of the stress-free state of the\ncell, see fig. 6 and ref. [12]. For a tumbling RBC, this\nangle is typically low while for tank-treading RBCs\nthe angle takes values in the whole range, θ∈[0, π/2].\nFigure 6 shows the distribution of rim angles θof\ncells flowing in the tube for different values of v. On\naverage, the rim angles are smaller at low values of\nv. Furthermore, the density of θis more uniform at\nhigh values of vthan at low reduced volume values.\nThis suggests that RBCs with a large reduced volume\ntank-tread while their motion is closer to tumbling at\nlower values of v.\nBending energy The deformations of the cells are\ncharacterized by the bending energy described by\n0.0 0.5 1.0\nr/R0100200TTF [Hz]\n0.250.500.751.00\nv0204060Dv3/2[µm2s−1]\nFigure 8: Left: TTF of the RBCs against their\ntime averaged radial position, for v= 0.4 (circles),\nv= 0.7 (triangles) and v= 0.9 (squares). Right:\nRadial diffusion Dof the RBCs against the reduced\nvolume v.\neq. (1). This energy is high when the cell deforms\n(e.g. folds) and therefore characterizes complex dy-\nnamics and shape changes. The bending energy dis-\ntribution of the cells is shown on fig. 7 for differ-\nent values of v. The mean bending energy decreases\nwhen vincreases, consistent with the fact that the\nminimum bending energy is achieved for a spherical\nshape. Nevertheless, we observe differences in the\ndistributions of the bending energies. For v≥0.6,\nthe bending energies are concentrated close to a min-\nimal value. Instead, when v <0.6, we observe a peak\nof bending energy well above the minimal values ob-\nserved at each reduced volume. These high values\nsuggest that RBCs undergo large deformations com-\npared to their resting shape. In particular, we ob-\nserve cells that are “folding” periodically when vis\nrelatively small (fig. 7), as opposed to cells that have\na large reduced volume. These large deformations\ncause a large bending energy density in the bulk, or,\nequivalently, a large pressure compared to configura-\ntions with undeformed cells. The larger deformations\nassociated with low reduced volumes may thus con-\ntribute to reducing the CFL thickness in this regime.\nFurthermore, the deformation of RBCs is accompa-\nnied by an additional dissipation due to the mem-\nbrane viscosity and the recirculation of the cytosol\ninside the cell [18], which may further decrease the\nblood flux.\nTank-treading frequency In linear shear flows,\nRBCs tank-tread when the shear rate is large\n6enough [19, 11]. The tank-treading frequency (TTF)\nis reported against the time averaged radial position\nof the cells on fig. 8 (see appendix for details). For\nlow values of v, most cells do not tank-tread hence\nthe value estimated for the TTF is low. For larger\nvalues of v, the TTF increases linearly with the radial\nposition. This increase is consistent with the nearly\nlinear relationship between the TTF and the shear\nrate for single cells [19], and assuming that we have\na nearly parabolic velocity profile along the radial\ndirection of the tube, hence a linear increase of the\nshear rate with the radial position r. Furthermore,\nwe observe that the TTF of cells is larger when vin-\ncreases. This is also expected since spheres in shear\nflows rotate with a larger frequency than the TTF\nof RBCs [19]. We suggest that, for relatively thin\ncells, tank-treading is beneficial for cells to pass each\nother without deforming and to minimize the effect\nof their collisions, thus contributing to a lower value\nofδ. It was shown that tank-treading provides less\nhindrance to the motion of other particles compared\nto other motions like tumbling [28]. This may be\nan indication that tank-treading cells contribute to a\nlower value of δcompared to other motions, present\nnotably at low values of v.\nRadial diffusion RBCs in blood flow undergo\nshear-induced diffusion, which is a result of the cell-\ncell interactions. These interactions influence the\nradial distribution of the cells and the CFL thick-\nness [24, 46]. We thus measure the radial diffusion\ncoefficient of the RBCs in the tube. The diffusion\ncoefficient is estimated from the mean squared dis-\nplacement of the cells along the radial direction:\nD=⟨(r(T)−r(0))2⟩/2T,\nwhere ris the radial position of the cell’s center of\nmass and Tis a time large enough to collect statistics\nbut small enough to remain in the linear regime of the\nmean squared displacement against time.\nThe theory of shear-induced diffusion suggests\nthat, at a given volume fraction, D∝˙γa2, where ˙ γ\nis the shear rate and ais the size of the particle [42].\nAssuming that the shear rate is constant across the\nsimulations and a∝v1/3, we expect Dv3/2to beapproximately constant against v. This quantity is\nreported on fig. 8. We observe that Dv3/2remains\nconstant within a 30% deviation, suggesting that in-\ncreasing vincreases the shear-induced diffusion. The\ndeviations from the theory may come from the cells\ndeformability, the definition of the cell radius from\nvand the non-constant shear rate along the radial\ndirection. The shear-induced diffusion is higher for\nlarger values of vand may thus contribute to reducing\nthe CFL thickness for v >0.65 [46], hence decreasing\nthe transport efficiency of the cytosol.\nDiscussion\nThe physiological volume of RBCs, under the as-\nsumptions made in this study, is the most efficient to\ntransport oxygen through tubes that have the char-\nacteristics of arterioles. When the reduced volume of\nthe RBCs deviates from its physiological value, we\nidentify two factors that decrease the transport effi-\nciency of oxygen: the change of the CFL thickness,\nand the dissipation due to the cytosol recirculation\nand the deformations of the cells.\nThe viscosity of plasma is smaller than that of\nwhole blood, thus a larger CFL thickness contributes\nto a higher flux of blood. We find that the CFL thick-\nness is maximized at v≈0.65. Below this value, cells\nfold, undergo large deformations and adopt a tum-\nbling rather than tank-treading motion. These de-\nformations increase the effective thickness of the cells\nalong the radial direction, thus reducing the CFL\nthickness. In contrast, at larger values of v, cells do\nnot deform and have more diffusive trajectories, due\nto collisions with their neighboring cells. These colli-\nsions cause the cells to migrate perpendicularly to the\nflow direction, thus reducing the CFL thickness. At\nintermediate values of v, the cells tank-tread, which\nfacilitate their motion relative to each other. This\nis supported by the shear-thinning behavior of blood\nwhen the motion of RBCs transitions from tumbling\nto tank-treading [17, 20]. The non-spherical shape of\nRBCs limits the effect of collisions, and they form a\nmaximal CFL thickness.\nThe CFL thickness alone does not explain the pro-\nfile observed in fig. 3. Indeed, for the same value of\n70.60.70.80.91.01.11.21.31.4\nδ[µm]0.140.150.160.170.180.190.20Qc/Qp\nFigure 9: Cytosol flux against the CFL thickness.\nCircles correspond to cells with v < 0.65, triangles\nthose with v >0.65 and the square is for v= 0.65.\nCFL thickness, the cytosol flux is lower for the case\nwith v <0.65 than when v >0.65 (fig. 9). We at-\ntribute this difference to the additional dissipation\ndue to the large deformations occurring for cells with\nsmaller reduced volumes, as membrane deformation\nwas shown to be a dissipative process [49]. In ad-\ndition, we expect that tank-treading cells dissipate\nmore energy at lower reduced volumes, as shown for\nvesicles [27].\nIn this study we tackled the following question:\nwhat is the reduced volume that corresponds to the\nhighest flux of a given amount of hemoglobin? We\nachieved this by adjusting the number of RBCs, as-\nsuming that the concentration of hemoglobin in the\ncytosol is the same in every situation. However, we\ncould also consider a hemoglobin concentration that\nvaries with v, e.g. a higher concentration at low val-\nues of vto model erythropoiesis [32], but this ap-\nproach would require to model the dependence of the\ncytosol viscosity with the hemoglobin concentration.\nThese different concentrations would change the vol-\nume of oxygen transported by the system, and the\nviscosity of the cytosol. In this study we did not\nexplore these effects due to the large computational\ncost of the simulations and made the approxima-\ntion that the hemoglobin remains constant across all\ncases, which corresponds to the simplest model. We\nleave the more complex scenario of varying the con-\ncentration as well as the number of RBCs to furtherstudies.\nThe results of this study are valid for straight\ntubes, which is a simplistic approximation of arte-\nrioles. The CFL thickness is known to vary around\nbifurcations [38, 4, 54, 5] or curved geometries [45].\nHowever, the deformation of cells at low vand the\ncollisions of cells at larger values of vmight still con-\ntribute to reduce the CFL thickness in those con-\nditions compared to the physiological values, and we\nthus expect the same trend as in straight tubes. Nev-\nertheless, the optimal value of vmight slightly differ\nin those cases and further studies are required to pro-\nvide a quantitative description of these variations.\nFinally, we remark that we considered the ad-\nvective part of oxygen transport in arterioles. The\ndiffusive transport of oxygen, not studied in this\nwork, is responsible for transporting oxygen across\nthe RBC membranes. This type of transport may be\naffected by the shape, and thus the reduced volume,\nof RBCs [29, 50, 41].\nConclusion\nWe demonstrate, through high fidelity simulations,\nthat RBCs with volumes similar to those observed\nin vivo maximize the efficiency of advective oxygen\ntransport in straight tubes that have characteristics\nof arterioles, where the vascular resistance is maxi-\nmal. We qualitatively explain the variation of advec-\ntive oxygen transport efficiency with respect to the\nreduced volume of the cells based on the CFL thick-\nness and the dissipation due to the cells deformability.\nAt low reduced volumes, cells deform and fold, thus\noccupy a larger effective volume on average. This\ncauses the CFL thickness to decrease. The defor-\nmations of cells induce additional dissipation due to\nthe recirculation of the cytosol and the highly viscous\nmembranes. At large reduced volumes, cells collide\nand migrate in the directions perpendicular to the\nflow due to a high shear-induced diffusion. These tra-\njectories also contribute to reducing the CFL thick-\nness and thus the oxygen transport is lower.\nThe present findings provide valuable insights into\nthe mechanisms of advective oxygen transport in the\nbody and could potentially have significant implica-\n8tions for the advancement of therapies aimed at treat-\ning circulatory disorders.\nAppendix\nDissipative Particle Dynamics\nThe DPD method discretizes a fluid into Npar-\nticles with positions ri, velocities viand mass m,\ni= 1,2, . . . , N . The particles evolve according to the\nNewton’s law of motion,\n˙ri=vi,˙vi=1\nmNX\nj=1Fij,\nwhere Fijare pairwise forces that vanish after a cut-\noff distance rc. These interactions are formed by\nthree terms [25, 13],\nFij=aw(rij)eij−γ(eij·vij)wD(rij)eij+σξijwR(rij)eij,\nwhere vij=vi−vj,rij=ri−rj,rij=∥rij∥and\neij=rij/rij. The coefficients a,γandσare the con-\nservative, dissipative and random force magnitudes,\nrespectively. Furthermore, we use the standard DPD\nconservative kernel\nw(r) =(\n1−r/rc, r < r c,\n0, otherwise .\nWe set wD=w1/4.wRsatisfies the fluctuation-\ndissipation theorem, σ2= 2γkBTandwD=w2\nR[13],\nwhere kBTis the temperature of the system in energy\nunits.\nParameters of the model\nThe values of the RBC parameters are listed in ta-\nble 1. They correspond to the mean value of the\nposterior distribution found in ref [3].\nComputation of the cell-free layer\nthickness\nThe cell-free layer thickness δis computed from the\nvertices of the RBC membranes. For a given timeParameter Value\nκb 2.10×10−19J\nµ 4.99µN m−1\nKα 4.99µN m−1\na3 −2\na4 8\nb1 0.7\nb2 1.84\nηm 0.42×10−6Pa s m\nA0 135µm2\nV0 varying\nkA 0.5 J/m2\nkV 7.23×105J/m3\nTable 1: Parameters of the RBC model.\nsnapshot, we compute the maximum radial position\nof vertices over bins placed at regular intervals along\nthe flow direction. The bins have a size of 1 µm. The\nvalue of δat a given time is then the difference be-\ntween the radius of the pipe and the average of this\nquantity over all bins. The values reported in this\nwork are the average of the cell-free layer thickness\nover time, after equilibration of the flow.\nComputation of the tank-treading fre-\nquency\nThe TTF is estimated by computing the Fourier\ntransform of the rim angle time series of each cell\nand selecting the frequency of the largest mode, di-\nvided by 2 since the rim angle of a tank-treading cell\nundergoes 2 revolutions per tank-treading revolution.\nNote that we exclude cells having an average rim an-\ngle¯θlarger than π/4, and the tumbling cells, which\nwe characterize by ¯θ < π/ 8.\nAuthor contributions\nLA, AE and PK designed research. LA performed\nresearch. LA and AE analyzed data. LA, AE and\nPK wrote the manuscript.\n9Declaration of interests\nThe authors declare no competing interests.\nAcknowledgments\nWe would like to thank Xin Bian for the idea of us-\ning the rim angle to perform the analysis. We ac-\nknowledge the computational resources granted by\nthe Swiss National Supercomputing Center (CSCS)\nunder the project ID “s1160”.\nReferences\n[1] Dmitry Alexeev, Lucas Amoudruz, Sergey Litvi-\nnov, and Petros Koumoutsakos. Mirheo: High-\nperformance mesoscale simulations for microflu-\nidics. Computer Physics Communications ,\n254:107298, 2020.\n[2] Lucas Amoudruz. Simulations and Control of\nArtificial Microswimmers in Blood . PhD thesis,\nETH Zurich, 2022.\n[3] Lucas Amoudruz, Athena Economides, Geor-\ngios Arampatzis, and Petros Koumoutsakos.\nThe stress-free state of human erythrocytes:\nData-driven inference of a transferable RBC\nmodel. Biophysical Journal , 122(8):1517–1525,\napr 2023.\n[4] Christian B¨ acher, Alexander Kihm, Lukas\nSchrack, Lars Kaestner, Matthias W Laschke,\nChristian Wagner, and Stephan Gekle. Anti-\nmargination of microparticles and platelets in\nthe vicinity of branching vessels. Biophysical\njournal , 115(2):411–425, 2018.\n[5] Peter Balogh and Prosenjit Bagchi. The cell-\nfree layer in simulated microvascular networks.\nJournal of fluid mechanics , 864:768–806, 2019.\n[6] Xin Bian, Sergey Litvinov, and Petros\nKoumoutsakos. Bending models of lipid\nbilayer membranes: Spontaneous curvature\nand area-difference elasticity. Comput. Method.\nAppl. M. , 359:112758, feb 2020.[7] H Glenn Bohlen, Robert W Gore, and Phillip M\nHutchins. Comparison of microvascular pres-\nsures in normal and spontaneously hyperten-\nsive rats. Microvascular research , 13(1):125–130,\n1977.\n[8] WILLIAM M Chilian, CHARLES L Eastham,\nand MELVIN L Marcus. Microvascular distri-\nbution of coronary vascular resistance in beating\nleft ventricle. American Journal of Physiology-\nHeart and Circulatory Physiology , 251(4):H779–\nH788, 1986.\n[9] Giles R Cokelet and Harry L Goldsmith. De-\ncreased hydrodynamic resistance in the two-\nphase flow of blood through small vertical tubes\nat low flow rates. Circulation research , 68(1):1–\n17, 1991.\n[10] Giuseppe Di Caprio, Chris Stokes, John M Hig-\ngins, and Ethan Schonbrun. Single-cell mea-\nsurement of red blood cell oxygen affinity. Pro-\nceedings of the National Academy of Sciences ,\n112(32):9984–9989, 2015.\n[11] Jules Dupire, Marius Socol, and Annie Vial-\nlat. Full dynamics of a red blood cell in shear\nflow. Proceedings of the National Academy of\nSciences , 109(51):20808–20813, 2012.\n[12] Eva Athena Economides. Data informed, pre-\ndictive simulations of blood microfluidics . PhD\nthesis, ETH Zurich, 2020.\n[13] Pep Espanol and Patrick Warren. Statistical me-\nchanics of dissipative particle dynamics. EPL\n(Europhysics Letters) , 30(4):191, 1995.\n[14] Evan Evans and Yuan Cheng Fung. Improved\nmeasurements of the erythrocyte geometry. Mi-\ncrovascular Research , 4(4):335–347, 1972.\n[15] Alexander Farutin, Zaiyi Shen, Gael Prado,\nVassanti Audemar, Hamid Ez-Zahraouy, Ab-\ndelilah Benyoussef, Benoit Polack, Jens Harting,\nPetia M Vlahovska, Thomas Podgorski, et al.\nOptimal cell transport in straight channels and\nnetworks. Physical review fluids , 3(10):103603,\n2018.\n10[16] Dmitry A Fedosov. Multiscale modeling of blood\nflow and soft matter . PhD thesis, Citeseer, 2010.\n[17] Dmitry A Fedosov, Wenxiao Pan, Bruce\nCaswell, Gerhard Gompper, and George E Kar-\nniadakis. Predicting human blood viscosity in\nsilico. Proceedings of the National Academy of\nSciences , 108(29):11772–11777, 2011.\n[18] Th M Fischer. On the energy dissipation in a\ntank-treading human red blood cell. Biophysical\njournal , 32(2):863–868, 1980.\n[19] Thomas M Fischer. Tank-Tread Frequency of\nthe Red Cell Membrane : Dependence on the\nViscosity of the Suspending Medium. Biophysi-\ncal Journal , 93(7):2553–2561, 2007.\n[20] Alison M Forsyth, Jiandi Wan, Philip D Owrut-\nsky, Manouk Abkarian, and Howard A Stone.\nMultiscale approach to link red blood cell dy-\nnamics, shear viscosity, and atp release. Pro-\nceedings of the National Academy of Sciences ,\n108(27):10986–10991, 2011.\n[21] Yuan-Cheng Fung. Biomechanics: circulation.\nShock , 9(2):155, 1998.\n[22] Nadeeshani Maheshika Geekiyanage,\nMarie Anne Balanant, Emilie Sauret, Su-\nvash Saha, Robert Flower, Chwee Teck Lim,\nand YuanTong Gu. A coarse-grained red blood\ncell membrane model to study stomatocyte-\ndiscocyte-echinocyte morphologies. PLoS One ,\n14(4):e0215447, 2019.\n[23] Ming Guo, Adrian F Pegoraro, Angelo Mao, En-\nhua H Zhou, Praveen R Arany, Yulong Han,\nDylan T Burnette, Mikkel H Jensen, Karen E\nKasza, Jeffrey R Moore, et al. Cell volume\nchange through water efflux impacts cell stiff-\nness and stem cell fate. Proceedings of the\nNational Academy of Sciences , 114(41):E8618–\nE8627, 2017.\n[24] John M Higgins, David T Eddington,\nSangeeta N Bhatia, and Lakshminarayanan\nMahadevan. Statistical dynamics of flowing red\nblood cells by morphological image processing.PLoS computational biology , 5(2):e1000288,\n2009.\n[25] PJ Hoogerbrugge and JMVA Koelman. Simulat-\ning microscopic hydrodynamic phenomena with\ndissipative particle dynamics. EPL (Europhysics\nLetters) , 19(3):155, 1992.\n[26] Frank J¨ ulicher. The morphology of vesicles of\nhigher topological genus: conformal degeneracy\nand conformal modes. Journal de Physique II ,\n6(12):1797–1824, 1996.\n[27] Martin Kraus, Wolfgang Wintz, Udo Seifert,\nand Reinhard Lipowsky. Fluid vesicles in shear\nflow. Physical Review Letters , 77(17):3685–3688,\n1996.\n[28] Timm Kr¨ uger, Markus Gross, Dierk Raabe,\nand Fathollah Varnik. Crossover from tumbling\nto tank-treading-like motion in dense simulated\nsuspensions of red blood cells. Soft Matter ,\n9(37):9008–9015, 2013.\n[29] JG Lenard. A note on the shape of the ery-\nthrocyte. Bulletin of Mathematical Biology ,\n36(1):55–58, 1974.\n[30] Gerald Lim H. W., Michael Wortis, and Ran-\njan Mukhopadhyay. Red Blood Cell Shapes and\nShape Transformations: Newtonian Mechanics\nof a Composite Membrane. Soft Matter , 4, 2008.\n[31] Gerald Lim HW, Michael Wortis, and Ran-\njan Mukhopadhyay. Stomatocyte–discocyte–\nechinocyte sequence of the human red blood\ncell: Evidence for the bilayer–couple hypothesis\nfrom membrane mechanics. Proceedings of the\nNational Academy of Sciences , 99(26):16766–\n16769, 2002.\n[32] Y Matoth, R Zaizov, and I Varsano. Postna-\ntal changes in some red cell parameters. Acta\nPaediatrica , 60(3):317–323, 1971.\n[33] Gerald A Meininger. Responses of sequentially\nbranching macro-and microvessels during reac-\ntive hyperemia in skeletal muscle. Microvascular\nresearch , 34(1):29–45, 1987.\n11[34] MJ Mulvany and C Aalkjaer. Structure and\nfunction of small arteries. Physiological reviews ,\n70(4):921–961, 1990.\n[35] Arman Namvar, Adam J Blanch, Matthew W\nDixon, Olivia MS Carmo, Boyin Liu, Snigdha\nTiash, Oliver Looker, Dean Andrew, Li-Jin\nChan, Wai-Hong Tham, et al. Surface area-to-\nvolume ratio, not cellular viscoelasticity, is the\nmajor determinant of red blood cell traversal\nthrough small channels. Cellular microbiology ,\n23(1):e13270, 2021.\n[36] Igor V Pivkin, Zhangli Peng, George E Karni-\nadakis, Pierre A Buffet, Ming Dao, and Subra\nSuresh. Biomechanics of red blood cells in hu-\nman spleen and consequences for physiology and\ndisease. Proceedings of the National Academy of\nSciences , 113(28):7804–7809, 2016.\n[37] Aleksander S Popel. Theory of oxygen transport\nto tissue. Critical reviews in biomedical engineer-\ning, 17(3):257, 1989.\n[38] Yazdan Rashidi, Greta Simionato, Qi Zhou,\nThomas John, Alexander Kihm, Mohammed\nBendaoud, Timm Kr¨ uger, Miguel O Bernabeu,\nLars Kaestner, Matthias W Laschke, et al. Red\nblood cell lingering modulates hematocrit distri-\nbution in the microcirculation. Biophysical Jour-\nnal, 122(8):1526–1537, 2023.\n[39] WH Reinhart, M Singh-Marchetti, and\nPW Straub. The influence of erythrocyte\nshape on suspension viscosities. European\njournal of clinical investigation , 22(1):38–44,\n1992.\n[40] M Revenga, I Zuniga, P Espanol, and I Pago-\nnabarraga. Boundary models in dpd. Interna-\ntional Journal of Modern Physics C , 9(08):1319–\n1328, 1998.\n[41] Sarah L Richardson, Alzbeta Hulikova, Melanie\nProven, Ria Hipkiss, Magbor Akanni, No´ emi BA\nRoy, and Pawel Swietach. Single-cell o2 ex-\nchange imaging shows that cytoplasmic diffu-\nsion is a dominant barrier to efficient gas trans-\nport in red blood cells. Proceedings of theNational Academy of Sciences , 117(18):10067–\n10078, 2020.\n[42] Pierre Rognon and Matthew Macaulay. Shear-\ninduced diffusion in dense granular fluids. Soft\nmatter , 17(21):5271–5277, 2021.\n[43] H Schmid-Sch¨ onbein and RE Wells Jr. Rhe-\nological properties of human erythrocytes and\ntheir influence upon the “anomalous” viscosity\nof blood. In Ergebnisse der Physiologie Re-\nviews of Physiology, Volume 63 , pages 146–219.\nSpringer, 2010.\n[44] Lingling Shi, Tsorng-Whay Pan, and Roland\nGlowinski. Numerical simulation of lateral mi-\ngration of red blood cells in poiseuille flows. In-\nternational Journal for Numerical Methods in\nFluids , 68(11):1393–1408, 2012.\n[45] Christian J Spieker, G´ abor Z´ avodszky, Clarisse\nMouriaux, Max Van der Kolk, Christian Gachet,\nPierre H Mangin, and Alfons G Hoekstra. The\neffects of micro-vessel curvature induced elon-\ngational flows on platelet adhesion. Annals of\nBiomedical Engineering , 49:3609–3620, 2021.\n[46] A Srivastav, X Grandchamp, G Coupier, and\nT Podgorski. Shear-induced diffusion in a\nred blood cell suspension. Computer meth-\nods in biomechanics and biomedical engineering ,\n15(sup1):34–35, 2012.\n[47] HO Stone, HK Thompson Jr, and K Schmidt-\nNielsen. Influence of erythrocytes on blood vis-\ncosity. American Journal of Physiology-Legacy\nContent , 214(4):913–918, 1968.\n[48] Max M Strumia, Martha Phillips, Albert B Sam-\nple, M Elizabeth Burns, and Pasquale Mariano.\nEffect of red cell factors on the relative viscosity\nof whole blood. American Journal of Clinical\nPathology , 39(5):464–474, 1963.\n[49] Roger Tran-Son-Tay, SP Sutera, and PR Rao.\nDetermination of red blood cell membrane vis-\ncosity from rheoscopic observations of tank-\ntreading motion. Biophysical journal , 46(1):65–\n72, 1984.\n12[50] Chika Uzoigwe. The human erythrocyte has de-\nveloped the biconcave disc shape to optimise the\nflow properties of the blood in the large vessels.\nMedical hypotheses , 67(5):1159–1163, 2006.\n[51] Koohyar Vahidkhah, Peter Balogh, and Prosen-\njit Bagchi. Flow of red blood cells in stenosed\nmicrovessels. Scientific reports , 6(1):1–15, 2016.\n[52] R Wells and H Schmid-Sch¨ onbein. Red cell\ndeformation and fluidity of concentrated cell\nsuspensions. Journal of Applied Physiology ,\n27(2):213–217, 1969.\n[53] Roe E Wells Jr and Edward W Merrill. Shear\nrate dependence of the viscosity of whole blood\nand plasma. Science , 133(3455):763–764, 1961.\n[54] Qi Zhou, Joana Fidalgo, Miguel O Bern-\nabeu, M´ onica SN Oliveira, and Timm Kr¨ uger.\nEmergent cell-free layer asymmetry and biased\nhaematocrit partition in a biomimetic vascular\nnetwork of successive bifurcations. Soft Matter ,\n17(13):3619–3633, 2021.\n13" }, { "title": "2006.04083v1.Asymmetric_Jet_launching.pdf", "content": "Asymmetric Jet launching\nAleksandra Kotek1, Miljenko \u0014Cemelji\u0013 c1\u00012,\nW lodek Klu\u0013 zniak1and Deepika A. Bollimpalli1\u00013\n1. Nicolaus Copernicus Astronomical Center, Polish Academy of Sciences, Bartycka 18, 00{716 Warsaw, Poland\n2. Academia Sinica, Institute of Astronomy and Astrophysics, P.O. Box 23-141, Taipei 106, Taiwan\n3. Department of Physics and Astronomy, College of Charleston, Charleston, SC 29424, USA\nIn resistive and viscous magnetohydrodynamical (MHD) simulations we obtain\naxial jets launched from the innermost magnetosphere of a star-disk system. We\nfound that in a part of the parameter space continuous asymmetric jets, which\nare propagating in opposite directions, are launched. We compare the speed of\npropagation and rotation of obtained jets with recent observational results.\n1 Introduction\nWe performed a parameter study for the slowly rotating Young Stellar Objects\n(YSOs), to \fnd the cases when axial jets are launched from the star-disk system\nmagnetosphere. Asymmetric jets are launched in the opposite directions above the\nstellar surface, with di\u000berent propagation and rotation speeds and di\u000berent mat-\nter \ruxes. Results of MHD simulations (Romanova et al. (2009), Zanni & Ferreira\n(2013), \u0014Cemelji\u0013 c (2019)) are helpful in explaining the launching mechanism of jets\nand out\rows. Direct observations (e.g. Lee et al., 2017) are also becoming available\n{ see Fig. 1 left panels.\n2 Numerical setup\nOur setup is an extension of \u0014Cemelji\u0013 c (2019). The disk set up is following Kluz-\nniak & Kita (2000) solution, with the addition of hydrostatic, initially non-rotating\ncorona above the rotating star. The viscosity and resistivity are parameterized by\nthe Shakura & Sunyaev (1973) prescription, with a dipole stellar \feld. We use con-\nstrained transport method, together with split-\feld approach, in which only changes\nfrom the initial stellar magnetic \feld are evolved in time.\n3 Asymmetric jets\nWe perform 2D-axisymmetric star-disk simulations in a complete [0, \u0019] meridional\nhalf-plane, in the resolution R\u0002\u0012= [125\u0002100] grid cells, reaching the maximal radius\nof 50 stellar radii{see Fig. 1 right panel. The PLUTO code (Mignone et al., 2007)\nwith a logarithmic stretched radial grid and uniform latitudinal grid in spherical\ncoordinates is used. We obtain quasi-stationary solution in our simulation with\nasymmetric axial jets launched in opposite directions from the magnetosphere of a\nstar-disk system. Such results can be compared to the observations.\nThe distribution of jet velocities during the quasi-stationary state in our sim-\nulation is shown in Fig. 2 left panel. Time is measured in the number of stellar\npta.edu.pl/proc/2022mar8/123 PTA Proceedings ?March 8, 2022 ?vol. 123 ?51arXiv:2006.04083v1 [astro-ph.HE] 7 Jun 2020Aleksandra Kotek, et al.\nFig. 1 : Left panels: The measurement of HH 212 protostellar system implies a jet\nlaunching based on the magneto-centrifugal mechanism, which connects the proper-\nties of the jet measured at large distances with those at its base through energy and\nangular momentum conservation. Right panel: Matter \rux in a logarithmic color\ngrading. Normalization of the velocity vectors in the disk (red) is hundred times\nthe velocity in the magnetosphere above the disk (green), as the velocity in the disk\nis much smaller than the velocity in the corona. With white solid lines is shown a\nsample of the magnetic \feld lines.\nFig. 2 : Left panel: Distribution of poloidal and azimuthal components of the jet\nvelocities in the units of Keplerian velocity at the stellar equator. Velocities of the\nNorthern jet are shown with blue, and of the Southern jet with red lines. Right\npanel: Mass \rux along the line at R= 25R\u0003in jets and disk, during the whole\nsimulation, in the units of 10\u00007M\fyr\u00001. With the black solid line the mass \rux\nthrough the disk is shown, and with blue and red dashed lines through the Northern\nand Southern jets, respectively.\n52?PTA Proceedings ?March 8, 2022 ?vol. 123 pta.edu.pl/proc/2022mar8/123Asymmetric Jet launching\nrotations. Two upper lines show the jet propagation speed, while the two bottom\nlines show the jet rotational velocity.\nAfter relaxation from the initial conditions, a quasi-stationary state is reached,\nas shown in Fig. 2 right panel, marked with the thick green vertical solid lines. This\n\fgure presents mass \rux through the Northern and Southern jets, which is di\u000berent\nfor both cases. In both jets, it is of the order of few per cents of the disk accretion\nrate. Time again is measured in the number of stellar rotations. Velocities from\ninterval 600 to 950 TP\u00001\n\u0003are averaged for comparisons in the parameter study.\nBelow we present the table with the parameter space for the slowly rotating YSOs\nwith di\u000berent magnetic \felds strengths, disk anomalous resistivity coe\u000ecients \u000bm\nand rotational velocities \n ?=\nbr. In all the cases the anomalous viscosity parameter\nis\u000bv= 1. The annotations are as follows: x- simulation still to be performed, Y-\nasymmetric jets are present, and N- no jets.\n\u000bm= 0:10:40:71\nB?= 250 G\n\n?=\nbr\n0:5YYYY\n0:8xYYY\nB?= 500 G\n0:2xxYY\n0:5YYNY\n0:8YYYY\u000bm= 0:10:40:71\nB?= 750 G\n\n?=\nbr\n0:5YNNY\n0:8NYNY\nB?= 1000 G\n0:2xxxx\n0:5NxNN\n0:8YYNN\n4 Conclusions\nIn our numerical simulations, we obtained asymmetric jets launched from the magne-\ntosphere of a star-disk system. We have shown the preliminary results of a parameter\nstudy for which the parameter space is determined in our viscous and resistive MHD\nsimulations in which axial jets are launched. Our results can be directly compared\nwith observations.\nAcknowledgements. We thank A. Mignone and his team of contributors for the possibility to\nuse the PLUTO code, and ASIAA/TIARA and CAMK PAN for use of their Linux clusters\nXL and CHUCK, respectively. Work in Warsaw is funded by the Polish NCN grant No.\n2013/08/A/ST9/00795. M \u0014C developed the PLUTO setup under ANR Toupies funding in\nCEA Saclay, France, and also acknowledges Croatian HRZZ grant IP-2014-09-8656.\nReferences\nKluzniak, W., Kita, D., arXiv e-prints astro-ph/0006266 (2000)\nLee, C.-F., et al., Nature Astronomy 1, 0152 (2017)\nMignone, A., et al., ApJS 170, 228 (2007)\nRomanova, M. M., Ustyugova, G. V., Koldoba, A. V., Lovelace, R. V. E., MNRAS 399, 4,\n1802 (2009)\nShakura, N. I., Sunyaev, R. A., A&A 500, 33 (1973)\n\u0014Cemelji\u0013 c, M., A&A 624, A31 (2019)\nZanni, C., Ferreira, J., A&A 550, A99 (2013)\npta.edu.pl/proc/2022mar8/123 PTA Proceedings ?March 8, 2022 ?vol. 123 ?53" }, { "title": "0809.2297v1.Effect_of_oxygen_incorporation_on_normal_and_superconducting_properties_of_MgB2_films.pdf", "content": " 1 Effect of oxygen incorporation on normal and superc onducting properties of MgB 2 films \n R.K. Singh,1 Y. Shen,1 R. Gandikota, 1 C. Carvalho, 2 J.M. Rowell, 1 and N. Newman 1,a) \n1School of Materials, Arizona State University, Temp e, AZ 85287-8706 \n2 Departamento de Física e Química, Universidade Est adual Paulista–UNESP, Brasil \n \nOxygen was systematically incorporated in MBE grown MgB 2 films using in-situ post-\ngrowth anneals in an oxygen environment. Connectivi ty analysis in combination with \nmeasurements of the critical temperature and resist ivity indicate that oxygen is distributed both \nwithin and between the grains. High values of criti cal current densities in field (~4x10 5 A/cm 2 at \n8 T and 4.2 K), extrapolated critical fields (>45 T ) and slopes of critical field versus temperature \n(1.4 T/K) are observed. Our results suggest that lo w growth temperatures (300 oC) and oxygen \ndoping (≥ 0.65%) can produce MgB 2 with high J c values in field and H c2 for high-field magnet \napplications. \n \n \nSince the discovery of superconducting MgB 2,1 there has been a worldwide effort to \nunderstand the properties of this two band supercon ductor, and to develop it for use in \ncommercial applications such as high-field magnets.2 \nIn clean MgB 2 wires, H c2 (0) has been found to be only 16 T.3,4 A large increase in Hc2 of \nMgB 2 in bulk has been shown to result from alloying wit h carbon,5-9 and also, in the case of thin \nfilms, from disorder and impurities introduced duri ng growth and from irradiation by energetic \nparticles.9-11 Improvements in J c have been found in MgB 2 thin films grown in an oxygen \natmosphere 12,13 and in bulk samples when alloyed with carbon,14 SiC,15,16 SiO 2 and silicides of \nW, Zr and Mg.16 \nWith magnesium, and to a lesser extent boron, being readily reactive with oxygen to form \ntheir respective oxides, significant level of oxyge n are typically incorporated into MgB 2 during \nsynthesis.17 These contaminants affect the superconducting prop erties. Oxygen is incorporated in \nbulk MgB 2 in various forms: as nanometer-sized coherent MgB 2-xOx precipitates in the \ngrains,18,19 as MgO particles in the interior of the grains,20-23 or between the grains as thin (~3 \nnm) BO x-MgO y-BO z layers 24 or thicker MgO layers.25,26 While the coherent non-stoichiometric \nprecipitates and MgO particles in the MgB 2 matrix improved flux pinning resulting in higher 2 Jc,24,27,28 the MgO layers at the grain boundaries reduced J c, presumably as a result of the \ndiminished connectivity.25 Oxygen rich MgB 2 thin films are reported to contain MgO particles 29 \nand exhibit enhanced H c2 and J c values.29,30 In all these reports, however, the effects of oxyg en \ncontent in MgB 2 have not been systematically studied. \nWe report here the dependence of T c, resistivity, connectivity, H c2 , and J c of MgB 2 films \non the oxygen content of the films. MgB 2 films were deposited from a Mg Knudsen cell and a B \nelectron-beam evaporation source in an all metal se al MBE chamber with unbaked base pressure \nof ~10 -8 Torr and growth pressure of ~2 x 10 -7 Torr on c-sapphire substrates at 300±2 °C, as \ndescribed in more detail elsewhere.31,32 Oxygen was incorporated into the MgB 2 films by in-situ \npost-growth annealing in the oxygen-containing resi dual background chamber pressure of ~10 -7 \nTorr, as reported in our earlier study.17 The film’s chemical composition was measured using \nRutherford backscattering spectroscopy. The oxygen content after annealing was found to scale \nproportionately with the extent of Mg-excess in the as-deposited film.17 As-deposited films were \nproduced with a stoichiometry that varies from Mg 1B2 to Mg 1.2 B2 as a result of differences in the \ndistances of substrates from the Mg Knudsen cell an d B electron-beam evaporation source. This \nmethod allows us to compare films produced under vi rtually identical conditions which differ in \ntheir oxygen content. The oxygen-containing MgB 2 films were coated ex-situ with 1000 Å thick \nPECVD (Plasma-enhanced chemical vapor deposition) g rown SiO 2 layer to minimize \ndegradation of MgB 2 films and were then photolithographically patterne d to a 20 µm wide by 0.5 \nmm long bridge structure. Measurements of ρ(T) and ρ(H) were made using a 9 T Quantum \nDesign Physical Property Measurement System (PPMS). H c2 was defined as ρ(H c2 ) =0.9 ρn, and \nJc as the current at which the voltage reaches a valu e corresponding to 1 mV/cm along the film. \nAtomic force microscopy (AFM) measurements indicate an increase in grain size by \n~50% (55 nm to 75 nm) in high oxygen (>6.8%) films. \nTc is found to decrease linearly with increasing oxyg en concentrations up to ~7% (Fig. \n1(a)) and then levels off. The observed T c suppression with increasing oxygen content, \ntherefore, suggests that a fraction of the oxygen i s incorporated into the MgB 2 grains, most likely \nas MgO 33 or Mg(B,O) 19 and possibly as substitutional oxygen,33 and the T c suppression results \nfrom enhanced scattering. If a significant fractio n of oxygen is substituted on the boron site, the \neffect of doping could cause both scattering and a decrease in the density of states at the Fermi \nlevel, N Ef , with a corresponding drop in T c.33 3 Fig. 1(b) shows the variation in ∆ρ ( ρ300K -ρ40K ) with oxygen concentration in the films. \n∆ρ , as pointed out by Rowell,34 can be used to infer the inter-grain connectivity in MgB 2 \nsamples. A linear increase in ∆ρ has been observed with an increase in oxygen conce ntration up \nto ~ 7% in these films indicating that some of the o xygen ends up between the grains as \ninsulating phases such as MgO or boron oxides and r esulting in a decreased cross-section of the \nfilm carrying current. \nThe residual resistivity [ ρ0=ρ(40K)] can be corrected using Rowell analysis 34 to obtain \nthe intra-grain resistivity values by eliminating t he influence of the inter-grain connectivity. Fig. \n1(c) shows the monotonic increase of corrected resi dual resistivity ( ρ0,corrected ) with up to ~7% \noxygen concentration resulting possibly from increa sed carrier scattering from oxygen-\ncontaining defects. The observed values in the rang e of 13-26 µΩ.cm are significantly higher \nthan clean MgB 2 (<1 µΩ.cm) and are similar in magnitude to some defect-la den films, including \nion damaged films, that attain high H c2 s.9,10,17,35 The dependence of T c on the ρo,corrected is very \nsimilar to films damaged progressively by ion irrad iation reported in Ref. 35. This further \nsupports our conclusion that the T c suppression observed in this oxygen doping study c an be \nattributed to scattering by intragranular defects. \nThe corrected resistivity, as well as Tc (Fig. 1(a) ), ∆ρ (Fig. 1(b)) and ρ0,corrected (Fig. 1(c)), \ndoes not change significantly beyond an oxygen conc entration of ~7%. The reason for this is not \nclear, although it may result from the additional o xygen in these films coarsening existing \nparticles rather than introducing new defects. \nFor comparison to the in-situ annealed films, one M g-rich MgB 2 film was annealed ex-\nsitu in air. This film shows a marginal change in T c (-10%) (Fig. 1(a)) and a corrected residual \nresistivity (+10%) (Fig. 1(c)) over vacuum annealed films. ∆ρ, on the other hand, increases to 95 \nµΩ.cm which is 3 times higher than the in-situ vacuum annealed film with similar oxygen \ncontent (Fig. 1(b)) and indicates that only ~8% of t he cross-section is carrying current. \nJc is not affected significantly by excess oxygen (>0 .65%) and is ~1.5 x 10 6 A/cm 2 at 0 T \nand 4.2 K in all the samples (Fig. 1(d)). This coul d be due to the fact that any enhancement in J c \nas a result of an increased number of effective pin ning centers created by oxygen is compensated \nby a reduction in J c due to decreased connectivity and increased grain size 30 in oxygen alloyed \nfilms. 4 Figures 2(a) and 2(b) show the field dependence of the films’ J cs at various temperatures. \nJcs are high (~ 4x10 5 A/cm 2 for both films at 8 T oriented parallel to the fil m and 4.2 K), even in \nthe presence of large magnetic fields. In all the films, a slight J c maximum at 0 T is observed at \n10 K. We do not understand the mechanism for this. \nIn large magnetic fields (>2 T), the J cs obtained in our films are much higher than are \nobtained in alloyed bulk and film MgB 2 samples reported in the literature and in NbTi \nsuperconducting wires (3.15x10 5 A/cm 2 at 5 T and 1.15x10 5 A/cm 2 at 8 T),36 its current rival for \nmagnet applications. Fig. 2(c) compares J c values obtained in this study with those obtained in \nbulk samples alloyed with carbon,14 SiC,16 and carbon nanotubes 37 and in films alloyed with \ncarbon,38 oxygen 29 and in clean films grown at low temperatures (270 oC).39 It is interesting to \nnote that our relatively clean film (0.65% oxygen) has much higher J c at high fields (>4 T) than \nall other alloyed films and bulk material. This ind icates that low growth temperature, which can \nalter grain structure, plays an important role in i mproving J c of MgB 2. High J c obtained by \nKitaguchi et al.39 in their clean films grown at 270 oC (Fig. 2(c)) supports this argument. \nFig. 3 shows the flux pinning force (F p) versus applied field (H) plots for MgB 2 films. \nThe flux pinning force values increase with deceasi ng temperatures (Fig. 3(a) and 3(b)). We do \nnot see a clear correlation between pinning force a nd oxygen concentration in these films (Fig. \n3(c)). This may result from a combination of pinnin g mechanisms, including core-type and ∆κ -\ntype. The highest pinning force of 48 GN/m 3 was observed in MgB 2 film with 2% oxygen at 7.5 \nT (Fig. 3(c)). This value is 3 times higher than th at of NbTi superconductors at 4.2 K.40 \ndH c2 /dT (close to T c), apart from limited scatter, increases with incre asing oxygen \nconcentration (Fig. 1(e)). Again, as seen earlier f or T c, ∆ρ, and ρ0,corrected , the rate of change is \nreduced significantly after oxygen concentrations o f ~7 %. dH c2 /dT value as high as 1.4 T/K is \nobtained for the film with 16.5 at.% oxygen in para llel direction (H ║ab). This value is close to \ndHc2 || /dT near T c of 1.6-1.7 T/K obtained for C-doped MgB 2 films with remarkably high Hc2 || (0) \nvalues of ~65 T.9 Linear extrapolation to 0 K of the H c2 vs. T dependence, obtained for the O-\nalloyed films, yields Hc2 || (0) values as high as 46 T, while still maintaining reasonably high J c \nvalues (~ 3x10 5 A/cm 2 at 8 T and 10 K). The trend of dH c2 /dT dependence on oxygen (Fig. 1(e)) \nindicates a more pronounced effect of oxygen on H c2 when the field is parallel to ab plane \ncompared to when it is perpendicular. These H c2 (0) values obtained for O-incorporated (>5% 5 oxygen) MgB 2 films, along with earlier reported H c2 (0) of cold-grown/annealed MgB 2 films 41 \nand C-doped MgB 2 films 9 are quite unusual.. \nTo summarize, oxygen was incorporated in MgB 2 films in situ by vacuum annealing Mg-\nrich films. The T c, ∆ρ and ρ0,corrected dependence with oxygen concentration indicate that the \nincorporated oxygen is distributed both within and between the grains. H c2 (0) and dH c2 /dT \nincrease with oxygen content, particularly when the field is applied parallel to the film surface. \nIn contrast, we observe high J c values which do not change significantly with oxyg en content, \npresumably because this property is dominated by th e ingrown disorder present when films are \ndeposited at low growth temperatures (300 oC). Our study demonstrates that a combination of \noxygen doping and low growth temperature can attain high J cs, in field (~ 3x10 5 A/cm 2 at 8 T \nand 10 K) and H c2 (>45 T), that are needed for next-generation high magnetic field applications. \nThis work was supported by NSF Grant No. DMR-051459 2 and ONR Contracts N00014-\n05-1-0105 and N00014-06-1-1153. The authors acknowl edge use of facilities in the ASU Center \nfor Solid State Science. The authors would like to thank Anil Indluru and Zina Alam for help in \nAFM studies. \n \n1J. Nagamatsu, N. Nakagawa, T. Muranaka, Y. Zenitani , and J. Akimitsu, Nature 410 , 63 (2001). \n2D.C. Larbalestier, A. Gurevich, D.M. Feldmann, and A. Polyanskii, Nature 414, 368 (2001). \n3D.C. Larbalestier, L.D. Cooley, M.O. Rikel, A.A. Po lyanskii, J. Jiang, S. Patnaik, X.Y. Cai, \nD.M. Feldmann, A. Gurevich, A.A. Squitieri, M.T. Na us, C.B. Eom, E.E. Hellstrom, R.J. Cava, \nand K.A. Regan, Nature 410 , 186 (2001). \n4S.L. Bud’ko, C. Petrovic, G. Lapertot, C.E. Cunning ham, P.C. Canfield, M.-H. Jung, and A.H. \nLacerda, Phys. Rev. B 63 , 220503 (2001). \n5R.H.T. Wilke, S.L. Bud’ko, P.C. Canfield, D.K. Finn emore, R.J. Suplinskas, and S.T. Hannahs, \nPhys. Rev. Lett. 92 , 217003 (2004). \n6M. Angst, S.L. Bud’ko, R.H.T. Wilke, and P.C. Canfi eld, Phys. Rev. B 71 , 144512 (2005). \n7E. Ohmichi, T. Masui, S. Lee, S. Tajima, and T. Osa da, J. Phys. Soc. Japan 73 , 2065 (2004). \n8T. Masui, S. Lee, and S. Tajima, Phys. Rev. B 70 , 024504 (2004). \n9V. Braccini, A. Gurevich, J.E. Giencke, M.C. Jewell , C.B. Eom, D.C. Larbalestier, A. \nPogrebnyakov, Y. Cui, B.T. Liu, Y.F. Hu, J.M. Redwi ng, Q. Li, X.X. Xi, R.K. Singh, R. \nGandikota, J. Kim, B. Wilkens, N. Newman, J. Rowell , B. Moeckly, V. Ferrando, C. Tarantini, 6 D. Marré, M. Putti, C. Ferdeghini, R. Vaglio, and E . Haanappel, Phys. Rev. B 71 , 012504 \n(2005). \n10 R. Gandikota, R.K. Singh, J. Kim, B. Wilkens, N. Ne wman, and J. M. Rowell, Appl. Phys. \nLett. 87 , 072507 (2005). \n11 C. Tarantini, H.U. Aebersold, V. Braccini, G. Celen tano, C. Ferdeghini, V. Ferrando, U. \nGambardella, F. Gatti, E. Lehmann, P. Manfrinetti, D. Marré, A. Palenzona, I. Pallecchi, I. \nSheikin, A. S. Siri, and M. Putti,, Phys. Rev. B 73 , 134518 (2006). \n12 Z. Mori, T. Doi, Y. Hakuraku, and H. Kitaguchi, Phy sica C 445-448 , 880 (2006). \n13 M. Haruta, T. Fujiyoshi, T. Sueyoshi, K. Miyahara, T. Doi, H. Kitaguchi, S. Awaji, and K. \nWatanabe, Supercond. Sci. and Technol. 18 , 1460 (2005). \n14 B.J. Senkowicz, J.E. Giencke, S. Patnaik, C.B. Eom, E.E. Hellstrom, and D.C. Larbalestier, \nAppl. Phys. Lett. 86 , 202502 (2005). \n15 S.X. Dou, S. Soltanian, J. Horvat, X.L. Wang, S.H. Zhou, M. Ionescu, H.K. Liu, P. Munroe, \nand M. Tomsic, Appl. Phys. Lett. 81 , 3419 (2002). \n16 A. Matsumoto, H. Kumakura, H. Kitaguchi, and H. Hat akeyama, Supercond. Sci. Technol. 17 , \nS319 (2004). \n17 R.K. Singh, Y. Shen, R. Gandikota, J.M. Rowell, and N. Newman, Supercond. Sci. Technol. \n21 , 015018 (2008). \n18 R.F. Klie, J.C. Idrobo, N.D. Browning, A. Serquis, Y.T. Zhu, X.Z. Liao, and F.M. Mueller, \nAppl. Phys. Lett. 80 , 3970 (2002). \n19 X.Z. Liao, A.C. Serquis, Y.T. Zhu, J.Y. Huang, D.E. Peterson, F.M. Mueller, and H.F. Xu, \nAppl. Phys. Lett. 80 , 4398 (2002). \n20 X.Z. Liao, A. Serquis, Y.T. Zhu, J.Y. Huang, L. Civ ale, D.E. Peterson, F.M. Mueller, and H.F. \nXu, J. Appl. Phys. 93 , 6208 (2003). \n21 D. Eyidi, O. Eibl, T. Wenzel, K.G. Nickel, M. Giova nnini, and A. Saccone, Micron 34 , 85 \n(2003). \n22 T. Wenzel, K.G. Nickel, J. Glaser, H.-J. Meyer, D. Eyidi, and O. Eibl, Phys. Stat. Sol. (a) 198 , \n374 (2003). \n23 C.R.M. Grovenor, L. Goodsir, C.J. Salter, P. Kovac, and I. Husek, Supercond. Sci. Technol. \n17 , 479 (2004). 7 24 R.F. Klie, J.C. Idrobo, N.D. Browning, K.A. Regan, N.S. Rogado, and R.J. Cava, Appl. Phys. \nLett. 79 , 1837 (2001). \n25 H. Fujii, H. Kumakura, and K. Togano, Physica C 363 , 237 (2001). \n26 J.M. Rowell, S.Y. Xu, X.H. Zeng, A.V. Pogrebnyakov, Q. Li, X.X. Xi, and J. M. Redwing \nAppl. Phys. Lett. 83 , 102 (2003). \n27 A. Serquis, X.Z. Liao, L. Civale, Y.T. Zhu, J.Y. Co ulter, D.E. Peterson, and F.M. Mueller, \nIEEE Trans. Appl. Supercond. 13 , 3068 (2003). \n28 C.H. Jiang, H. Hatakeyama, and H. Kumakura, Physica C 423 , 45 (2005). \n29 C.B. Eom, M.K. Lee, J.H. Choi, L.J. Belenky, X. Son g, L.D. Cooley, M.T. Naus, S. Patnaik, J. \nJiang, M. Rikel, A. Polyanskii, A. Gurevich, X.Y. C ai, S.D. Bu, S.E. Babcock, E.E. Hellstrom, \nD.C. Larbalestier, N. Rogado, K.A. Regan, M.A. Hayw ard, T. He, J.S. Slusky, K. Inumaru, M.K. \nHaas, and R.J. Cava, Nature 411 , 558 (2001). \n30 Y. Zhao, M. Ionescu, J. Horvat, and S.X. Dou, Super cond. Sci. and Technol. 17 , S482 (2004). \n31 J. Kim, R.K. Singh, J.M. Rowell, N. Newman, L. Gu, and D.J. Smith, J. Cryst. Growth 270 , \n107 (2004). \n32 J. Kim J, R.K. Singh, N. Newman, and J.M. Rowell, I EEE Trans Appl. Supercond. 13 , 3238 \n(2003). \n33 Y. Yan and M.M. Al-Jassim, Phys. Rev. B 67 , 212503 (2003). \n34 J.M. Rowell, Supercond. Sci. and Technol. 16 , R17 (2003). \n35 R. Gandikota, R.K. Singh, J. Kim, B. Wilkens, N. Ne wman, and J.M. Rowell, Appl. Phys. Lett. \n86 , 012508 (2005). \n36 K. Miyashita, H. Sato, M. Arika, and R. Takahashi, Electrical Engineering of Japan 156 , 24 \n(2006). \n37 S.X. Dou, W.K. Yeoh, J. Horvat, and M. Ionescu, App l. Phys. Lett. 83 , 4996 (2003). \n38 A.V. Pogrebnyakov, X.X. Xi, J.M. Redwing, V. Vaithy anathan, D.G. Schlom, A. Soukiassian, \nS.B. Mi, and C.L. Jia, Appl. Phys. Lett. 85 , 2017 (2004). \n39 H. Kitaguchi and T. Doi, Supercond. Sci. and Techno l. 18 , 489 (2005). \n40 C. Meingast and D.C. Larbalestier, J. Appl. Phys. 66 , 5971 (1989). \n41 Y. Shen, R. Gandikota, R.K. Singh, F.L. Hunte, J. J aroszynski, D.C. Larbalestier, J.M. Rowell, \nand N. Newman, Supercond. Sci. Technol. 21 , 085009 (2008). \n 8 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG.1 Dependence of (a) T c (b) ∆ρ (c) ρ0,corrected (d) J c and (e) dH c2 /dT, close to T c, with oxygen \nconcentration in MgB 2 films. Dashed lines are intended to serve as guide s to the eye, and are not \na result of analytical fits to the data. 28 30 32 34 36 38 \n Tc (K) Vacuum annealed \n Air annealed a\n020 40 80 100 \n ∆∆∆∆ρρρρ ( µµµµΩΩΩΩ.cm) b\n10 15 20 25 30 \n ρρρρo,corrected ( µµµµΩΩΩΩ.cm) c\nd\n0 2 4 6 8 10 12 14 16 18 0.0 0.5 1.0 1.5 2.0 \nParallel \nPerpendicular dH c2 / dT (T/K) \nOxygen (atomic %) e 9 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG.2 J c vs. H dependence obtained for MgB 2 films with (a) 0.65 at. % oxygen and (b) 11.8 at. % \noxygen, at different temperatures, (c) Comparison o f J cs obtained in oxygen alloyed films in this \nstudy with bulk samples alloyed with carbon,14 SiC,16 and carbon nanotubes 37 and films alloyed \nwith carbon,38 oxygen 29 and grown at low temperature (270 oC).39 \n \n \n \n \n 10 410 510 6MgB 2 w/ 0.65% oxygen \n4.2K 2K \n10K \n15K \n20K 25K \n Jc(A/cm 2)\n30K \n10 410 510 6MgB 2 w/ 11.8% oxygen \n25K \n20K 15K 10K 4.2K \n Jc(A/cm 2)2K \n0 2 4 6 8 10 10 410 510 6film grown at 270 oC (40) \nC-nanotube doped (38) O-alloyed film (27) ASU film (0.65% O 2)ASU film (11.8% O 2)\nBulk C-alloyed (13) C-alloyed film (39) \nbulk SiC alloyed (15) Jc (A/cm 2)\nMagnetic Field (T) 10 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG.3 Flux pinning force of MgB 2 films with (a) 0.65% and (b) 11.8% oxygen at vario us \ntemperatures, and (c) with oxygen varying from 0.65 % to 16.5% at 4.2 K. 0510 15 20 25 \n 2 K \n4.2 K 10 K \n15 K \n20 K \n25 K Fp (GN/m 3)\n30 K MgB 2 w/ 0.65% oxygen \n010 20 30 40 \n MgB 2 w/ 11.8% oxygen \n20 K \n25 K 15 K 10 K 4.2 K 2 K Fp (GN/m 3)\n0 2 4 6 8 10 010 20 30 40 50 \n16.5% O 211.8% O 210.5% O 26.8% O 2\n3.7% O 22% O 2Fp (GN/m 3)\nMagnetic Field (T) 4.2 K \n0.65% O 2" }, { "title": "0904.4488v1.Complete_pressure_dependent_phase_diagrams_for_SrFe2As2_and_BaFe2As2.pdf", "content": "arXiv:0904.4488v1 [cond-mat.supr-con] 28 Apr 2009Complete pressure dependent phase diagrams for SrFe 2As2and\nBaFe2As2\nE. Colombier, S. L. Bud’ko, N. Ni, and P. C. Canfield\nAmes Laboratory and Department of Physics and Astronomy,\nIowa State University, Ames, IA 50011, USA\n(Dated: November 2, 2018)\nAbstract\nThe temperature dependent electrical resistivity of singl e crystalline SrFe 2As2and BaFe 2As2has\nbeen measured in a liquid medium, modified Bridgman anvil cel l for pressures in excess of 75 kbar.\nThese data allow for the determination of the pressure depen dence of the higher temperature,\nstructural / antiferromagnetic phase transitions as well a s the lower temperature superconducting\nphase transition. For both compounds the ambient pressure, higher temperature structural / an-\ntiferromagnetic phase transition can be fully suppressed w ith a dome-like region of zero resistivity\nfound to be centered about its critical pressure. Indeed, qu alitatively, the temperature dependence\nof the resistivity curves closest to the critical pressures are the closest to linear, consistent with\npossible quantum criticality. For pressures significantly higher than the critical pressure the zero\nresistivity state is suppressed and the low temperature res istivity curves asymptotically approach a\nuniversal, low temperature manifold. These results are con sistent with the hypothesis that correla-\ntions / fluctuations associated with the ambient-pressure, high-temperature, tetragonal phase have\nto be brought to low enough temperature to allow superconduc tivity, but if too fully suppressed\ncan lead to the loss of the superconducting state.\nPACS numbers: 74.62.Fj; 74.70.Dd; 75.30.Kz; 74.10.+v\n1I. INTRODUCTION\nPressure is a thermodynamic variable that can be used to perturb a nd, hopefully, un-\nderstand phase transitions. In the case of the recently discover ed families of FeAs-based\nsuperconductors pressure has been particularly powerful. The a pplication of pressure on\npolycrystalline LaFeAs(O/F) raised the onset of the superconduc ting transition from 26 K\nto 43 K1and the substitution of heavier rare earths for La achieved even la rger ambient\npressure increases in T cto 55 K via the lanthanide contraction (chemical pressure)2. Even\npure LaFeAsO has been found to superconduct with a maximum T c=21 K for a 120 kbar\npressure3. After the discovery of superconductivity in K-doped AEFe 2As2(AE = Ba and\nSr)4,5, CaFe 2As2was discovered as a new member of the AEFe 2As2series and found to have\nthe smallest lattice parameters6. The application of pressure to CaFe 2As2using self clamp-\ning cells with liquid media lead to the discovery of superconductivity for P∼5 kbar7,8.\nSubsequent work with He-medium cells9,10,11demonstrated that (i) these materials are not\nonly exceptionally pressure sensitive, but can also be very sensitive to strain and (ii) in\nthe case of CaFe 2As2, where there is a pressure stabilized collapsed tetragonal phase a t\nlow temperatures for P /greaterorequalslant5 kbar, the combination of a solidified pressure medium and a\nfirst order, structural phase transition leads to a multi-crystallo graphic phase at low tem-\nperatures with the superconductivity most likely coming from a rema ining orthorhombic\nor even high temperature tetragonal phases. Subsequent stud ies of the effects of pressure\non SrFe 2As2and BaFe 2As2have found that the higher temperature, structural / antiferr o-\nmagnetic phase transition is much less pressure sensitive and that m uch higher pressures\nare needed to stabilize superconductivity, but there is poor agree ment between the differing\nmeasurements, using differing pressure environments, often mea suring only the upper, struc-\ntural / antiferromagnetic or the lower, superconducting, phase line12,13,14,15,16,17. In addition,\nstrain stabilized superconductivity seems to be an ubiquitous featu re of all of the AEFe 2As2\nmaterials7,18.\nIn this paper we assemble and present pressure - temperature ph ase diagrams for\nBaFe2As2and SrFe 2As2using a liquid medium modified Bridgman cell configuration to\nmeasure temperature and pressure dependent electrical resist ivity of multiple samples of\neach compound. We are able to determine both the pressure depen dence of the upper,\nstructural / antiferromagnetic phase transition, as well as the lo wer, superconducting phase.\n2We have found that whereas there is a broad region of partial supe rconductivity (not even\ncompletely filamentary) that gives rise to a partial electrical short ing of the sample, zero re-\nsistivity exists over a smaller pressure range that is centered on th e extrapolated termination\nof the higher temperature structural / antiferromagnetic phas e transition line.\nII. EXPERIMENTAL DETAILS\nThe SrFe 2As2and BaFe 2As2samples studied here were single crystals grown out of tin19,\nand FeAs flux20respectively. Electrical resistivity was measured by a four-probe method.\nSampleswerecleavedandthencuttoappropriatedimensions(typic ally700×150×30µm3)\nfor high pressure studies. Four 12.5 µmdiameter gold wires were fixed with silver epoxy in\nthe (a,b) plane.\nWe performed resistivity measurements under pressures of up to 76 kbar with a Bridg-\nman cell modified to use a liquid pressure medium21; a Fluorinert mixture 1:1 FC70:FC77\nwas chosen in the present case. The pressure was determined at lo w temperature by the\nsuperconducting temperature transition of a lead sample22. The top and side views of such\na pressure chamber are represented in figure 1 both as a photogr aph and as a schematic.\nAll temperature and field dependent measurements were perform ed in a Quantum Design\nPhysical Property Measurement System (PPMS). A standard PPM S sample puck was fixed\nto a small pressure cell (23 mm diameter, 60 mm length, and 130 g mas s). No thermometers\nwere placed on the pressure cell. We chose a measurement current of 1 mA, although\nwe measured the superconducting transitions for different curre nts: 1 mA, 0.1 mA and\nsometimes 0.01 mA, as needed. The magnetic field dependence of the superconducting\ntransition was measured up to 14 T, with field along the caxis of the samples. The typical\npressure variations between ambient and low temperature were pr eviously estimated to be\nlower than 1 kbar, by fitting our lead data with a Bloch-Gr¨ uneisen law as proposed Eiling\nand Schilling23.\nMeasurements between 40 and300K were performedat constant coolingor heating rates.\nThe comparison between subsequent measurements of the resist ivity of a lead sample mea-\nsured first inside and then outside of the pressure cell (filled with 1:1 FC70:FC77) at ambient\npressure gave us an estimate of the temperature shift between t he PPMS sample thermome-\nter and the temperature of our pressurized samples. The compar ison under pressure for the\n3resistivity difference between warming and cooling gave similar results . A cooling rate of\n1 K / min results in a nearly uniform temperature shift lower than 3 K be tween around 290\nand 80 K. We used a slower temperature sweep of 0.5 K / min during war ming for more\nprecise measurements. In this case, the shift between the real p ressure cell temperature and\nthe measured one is still nearly uniform and was estimated to be lower than 1.2 K. Most\nof the data shown in figures 4 and 8 were obtained on warming at a rat e of 0.5 K / min.\nFor temperatures below 40 K, a 0.2 K / min rate results in a temperatu re shift lower than\n100 mK. To precisely measure the low temperature, superconduct ing transitions of the lead\nand the sample, the temperature was stabilized before the measur ement of each data point.\nNo shift in the data between cooling and warming was observed by pro ceeding this way.\nThree pressure cells with SrFe 2As2samples and two with BaFe 2As2samples were mea-\nsured so as to check the reproducibility of their behavior under pre ssure. For these pressure\ncells, measured down to low temperatures up to high pressures, we were not able to gently\nremove the sample after the final measurement. (The gasket wou ld break on decompression,\nresulting in the loss of the sample. Some details about ruptures durin g unloads are given by\nColombier and Braithwaite21.) To show that the pressure conditions were not harmful for\nthe samples, we applied to a SrFe 2As2sample a pressure estimated to be around 50 kbar.\nThis pressure cell was not measured at low temperature, but was k ept at 300 K for one night\nbefore careful and successful unloading. The comparison at amb ient pressure, before and\nafter the load on this SrFe 2As2sample is shown in figure 2. The general behavior remains\nthe same and the resistance didn’t increase after the pressure un load compared to before,\nindicating that no cracks or irreversible defects appeared. Some s ilver paste was added to\nthe sample contacts, weakened during the unload, which might expla in the slight differences\nbetween thecurves. Thedistance between voltagewiresbecamein deed around10%smaller,\nwhich could have increased the relative contribution of tin, and might have also caused the\ndifference between the two curves, around the structural tran sition temperature.\nWe may however worry that the measured samples could be damaged during cooling, be-\ncause of thermal contractions of the pressure cell, even if the pr essure variations estimated\nare low. Moreover, when the structural transition occurs, the s ample dimensions may sud-\ndenly change by a few percent (in particular along the aandbaxes19,24) and it will be\nstrained by a solidified (frozen) medium. This was underlined in the cas e of CaFe 2As2from\nstudies using pressure mediums presenting different hydrostatic c onditions7,8,9,25. As can be\n4seen in figure 3, good hydrostatic conditions can be inferred from t he narrow superconduct-\ning transition of the lead, even though the pressure medium has fro zen by this temperature.\nThe pressure gradients between voltage wires, estimated from th e superconducting width\n(between the true onset and the zero-resistivity temperatures ), are less than 0.6 kbar at 56.8\nkbar. (This corresponds to a 20 mK superconducting transition wid th, which is relatively\nsmall.) For the higher pressures, we obtain typical widths from 20 to 40 mK. Some typical\nvalues of pressure gradient estimations and other tests regardin g the pressure quality are\ngiven by Colombier and Braithwaite21.\nSamples were characterized at ambient pressure by resistivity mea surement between 2\nand 300 K. The typical residual resistivity ratio was RRR 2−300K≡ρ300K\nρ2K≈8 for SrFe 2As2\nandaround2.6forBaFe 2As2. Somedifferences wereobserved between theSrFe 2As2samples,\nspecifically for the low temperature behavior. In particular, we not iced in many samples a\nkink around 21.5 K (clearly shown in the inset of figure 2), with an amplit ude ranging from\na few percent to 75 % of the resistivity value. This anomaly has been o bserved at ambient\npressure by several groups12,18. It is attributed to small regions of superconductivity and\nmay be created by internal strains18. Some samples also presented a partial superconducting\ntransition, at around 3.7 K, due to the presence of tin flux (again sh own in the inset of figure\n2). We avoided such tin-contaminated samples for high pressure me asurements.\nWe observe the changes under pressure in the resistive signature of the transition at-\ntributed to the combined structural and antiferromagnetic tran sition, up to around 30 and\n40 kbar respectively for SrFe 2As2and BaFe 2As2. Whereas both compounds manifest a sim-\nilarly shaped resistive signature at ambient pressure, a small, sharp , but clearly detectable,\nlocal maxima is seen just above the loss of resistivity under pressur e for BaFe 2As2samples\nbut not for SrFe 2As2(as can be seen in figures 4 and 8 below). This feature may be at-\ntributed to a superzone gap opening and the fact that it is only obse rved in some of the\nsamples may be due to in-plane anisotropy26. However, this feature seems to be linked to\nthe samples batches much more than to the compound. Although we didn’t observe any\nsuch feature in the three SrFe 2As2samples measured, Kotegawa et al.15saw this feature\nunder pressure for their Sn-grown single crystals of SrFe 2As2. By carefully examining re-\nsistivity curves from our samples and from other SrFe 2As2studies12,15,27, it seems that this\nfeature develops under pressure only for samples presenting alre ady a sharp peak of small\namplitude (less than 1 %) at ambient pressure. In our BaFe 2As2samples, such a peak was\n5also observed at ambient pressure for most of the samples measur ed.\nIII. RESULTS\nA. SrFe 2As2\nFigure 4 presents temperature dependent resistivity under pres sure for two different\nSrFe2As2samples. The difference between the 300 K resistivity values at ambie nt pressure\nis most likely due to a combination of uncertainty in determination of sa mple dimensions\n(up to ten percent in the basal plane and up to 30 percent for the t hickness) and cracks or\ndefects induced while cleaving the samples, which might change the cu rrent path.\nThe relative resistivity decrease at ambient temperature is shown in figure 5 for our two\nSrFe2As2samples. For comparison, low pressure data using a piston-cylinder cell12were\nadded. The three sets of measurements are in a quite good agreem ent. If any damage\noccurs to the samples during the temperature cycle it results in sma ll resistivity differences\ncomparedtothepressure inducedchanges. Weobserved aresist ivity decreaseinamonotonic\nand essentially linear fashion with pressure, with a close to a factor 2 .5 decrease between 0\nand 65 kbar.\nThe drop in resistance that is associated with the combined structu ral and antiferromag-\nnetic transitions, is observed around 202 K at ambient pressure. T he transition, relatively\nsharp at ambient pressure, is shifted to lower temperatures and b ecomes broader and less\npronounced as the pressure is increased. We could not observe it c learly for pressures higher\nthan 30 kbar.\nWhen we apply pressure, a kink appears at 37.6 K. As pressure is incr eased, it becomes\nmore pronounced and for pressures higher than 29 kbar the kink b ecomes a complete tran-\nsition to zero resistivity. This transition progressively becomes nar rower up to around 35\nkbar. For higher applied pressures it is then broadens again and shif ted down to lower\ntemperatures.\nThe low pressure kink at low temperature has been observed previo usly by Torikachvili\net al.12but as described above, it did not become a clear transition to a zero -resistivity state\nby the maximum applied pressure of 19 kbar. Its transition tempera ture is in very good\nagreement with the one we observed at ambient pressure for the s ample measured in cell\n61. Up to 16 kbar, the onset temperature of this feature remains r elatively constant and at\n18.9 kbar, there is an increase in the onset temperature of this fea ture.\nFigure 6 presents the phase diagram which summarizes our measure ments (up to above\n70 kbar) together with data from Torikachvili et al.12study up to 19 kbar. We defined\nthe antiferromagnetic / structural transition temperature as t he maximum of the resistivity\nderivative,dρ\ndT. The onset temperature of the down-turn in resistivity (the kink) was chosen\nas Tc. The temperature below which zero resistance was measured is also shown. As the\ntransition sharpens for P ∼35 kbar, these two temperatures approach each other.\nFigure 7 presents the effects of an applied magnetic field along the c-axis on the super-\nconducting transition. Kotegawa et al.15measured the resistivity in field at 41.5 kbar and\nfound a decrease for T cfrom 30 K at 0 T to 27 K at 8 T , and H c2(0 K) around 86 T (from a\nlinear extrapolation). For P ∼33 kbar, we found 60 T /lessorequalslantHc2(0 K)/lessorequalslant80 T depending on the\ncriterion for H c2and the extrapolation used. It should be noted that the transition width\n(up to 14 T) is not very sensitive to the magnetic field. The transition width increases from\n1.7 K in H = 0 T to 3 K in H = 14 T.\nB. BaFe 2As2\nFigure 8 presents the general behavior of BaFe 2As2samples under pressure. The resis-\ntivity data from two different samples are shown for comparison. Fo r both sets of mea-\nsurements, we observe an evolution of the resistive signature of t he ambient pressure 130 K\nstructural / antiferromagnetic phase transition with pressure. For low pressure there is a\nsharp loss of resistance, preceded by a small (superzone gap-like ) local maximum26. As pres-\nsure increases, the loss of resistance decreases and the local ma ximum broadens and weakly\nincreases. By 40 kbar, both features are no longer detectable. I n order to be consistent\nwith the SrFe 2As2study, we chose the maximum of resistivity derivative as a criterion t o\ndetermine the transition temperature.\nUnlike SrFe 2As2, at ambient pressure there is no low temperature kink, but as soon as\npressure is applied, one appears, with an onset temperature arou nd 33 K. As pressure is\nincreased the drop in resistance sharpens although the onset tem perature of this kink-like\nfeaturedoesnotchangesignificantly. By40kbarazeroresistanc estateisstabilized, reaching\na maximum for applied pressure near 55 kbar. At higher applied press ures the onset of the\n7kink decreases slightly and the width of the transition broadens aga in.\nThe general behavior observed for both pressure cells (Fig. 8 as w ell as Figs. 9 and 10\nbelow) shows good reproducibility for BaFe 2As2under high pressure. Figure 9 shows that\nthe resistivity at ambient temperature for cells 1 and 2 present a ve ry similar decrease. As\nwas the case for SrFe 2As2(Fig. 5) the decrease is essentially linear over this pressure range.\nIt should be noted that for temperatures higher than 100 K, we ob serve a few differences\nbetween samples, such as the resistivity slope, steeper in the case of cell 1 for all pressures.\nThe anisotropy reported for this compound is considered as small, b ut we might expect from\nanisotropic studies28that it is enough to show changes in resistivity when the current pat h\nis different.\nFigure 10 presents the phase diagram obtained for the BaFe 2As2samples. The temper-\nature we inferred for the combined structural and antiferromag netic transitions decreases\nalmost linearly (but with a slight curvature), with a -2.2 K / kbar slope. The zero-resistivity\nphase appears in a pressure range where the high temperature tr ansition is still observed.\nThere is very little variation in the temperature of the kink onset. On the other hand\nthe zero-resistivity region is much more pressure dependent. For pressures near 50 kbar\nthese temperatures become close and there is a relatively sharp, s ingle transition to the\nsuperconducting state.\nThe superconducting transition of BaFe 2As2in magnetic field up to 14 T is shown figure\n11. The pressure measured is 54.7 kbar, in the region where the sup erconducting transition\nis narrow. The behavior observed is very similar to SrFe 2As2, whereas the H c2we estimated\nfrom this relatively low field range is around 20 T lower. The transition w idth increases from\n1.1 K in H = 0 T to 2.5 K in H = 14 T. In particular, the zero-resistance sta te is obtained\nmore slowly. An increase of the T c,onsetslope is also noticed. From a linear extrapolation to\nT = 0 K of the three data points with H ≥10 T, we obtain H c2=66 T whereas H c2=60 T if\nwe use data with 4 T ≤H≤8 T.\nIV. DISCUSSION\nThis comprehensive study of the response of both the structura l / antiferromagnetic\nand superconducting transitions of single crystalline SrFe 2As2and BaFe 2As2to hydrostatic\npressure can be compared to earlier, partial studies of these com pounds. In the case of\n8SrFe2As2lower pressure transport measurements by Torikachvili et al.12as well as by Kumar\net al.27agree with our data well, but neither of these studies entered into t he zero resistivity\ndome. Higher pressure transport measurements made by Kotega waet al.15suppress the\nstructural / antiferromagnetic phase transition in a manner similar to our results and reach\npressures high enough (P ∼43 kbar) to enter into the zero resistivity dome. Unfortunately\nthese measurements do not go to high enough pressures to suppr ess the zero resistivity\ndome. Susceptibility measurements by Alireza et al.13detected diamagnetism over a similar\npressure rangeas our zero resistivity dome with a local maximum in dia magnetic signal close\nto the pressure where we detect a maximum in T cfor the dome. On the other hand, the\nTcvalues found by Alireza et al.13suddenly become finite at T ∼27 K on the low pressure\nside of this region and monotonically drop to about 20 K on the high pre ssure side (in a\nvery non-linear fashion). The one exception to the general agree ment about the pressure\ndependence of the structural / antiferromagnetic phase line is tr ansport work by Igawa et\nal.14that shows a dramatically slower suppression of the resistive featu re. This work was\ndone on a polycrystalline sample, and for highest pressures used Na Cl as a pressure medium.\nGiven the known sensitivity of these materials to strain, it is not surp rising that liquid media\nand single crystals are preferable.\nIn the case of BaFe 2As2, the literature is more sparse. There are two transport stud-\nies: Fukazawa et al.16on polycrystalline samples in a liquid medium and Mani et al.17on\npoly- and single-crystalline samples in a solid medium (steatite), both s cenarios are prone to\nstrain. Mani et al.17cannot detect a structural / antiferromagnetic transition for p ressures\ngreater than P ∼15 kbar and a qualitative comparison between pressures is not poss ible,\ndue to probable cracks / irreversible defects to the sample as their strong increase of the\nambient temperature resistivity (higher than a factor 5 between 0 and 72 kbar) proved.\nFukazawa et al.16find a suppression of this phase transition that is much slower than o ur\nresults. Fukazawa et al.16result is similar in its deviation from single crystal results as\nthe polycrystalline work by Igawa et al.14on SrFe 2As2discussed above. Susceptibility mea-\nsurements by Alireza et al.13detected diamagnetism but at pressures essentially shifted by\n10 kbar lower than our zero resistivity dome. Since Alireza et al.13did not measure the\npressure dependence of the structural / antiferromagnetic ph ase transition it is impossible\nto determine how well their superconducting region correlates with the higher temperature\nphase line.\n9For both the SrFe 2As2and the BaFe 2As2systems studies of the transport properties of\nsingle crystals under high, but as hydrostatic as possible, pressur e are needed to establish\nthe relation between the structural / antiferromagnetic phase lin e and the superconducting\n(or zero resistivity) dome. In our studies of these systems up to P ∼80 kbar we have been\nable to achieve this goal.\nThe relationship between the low temperature kink, seen in our data at all pressures\nhigher than ambient, and zero resistivity (at least complete filament ary superconductivity\nand possible bulk superconductivity) is clearly described by our data and summarized in the\ntwo T(P) phase diagrams shown in Figs. 6 and 10. For both compound s it is worth noting\nthat the temperature associated with a zero resistance state is r elatively pressure sensitive,\nrising toward the kink temperature and then dropping away from it a s pressure is increased.\nThese data support the idea that the kink-like feature can be asso ciated with some form\nof strain induced superconductivity in a very small fraction (below t he percolation limit)\nof the sample with a distribution of T cvalues ranging as high as the maximum T cfor the\nmaterial. Onceinducedthishypothetical strainfieldisrelatively pres sure insensitive. Thisis\nconsistent with recent work by Saha et al.18for the case of SrFe 2As2where high temperature\nannealing is necessary to remove the strain induced kink and superc onductivity.\nThe degree of superconductivity associated with the zero resistiv ity dome can be probed\n(at least a little) by the measurement of current dependent resist ivity. Figure 12 presents\ndata for BaFe 2As2with P∼39 kbar (near the onset of the zero resistivity dome), and for\nSrFe2As2for P∼33 kbar (near the local maximum of the zero resistivity dome), and P\n∼53 kbar (on the high pressure side of the local maximum of the zero r esistivity dome).\nWhereas there is no significant current dependence of the resistiv ity for pressures near the\noptimal pressure for superconductivity, there is a clear current dependence on both the\nlow and high pressure sides. This is consistent superconductivity of a more filamentary\nnature existing at the low and high pressure edges of this dome. Unf ortunately the lack of\na significant current dependence (over this limited current range) does not prove true, bulk\nsuperconductivity in the sample, even at the optimal pressure, bu t the data does allow for\nthe comparative statement that the superconductivity is less filam entary near the center of\nthe zero resistivity dome.\nThe location of the zero-resistivity dome in the BaFe 2As2and SrFe 2As2T(P) phase\ndiagrams is noteworthy as well. For each of these compounds we find that the maximum in\n10Tcis found near the pressure where the extrapolation of the struct ural / antiferromagnetic\nphase line reaches zero. (This key observation is possible because w e were able to detect\nboth the upper and lower phase transitions during the same measur ement.) Extrapolations\nof the structural / antiferromagnetic phase line gives a critical pr essure of P ∼35 kbar\nfor SrFe 2As2and P∼55 kbar for BaFe 2As2(both of which match the maximal T cregions\nnicely). Although, as discussed above, the range of bulk supercon ductivity is not well know,\nthe central region of the zero-resistivity dome is the most likely pre ssure range to find bulk\nsuperconductivity.\nThe location of the superconducting dome can also be related to the changing, low tem-\nperature, normal state resistivity. Figure 13 presents the low te mperature resistivity (just\nabove the maximum superconducting or kink temperature) as a fun ction of pressure for\nSrFe2As2(a) and BaFe 2As2(b). For reference Fig. 13 also shows the location of the zero\nresistivity dome. For SrFe 2As2(with its lower characteristic pressure scale) the R 40K(P)\ndata manifest the sharpest drop right at the pressure associate d with the maximum in the\nzero-resistivity dome. This is also the pressure range that the ext rapolation of the structural\n/ antiferromagnetic phase line would cross 40 K. For BaFe 2As2(with a higher characteristic\npressure) a similar correlation between the change in the low temper ature resistivity and\nthe zero resistivity dome can be observed, but at higher pressure s and over a wider pressure\nrange.\nThe location of the zero resistivity dome around the critical pressu re for the structural /\nantiferromagnetic phase transition raises the question of possible quantum criticality. Un-\nfortunately we only have resistivity data and, given the relatively hig h Hc2(T) values for\nthese materials (as shown in Figs. 7 and 11), we only have these data for relatively high\ntemperature (T /greaterorequalslant40 K near the critical pressure). This being said, a closer examinatio n of\nthe temperature dependent resistivity data presented in Figs. 4 a nd 8 reveals a more linear-\nlike temperature dependence just above T cfor pressures close to the optimal pressure and\nmore super-linear temperature dependences for pressures bot h below and above the optimal\npressure. Measurements in a diamond anvil cell, with He as a pressur e media and excep-\ntionally high magnetic fields (H /lessorsimilar60 T) together with the normal state magneto-resistive\ncorrections will be needed to make a more quantitative statement.\nAs the pressure is increased beyond the critical pressure the ρ(T) curves start to fall on a\nuniversal, low temperature manifold, with each subsequent pressu re defining this manifold\n11to a higher temperature. This behavior is again more easily observed in SrFe 2As2given its\nlower critical pressure, although is can be observed starting to se t in for the highest pressure\nBaFe2As2data sets as well. This behavior is reminiscent to what was observed in CaFe2As2\nas increasing pressure stabilized the collapsed tetragonal phase a t higher and higher temper-\natures. For SrFe 2As2and BaFe 2As2there is no evidence for a phase transition to a collapsed\ntetragonal phase, but there is evidence for a pressure stabilized high temperature state that\nhas greatly reduced resistivity. For each of the AEFe 2As2(AE = Ca, Sr, and Ba) this low\nresistivity state does not support superconductivity. This may we ll be related to the more\ngeneral observation that can be made about superconductivity in the doped FeAs-based\ncompounds: superconductivity occurs when the fluctuations or c orrelations associated with\nthe high temperature tetragonal state are brought to ”low enou gh” temperature. If these\nfluctuations or correlations are fully suppressed (i.e. the resistivit y is fully reduced to that\nof a non magnetic, non-correlated metal) then superconductivity is no longer supported.\nV. CONCLUSIONS\nBy measuring several samples of BaFe 2As2and SrFe 2As2in a liquid medium, self clamp-\ning, Bridgman cell up to pressures approaching 80 kbar we have bee n able to determine the\ncomplete pressure - temperature phase diagrams for these two p arent compounds of the\nAEFe2As2superconductors. Both of these T(P) diagrams consist of three basic features, (i)\na structural / antiferromagnetic phase transition that is suppre ssed by increasing pressure,\n(ii) a zero resistivity dome that is relatively pressure sensitive and als o appears to represent\nless filamentary superconductivity near its central region, and (iii) a kink-like feature that\nis relatively pressure insensitive that is thought to be associated wit h small parts of the\nsample manifesting a spread of T cvalues, probably originating from strains / defects\nrather than from the hydrostatic pressure. We have found that the zero resistivity dome is\ncentered around the critical pressure for the structural / ant iferromagnetic phase transition\n(P∼35 kbar for SrFe 2As2and P∼55 kbar for BaFe 2As2). We have determined this\ncritical pressure both via the extrapolation of the structural / a ntiferromagnetic phase line\ndown to T = 0 K and via the change in the low temperature (40 K), norm al state resistivity\nassociated with transition temperature passing through T = 40 K.\n12These data imply that the superconductivity found in this system ma y be linked to a\nquantum critical point associated with the suppression of the stru ctural / antiferromagnetic\nphase transition. Although the high temperature, and high H c2(T) curves, associated with\nthe superconductivity make quantitative analysis of the resistivity difficult, there does\nappear to be a trend toward more linear-like temperature depende nce of the resistivity in\nthe region of this critical pressure and more super linear temperat ure dependences for both\nlower and higher pressures.\nMore quantitatively we can link superconductivity in SrFe 2As2and BaFe 2As2to bring-\ning the fluctuations / correlations associated with the low pressure tetragonal state to low\nenough temperatures. The zero resistivity dome exists in the regio n of phase space where\nthe structural / antiferromagnetic phase is suppressed to low en ough temperatures and the\nfluctuations / correlations associated with the tetragonal phase (as measured by the resis-\ntivity) are not completely suppressed. To this end SrFe 2As2and BaFe 2As2under pressure\nappear to manifest the same basic physics as doped BaFe 2As229, but with a different tuning\nparameter.\nAcknowledgments\nWork at the Ames Laboratorywas supported by the Department o f Energy, Basic Energy\nSciences under Contract No. DE-AC02-07CH11358. We would also lik e to acknowledge\nN. H. Sung for his assistance in pressure cells preparation, and Dan iel Braithwaite and\nCEA Grenoble for helping establish the liquid media, self clamping Bridgma n cell in Ames\nLaboratory.\n131H. Takahashi, K. Igawa, K. Arii, Y. Kamihara, M. Hirano, and H . Hosono, Nature 453, 376\n(2008).\n2Z. A. Ren, W. Lu, J. Yang, W. Yi, X. L. Shen, Z. C. Li, G. C. Che, X. L. Dong, L. L. Sun,\nF. Zhou, et al., Chin. Phys. Lett. 25, 2215 (2008).\n3H. Okada, K. Igawa, H. Takahashi, Y. Kamihara, M. Hirano, H. H osono, K. Matsubayashi, and\nY. Uwatoko, J. Phys. Soc. Jpn 77, 113712 (2008).\n4M. Rotter, M. Tegel, and D. Johrendt, Phys. Rev. Lett. 101, 107006 (2008).\n5G. F. Chen, Z. Li, G. Li, W. Z. Hu, J. Dong, J. Zhou, X. D. Zhang, P . Zheng, N. L. Wang, and\nJ. L. Luo, Chin. Phys. Lett. 25, 3403 (2008).\n6N. Ni, S. Nandi, A. Kreyssig, A. I. Goldman, E. D. Mun, S. L. Bud ’ko, and P. C. Canfield,\nPhys. Rev. B 78, 014523 (2008).\n7M. S. Torikachvili, S. L. Bud’ko, N. Ni, and P. C. Canfield, Phy s. Rev. Lett. 101, 057006 (2008).\n8T. Park, E. Park, H. Lee, T. Klimczuk, E. D. Bauer, F. Ronning, and J. D. Thompson, J. Phys.:\nCondens. Matter 20, 322204 (2008).\n9W. Yu, A. A. Aczel, T. J. Williams, S. L. Bud’ko, N. Ni, P. C. Can field, and G. M. Luke, Phys.\nRev. B79, 020511 (2009).\n10A. I. Goldman, A. Kreyssig, K. Prokes, D. K. Pratt, D. N. Argyr iou, J. W. Lynn, S. Nandi,\nS. A. J. Kimber, Y. Chen, Y. B. Lee, et al., Phys. Rev. B 79, 024513 (2009).\n11A. Kreyssig, M. A. Green, Y. Lee, G. D. Samolyuk, P. Zajdel, J. W. Lynn, S. L. Bud’ko, M. S.\nTorikachvili, N. Ni, S. Nandi, et al., Phys. Rev. B 78, 184517 (2008).\n12M. S. Torikachvili, S. L. Bud’ko, N. Ni, and P. C. Canfield, Phy s. Rev. B 78, 104527 (2008).\n13P. L. Alireza, Y. T. C. Ko, J. Gillett, C. M. Petrone, J. M. Cole , G. G. Lonzarich, and S. E.\nSebastian, J. Phys.: Condens. Matter 21, 012208 (2009).\n14K. Igawa, H. Okada, H. Takahashi, S. Matsuishi, Y. Kamihara, M. Hirano, H. Hosono, K. Mat-\nsubayashi, and Y. Uwatoko, J. Phys. Soc. Jpn. 78, 025001 (2009).\n15H. Kotegawa, H. Sugawara, and H. Tou, J. Phys. Soc. Jpn 78, 013709 (2009).\n16H. Fukazawa, N. Takeshita, T. Yamazaki, K. Kondo, K. Hirayam a, Y. Kohori, K. Miyazawa,\nH. Kito, H. Eisaki, and A. Iyo, J. Phys. Soc. Jpn. 77, 105004 (2008).\n17A. Mani, N. Ghosh, S. Paulraj, A. Bharathi, and C. S. Sundar, u npublished, arXiv:0903.4236\n14(2009).\n18S. R. Saha, N. P. Butch, K. Kirshenbaum, and J. Paglione, unpu blished, arXiv:0811.3940v2\n(2008).\n19J. Q. Yan, A. Kreyssig, S. Nandi, N. Ni, S. L. Bud’ko, A. Krache r, R. J. McQueeney, R. W.\nMcCallum, T. A. Lograsso, A. I. Goldman, et al., Phys. Rev. B 78, 024516 (2008).\n20N. Ni, M. E. Tillman, J. Q. Yan, A. Kracher, S. T. Hannahs, S. L. Bud’ko, and P. C. Canfield,\nPhys. Rev. B 78, 214515 (2008).\n21E. Colombier and D. Braithwaite, Rev. Sci. Instrum. 78, 093903 (2007).\n22B. Bireckoven and J. Wittig, J. Phys. E: Sci. Instrum. 21, 841 (1988).\n23A. Eiling and J. S. Schilling, J. Phys. F: Metal Phys. 11, 623 (1981).\n24M. Rotter, M. Tegel, and D. Johrendt, Phys. Rev. B 78, 020503 (2008).\n25H. Lee, E. Park, T. Park, F. Ronning, E. D. Bauer, and J. D. Thom pson, unpublished,\narXiv:0809.3550v1 (2008).\n26S. L. Bud’ko and P. C. Canfield, Phys. Rev. B 61, R14932 (2000).\n27M. Kumar, M. Nicklas, A. Jesche, N. Caroca-Canales, M. Schmi tt, M. Hanfland, D. Kasinathan,\nU. Schwarz, H. Rosner, and C. Geibel, Phys. Rev. B 78, 184516 (2008).\n28M. A. Tanatar, N. Ni, C. Martin, R. T. Gordon, H. Kim, V. G. Koga n, G. D. Samolyuk, S. L.\nBud’ko, P. C. Canfield, and R. Prozorov, Phys. Rev. B 79, 094507 (2009).\n29P. C. Canfield, S. L. Bud’ko, N. Ni, J. Q. Yan, and A. Kracher, un published, arXiv:0904.3134v1\n(2009).\n15FIG. 1: (Color online) (a) Top view (photograph) of the press ure chamber with a spot-welded lead\nmanometer (top) and a SrFe 2As2sample (bottom) to which wires were fixed with silver epoxy. A\nsecond teflon ring is then placed on top of the first one, just be fore filling with liquid. (b) Sketch\nof the side view.\n16/s48 /s49/s48 /s50/s48 /s51/s48\n/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48/s48/s46/s48/s48/s48/s46/s48/s49/s48/s46/s48/s50/s48/s46/s48/s51/s48/s46/s48/s52/s48/s46/s48/s53\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s82/s101/s115/s105/s115/s116/s97/s110/s99/s101/s32/s98/s101/s102/s111/s114/s101/s32/s108/s111/s97/s100/s32/s40 /s41\n/s83/s114/s70/s101\n/s50/s65/s115\n/s50\n/s48/s46/s48/s48/s48/s46/s48/s49/s48/s46/s48/s50/s48/s46/s48/s51/s48/s46/s48/s52/s48/s46/s48/s53/s82/s101/s115/s105/s115/s116/s97/s110/s99/s101/s32/s97/s102/s116/s101/s114/s32/s108/s111/s97/s100/s32 /s40 /s41/s32/s32\n/s32\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41\nFIG. 2: (Color online) Resistivity at ambient pressure for a SrFe2As2sample before and after\na pressure cycle up to around 50 kbar. Two different resistivit y scales were used for a better\ncomparison. A low temperature zoom is shown in the inset.\n17/s53/s46/s53 /s54/s46/s48 /s54/s46/s53 /s55/s46/s48/s48/s46/s48/s49/s46/s48/s120/s49/s48/s45/s53/s50/s46/s48/s120/s49/s48/s45/s53/s82/s101/s115/s105/s115/s116/s97/s110/s99/s101/s32/s40 /s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41\nFIG. 3: (Color online) Lead resistance at low temperature fr om one pressure cell. The supercon-\nducting transition is shown at six different pressures. From r ight to left: 0 kbar, 16.6 kbar, 27.9\nkbar, 38.8 kbar, 46.5 kbar and 56.8 kbar\n18FIG. 4: (Color online) (a) and (b) summarize the resistivity measurements under pressure for two\ndifferent SrFe 2As2samples: cell 1 and cell 2 respectively. (c) presents an enla rgement of the low\ntemperature behavior with resistivity on logarithmic scal e (from 5 ×10−5to 0.4mΩ cm and 0.2 mΩ\ncm respectively for cell 1 and cell 2). Arrows show the struct ural / antiferromagnetic transition\ntemperature deduced from a maximum of the resistivity deriv ative criterion.\n19/s48 /s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48 /s56/s48/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48/s51/s48/s48/s75/s40/s80/s41/s47\n/s51/s48/s48/s75/s40/s48/s41\n/s80/s114/s101/s115/s115/s117/s114/s101/s32/s40/s107/s98/s97/s114/s41/s83/s114/s70/s101\n/s50/s65/s115\n/s50\nFIG. 5: (Color online) Relative resistivity decrease at 300 Kρ300K(P)/ρ300K(0) versus pressure\nfrom cell 1 (black squares) and cell 2 (red circles). Green cr osses up to 20 kbar are data from\nreference [12]. The dashed line is a guide for the eye.\n20/s48 /s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48/s48/s50/s48/s52/s48/s54/s48/s56/s48/s49/s48/s48/s49/s50/s48/s49/s52/s48/s49/s54/s48/s49/s56/s48/s50/s48/s48\n/s83/s114/s70/s101\n/s50/s65/s115\n/s50\n/s91/s32/s82/s101/s102/s46/s32/s49/s50/s93/s99/s101/s108/s108/s32/s49\n/s99/s101/s108/s108/s32/s50/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41\n/s80/s114/s101/s115/s115/s117/s114/s101/s32/s40/s107/s98/s97/s114/s41/s32/s32/s32/s90/s69/s82/s79/s32\n/s82/s69/s83/s73/s83/s84/s73/s86/s73/s84/s89\nFIG. 6: (Color online) Phase diagram T(P) of SrFe 2As2deduced from resistivity measurements\nin a modified Bridgman pressure cell. Blue and green data corr espond to cell 1 and cell 2, re-\nspectively. Low pressure data up to 20 kbar from reference [1 2] were added in pink. Circles and\ncrosses correspond respectively to the structural / antife rromagnetic transition and the the onset\nof superconductivity. Vertical error bars indicates the sh ift between cooling and warming, due to a\nnot perfect thermalization. Triangles represent the offset t emperature of the full superconducting\ntransition. The hatched area shows the zero-resistance sup erconducting region. The very large\nhorizontal error bars are due to pressure uncertainties cau sed by a small remanent field. These\nuncertainties were estimated from one pressure (60 kbar), w here the pressure cell was measured in\ntwo different PPMS, one without remanent field. Smaller error b ars were estimated from the super-\nconducting width, indicating at the same time pressure grad ients and a not perfect thermalization.\nDotted lines link the onset temperature of the superconduct ing transition.\n21/s50/s48 /s50/s50 /s50/s52 /s50/s54 /s50/s56 /s51/s48 /s51/s50 /s51/s52 /s51/s54 /s51/s56 /s52/s48/s48/s46/s48/s48/s48/s48/s46/s48/s48/s53/s48/s46/s48/s49/s48/s48/s46/s48/s49/s53/s48/s46/s48/s50/s48/s48/s46/s48/s50/s53/s48/s46/s48/s51/s48\n/s50/s56 /s51/s48 /s51/s50 /s51/s52 /s51/s54/s48/s53/s49/s48/s49/s53\n/s83/s114/s70/s101\n/s50/s65/s115\n/s50/s48/s32/s84/s49/s52/s32/s84\n/s49/s50/s32/s84\n/s49/s48/s32/s84\n/s56/s32/s84\n/s54/s32/s84\n/s52/s32/s84\n/s50/s32/s84\n/s49/s84/s82/s101/s115/s105/s115/s116/s105/s118/s105/s116/s121/s32/s40/s109 /s99/s109/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s51/s51/s32/s107/s98/s97/s114/s48/s46/s53/s32/s84/s32/s32/s70/s105/s101/s108/s100/s32/s40/s84/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s72/s32/s47/s47/s32/s99\nFIG. 7: (Color online) Resistivity of SrFe 2As2under different magnetic fields measured up to\n14 T. The criterions used to determine the onset, half width a nd offset temperatures are shown for\nthe superconducting transition at 14 T. The insert summariz es the field dependence of the onset\n(triangles), the offset (circles) and the half width (crosses ) temperatures.\n22FIG. 8: (Color online) (a) and (b) summarize the resistivity measurements under pressure for two\ndifferent BaFe 2As2samples. Two sets of measurements are respectively called c ell 1 and cell 2. For\ncell 1, pressure uncertainty is around 3 kbar for the two last pressures, due to a small remanent\nfield. The arrows show the structural / antiferromagnetic tr ansition temperature deduced from\nour criterion (maximum of the resistivity derivative). (c) presents an enlargement of the low\ntemperature behavior with resistivity in logarithmic scal e from 5 ×10−5to 0.3mΩ cm . The\nhigher and lower panels correspond to cell 1 and cell 2, respe ctively.\n23/s48 /s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48/s48/s46/s54/s48/s46/s56/s49/s46/s48/s51/s48/s48/s75/s40/s80/s41/s47\n/s51/s48/s48/s75/s40/s48/s41\n/s80/s114/s101/s115/s115/s117/s114/s101/s32/s40/s107/s98/s97/s114/s41/s66/s97/s70/s101\n/s50/s65/s115\n/s50\nFIG. 9: (Color online) Relative resistivity decrease at 300 Kρ300K(P)/ρ300K(0) versus pressure\nfrom cell 1 (black squares) and cell 2 (red circles). The dash ed line is a guide for the eye.\n24/s48 /s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48/s48/s50/s48/s52/s48/s54/s48/s56/s48/s49/s48/s48/s49/s50/s48/s49/s52/s48\n/s32/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41\n/s80/s114/s101/s115/s115/s117/s114/s101/s32/s40/s107/s98/s97/s114/s41/s99/s101/s108/s108/s32/s49\n/s99/s101/s108/s108/s32/s50\n/s32/s32/s32 /s32/s32/s90/s69/s82/s79\n/s82/s69/s83/s73/s83/s84/s73/s86/s73/s84/s89/s66/s97/s70/s101\n/s50/s65/s115\n/s50\nFIG. 10: (Color online) Phase diagram T(P) of BaFe 2As2deduced from resistivity measurements\nin a modified Bridgman pressurecell. Green and blue colors re fer to two different cells, respectively\ncalled as cell 1 and cell 2. Circles correspond to the structu ral transition deduced from the local\nmaximum of the resistivity derivative. Vertical error bars indicates the shift between cooling and\nwarming, due to a not perfect thermalization. Crosses corre spond to the onset of the supercon-\nducting transition. Triangles represent offset temperature of the full superconducting transition.\nThe hatched area estimates the true zero-resistance superc onducting region.\n25/s49/s48 /s49/s53 /s50/s48 /s50/s53 /s51/s48/s48/s46/s48/s48/s48/s46/s48/s50/s48/s46/s48/s52\n/s50/s50 /s50/s52 /s50/s54 /s50/s56 /s51/s48/s48/s53/s49/s48/s49/s53\n/s53/s52/s46/s55/s32/s107/s98/s97/s114\n/s66/s97/s70/s101\n/s50/s65/s115\n/s50/s48/s32/s84\n/s49/s52/s32/s84/s49/s48/s32/s84/s50/s32/s84\n/s54/s32/s84\n/s56/s32/s84\n/s49/s50/s32/s84/s82/s101/s115/s105/s115/s116/s105/s118/s105/s116/s121/s32/s40/s109 /s32/s99/s109/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s52/s32/s84/s32/s32/s70/s105/s101/s108/s100/s32/s40/s84/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s72/s32/s47/s47/s32/s99\nFIG. 11: (Color online) Resistivity of BaFe 2As2under different magnetic fields measured up to\n14 T. The criterions used to determine the onset, half width a nd offset temperatures are shown for\nthe superconducting transition at 14 T. The insert summariz es the field dependence of the onset\n(triangles), the offset (circles) and the half width (crosses ) temperatures.\n26/s49/s48 /s49/s53 /s50/s48 /s50/s53 /s51/s48 /s51/s53 /s52/s48/s49/s69/s45/s53/s49/s69/s45/s52/s49/s69/s45/s51/s48/s46/s48/s49/s48/s46/s49\n/s51/s51/s32/s107/s98/s97/s114/s51/s56/s46/s57/s32/s107/s98/s97/s114 /s83/s114/s70/s101\n/s50/s65/s115\n/s50\n/s32/s49/s32/s109/s65\n/s32/s48/s46/s49/s32/s109/s65\n/s32/s48/s46/s48/s49/s32/s109/s65/s82/s101/s115/s105/s115/s116/s105/s118/s105/s116/s121/s32/s40/s109 /s32/s99/s109/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s66/s97/s70/s101\n/s50/s65/s115\n/s50\n/s53/s50/s46/s57/s32/s107/s98/s97/s114\nFIG. 12: (Color online) Superconducting transition measur ed in resistivity shown for different\ncurrents: 0.01, 0.1 and 1 mA for three pressures. SrFe 2As2at 33 and 52.9 kbar and BaFe 2As2at\n38.9 kbar.\n27FIG. 13: (Color online) Pressure dependence of the resistiv ity at 40 K (right axis) (a) for SrFe 2As2\nand (b) for BaFe 2As2. For reference, the zero-resistivity dome (hatched area) f rom the T(P) phase\ndiagram is also shown (left axis).\n28" }, { "title": "2104.14885v1.Open_Source_Memory_Compiler_for_Automatic_RRAM_Generation_and_Verification.pdf", "content": "Open-Source Memory Compiler for Automatic\nRRAM Generation and Verification\nDimitrios (Dimitris) Antoniadis\u0003, Peilong Feng\u0003y, Andrea Mifsud\u0003y, Timothy G. Constandinou\u0003yz\n\u0003Department of Electrical and Electronic Engineering, Imperial College London, SW7 2BT, UK\nyCentre for Bio-Inspired Technology, Institute of Biomedical Engineering, Imperial College London, SW7 2AZ, UK\nzCare Research & Technology Centre, UK Dementia Research Institute, UK\nfdimitris.antoniadis20, peilong.feng14, a.mifsud, t.constandinou g@imperial.ac.uk\nAbstract —The lack of open-source memory compilers in\nacademia typically causes significant delays in research and\ndesign implementations. This paper presents an open-source\nmemory compiler that is directly integrated within the Cadence\nVirtuoso environment using physical verification tools provided\nby Mentor Graphics (Calibre). It facilitates the entire memory\ngeneration process from netlist generation to layout implementa-\ntion, and physical implementation verification. To the best of our\nknowledge, this is the first open-source memory compiler that\nhas been developed specifically to automate Resistive Random\nAccess Memory (RRAM) generation. RRAM holds the promise\nof achieving high speed, high density and non-volatility. A novel\nRRAM architecture, additionally is proposed, and a number of\ngenerated RRAM arrays are evaluated to identify their worst\ncase control line parasitics and worst case settling time across\nthe memristors of their cells. The total capacitance of lines SEL,\nN and P is 5.83 fF/cell, 3.31 fF/cell and 2.48 fF/cell respectively,\nwhile the total calculated resistance for SEL is 1.28 \n/cell and\n0.14\n/cell for both N and P lines.\nI. I NTRODUCTION\nRandom Access Memories (RAMs) and processing units\nare critical components of a digital system. Commonly\nknown volatile memories are Static Random Access Mem-\nories (SRAMs) and Dynamic Random Access Memories\n(DRAMs) [1], [2]. SRAMs are of particular interest since they\ncan be used in System-On-Chip (SoC), Application Specific\nIntegrated Circuit (ASIC) and microprocessor designs [3], [4].\nMemory design is however a tedious task for an Integrated\nCircuit (IC) design engineer, and requires plethora of time\nand multiple design cycles [3], [4]. Additionally, commercial\nProcess Design Kits (PDKs) that are available to academia or\nsmall and medium size enterprises (SMEs), do not provide\nmemory compilers. Access to memory IP is typically licensed\nthrough third party vendors, often providing just limited flex-\nibility and reconfigurability [3]. Modern applications require\ncustomised memories (such as single port, dual port, multi\nport) [5]. Depending on the desired performance, different\nfabrication technology may be required [3], therefore, memory\ncompilers should be able to create scalable and customisable\nmemories [3], [4]. Driven by the above mentioned needs, vari-\nous attempts have been made to create memory compilers [3]–\n[6].\nConventionally, memory compilers mainly deal with\nSRAMs or other volatile memories [3]–[8]. These memories\ncan have advantages such as high packing density and high\nread/write speed. Nevertheless, the volatility of the states of\ntheir cells produces the necessity of constantly refreshing their\nArray RRAM\nbbb*2x\nb b b\nWRP De/Multiplexer\nN De/Multiplexer\nSA SA WRControllerb\nR/W2YDecoder\nSEL\nY\nX\nYX\nSA\nbSA WR WRb\nI/O I/O I/O I/Ob b b\nbFig. 1. Architecture of proposed RRAM: Top Right - RRAM array. The size\nof the array is M= 2Yrows andN=b2Xcolumns. The blue coloured\ncells represent a word of bbits. Left - A Ybits to 2Ybits Decoder to\nchoose the address (enable horizontal SEL line) of the desired word. Bottom\n- twob2XtobDe/Multiplexers (enable vertical P, N lines) to provide the\nnecessary connections based on the read/write operation. These are connected\nto the Sense Amplifier Array and the Write Circuits Array shown in orange\nand purple colour respectively.\ncell values (DRAMs) or restoring them on power-up (SRAMs).\nAs a result the energy consumption is high [1], [9]. On\nthe other hand, commercially available non-volatile memories\n(flash memories), suffer of low speed, high write voltage and\nlow endurance [1]. Both volatile and non-volatile memories\nface the problem of the memory wall , as the write/read speed\nof memories does not scale at the same rate as the operation\nfrequency of processing units does [2], [10], [11].\nIt is clear that novel material memories exploiting new\nmemory architectures are necessary to achieve non-volatility,\nscalability, high speed, high density and low power perfor-\nmance [1], [2], [11]–[13]. These devices can be characterised\nas emerging Non-V olatile Memory (NVM) technologies [1],\n[12]. Such a device is the memristor [9], [13], [14]. A promis-\ning type of memory using memristors and addressing the\nabove mentioned problems is the Resistive RAM (RRAM) [2],\n[9], [15].\nEven though, a RRAM compiler has been presented before\n[15], the authors of this paper acknowledge the need of an\nopen-source RRAM compiler for academia and industry. The\ncompiler should be able to generate a RRAM and its peripheral\ncircuits. Additionally, the compiler should provide automaticarXiv:2104.14885v1 [cs.ET] 30 Apr 2021layout verification and memory characterisation. The proposed\ntool should be independent of fabrication technology and\nshould provide reconfigurability options on the architectures\nand the circuits of the memory. Such a tool is essential\nin order to investigate novel properties and capabilities of\nRRAMs. This is developed as part of the Functional Oxide Re-\nconfigurable Technologies (FORTE) programme1. FORTE’s\naims are threefold: develop and optimise the manufacturing\nprocesses of RRAM devices; create the technology tools and\ndesign rules required for integration with CMOS; and show-\ncase potential applications of CMOS/Memristor integrated\ncircuits [9].\nThis paper presents an early version of the first open-source\nRRAM compiler which automatically creates and verifies the\nschematic and the layout of a M \u0002N dimensions RRAM.\nAdditionally, it extracts parasitics and generates a post layout\nview. Suitable files with parasitic information are generated\nwhich can be imported in MATLAB and further information\non control lines capacitance and resistance can be obtained.\nThe RRAM compiler has been published on Github:\nhttps://github.com/akdimitri/RRAM COMPILER\nThe proposed architecture of the RRAM of the compiler\nis shown on Fig. 1. The subcircuits are quite similar to an\nSRAM [16], however, RRAM architecture requires additional\nperipheral circuitry to control the RRAM cells which operate\non very strict voltage conditions as explained in Section II.\nSection III describes the operation flow of the proposed com-\npiler. Section IV compares the characteristics of the various\nRRAM macros created by the compiler. Finally, Section V\ndiscusses future improvements, challenges and concludes the\nresults.\nII. RRAM A RCHITECTURE OVERVIEW\nThe proposed RRAM cell consists of a memristor and an\nNMOS transistor as shown in Fig. 3. In order to write a value\nto the cell, the SEL line has to be set to high voltage and an\nappropriate voltage difference has to be applied between P and\nN terminals (depending on memristor characteristics). In order\nto read the value of the memristor, SEL line has to be set to\nhigh voltage and an extremely small voltage difference has to\nbe applied between P and N terminals, ensuring the voltage\ndifference is not large enough to change the resistive state of\nthe memristor. Thus, it is made clear that suitable peripheral\ncircuits have to be designed in order to accurately control these\nsensitive pins.\nThe RRAM cells can form a RRAM array by sharing\nhorizontal SEL lines and vertical P and N lines as it is shown\non Fig. 3. Based on this topology a M \u0002N RRAM array can\nbe generated. Given that a word length is bbits, a 2Y\u0002b2X\nRRAM array can be generated, where M= 2YandN=b2X\nwith resemblance to the arrays of Figs. 1 and 3.\nThus for both read and write operation, a SEL line decoder\nis needed to select the desired horizontal line and multiplexers\nto connect the P and N vertical lines of the desired word\nmemory cells to read/write circuits. Since the array has 2Y\n1For latest news on FORTE and resources visit www.forte.ac.uk\nFig. 2. Read and Write operations. Assuming an unknown Resistive State RS\non the memristor, at t= 1 a write signal appears on write/read (W/R) port\nand Low Resistance ( L) is received on Input/Output (I/O) port. At t= 3 the\nread operation of the cell begins. Note the small voltage applied on P line\nwhile reading function of RRAM. At t= 8, a high voltage pulse is applied\non SEL and N line in order to write a High Resistance ( H) value.\nFig. 3. RRAM array 4\u00024. RRAM rows share SEL line while RRAM columns\nshare P (blue) and N (red) lines. One memory cell is shown on the lower left\npart of the image surrounded by dashed line.\nSEL lines, a word of Ybits is enough to describe the address\nof the desired SEL line. Therefore a decoder of Yto2Yis\nrequired.\nRegarding P and N lines, it is essential to take under\nconsideration the read and write operations. During the read\noperation, a small voltage (less than 0.5 V) has to be applied\non the desired P lines. Therefore, a multiplexer b2Xtob\nis necessary to connect the selected memory word to read\ncircuits. A word of Xbits is enough to control the multiplexer.\nSince the voltage difference has to be extremely small, the N\npins can be connected to ground (See Fig. 1 ground on N\nMultiplexer). Therefore, a signal can be used (not shown on\nfigure) during read operation to ground all N pins. On the other\nhand, during write operation a larger voltage value has to be\napplied across P and N pins, thus in this case both desired\nP and N lines will be connected to write circuits using b2X\ntobmultiplexers. A simplified diagram of Read and Write\nOperations is shown on Fig. 2. In Fig. 1 N multiplexer is\nconnected with pink line with the write circuits as it is needed\nonly for write operation. On the other hand, P multiplexer is\nconnected on both read and write circuits, thus a black line\nis used on the figure. The write circuits are shown on Fig. 1\nwith WR blocks.\nAs far as the read operation is concerned, a novel sense am-1T1RGuardring VSSFig. 4. Generated 128\u0002128 RRAM array layout. On the top right of the\npicture, a zoomed area is shown (poly, metal 1, metal 4). It depicts a memory\ncell (1T1R) and the shared connections.\nplifier has to be designed. In contrast to conventional SRAM\nsense amplifiers and other sense amplifiers for NVMs, which\napply a minimum voltage of 1=3VDD or greater on the bit\nline [16]–[18], the sense amplifier of the proposed RRAM has\nto maintain an extremely small voltage on P line throughout\nthe whole read operation, in order not to change the resistive\nstate of the memristor. Potentially, no precharge circuit is\nrequired in contrast to SRAM implementations [3]. The sense\namplifiers array is shown on Fig. 1 with SA blocks. These\noperations require a control logic circuit that will supervise the\nwhole operation of the RRAM circuits. Besides the abstract\nsystem architecture presented on this section, further circuits\nare required, such as Tri-state input/output ports. These kind\nof circuits and additional peripheral circuits are essential for\nthe RRAM operation and will be investigated and added at a\nlater stage of the Compiler design.\nIII. C OMPILER ANALYSIS\nThe proposed compiler was created using SKILL language\nwhich can be used to customise and extend Cadence Design\nEnvironment (IC6.1.8) [19], [20]. In this case, multiple SKILL\nscripts and functions have been created and integrated in a\nmain function SKILL script which performs the automatic\nmemory generation and layout verification. Both the main\nfunction and subfunctions are generic functions, highly cus-\ntomisable and reconfigurable.\nThe arguments of the compiler are the MxNdimensions\nand the name of the library where the generated RRAM should\nbe saved. Additionally, the database representation [19] of the\nRRAM cell and the Calibre cell-map file can be provided as\narguments or they can be directly hard-coded.\nA simplified compilation flow is shown on Fig. 5. Initially\nthe compiler creates the schematic, the layout and the symbol\nof a row of RRAM Cells of size 1\u0002N. Based on the row\ncellview, the RRAM macro is generated.\nSubsequently, the compiler creates three folders inside the\ncellview folder for DRC, LVS and PEX respectively. The\nnecessary files (runset files, Spice netlist, GDS) are generated\nby the compiler in order to invoke Calibre DRC, LVS and\nPEX in batch mode through the Command Interpreter Window\n(CIW) of Virtuoso [21], [22]. After these operations are\nfinished, the compiler invokes Calibre View Setup in batch\nmode and creates a Calibre post-layout view of the RRAM.\nThe parasitics output files of the compiler are located inside\nthe cellview folders.\nCADENCE\nVIRTUOSO\nCreate (1,N) RRAM\nRow\nCreate (M,N) RRAM\nArray\nCreate CALIBRE\nrunset filesDRC runset file\nLVS runset file\nPEX runset file\nCalibre V iew Setup\nrunset file\nGenerate Spice, GDS\nfileMENT OR\nCALIBRE\nRun DRC\nRun L VS\nRun PEX\nCalibre V iew Setup Spice netlist\nGDS fileCalibre post layout\nviewC+CC Parasitics\nreport\nMATLAB\nWorst Case C+CCWorst Case Settling\nTimeLine ResistanceFig. 5. Flowchart of RRAM compiler operations.\n0 50 100 150 200 250 300 350 400 450 5000200400600\nN\nSEL\nP0 50 100 150 200 250 300 350 400 450 500012310-12\nSEL\nP\nN\n0 20 40 60 80 100 120681010-10(a)\n(b)\n(c)\nFig. 6. (a) Worst case Capacitance and Cross Capacitance ( C+CC) of lines\nSEL, P, N with respect to the number of Row/Column cells of RRAM. The\nincrease rates are 5.83 fF/cell, 3.31 fF/cell and 2.48 fF/cell for SEL, N and\nP lines respectively. (b) Total calculated resistance of SEL, N, P lines with\nrespect to the number of cells of the line. The increase rate is 1.28 \n/cell for\nSEL line and 0.14 \n/cell for N and P lines. (c) Worst case settling time of\nvoltage across the memristor of a cell. This case is for VN=VDD ,VP=\nGND and SS model library. The curve fits the exponential curve 5:223\u0002\n10\u000010exp(0:004207x).\nThe layout (metals and poly layers) of a generated 128\u0002\n128 RRAM array is presented on Fig. 4. The layout size is\n294.42 µm\u0002642.41 µm.\nIV. R ESULTS\nUsing the compiler, a number of NxNsquare RRAM\narrays were created. By importing the output files of the\ncompiler in MATLAB, the worst case Capacitances and Cross\nCapacitances ( C+CC) were extracted. The results are shown\non Fig. 6a. Fig. 6a shows that C+CC rises linearly with\nrespect to the number of cells of a line. The SEL lines have\ngreater capacitance. The memory cell has greater horizontal\nlength compared to its vertical width with resemblance to the\nmemory cell layout of Fig. 4. Lines N and P are shared verti-\ncally and occupy less area, thus they suffer of less capacitance.\nIt is worth mentioning that the SEL line capacitance does not\ninclude the additional capacitance of the gates of the transistor\nswitches and also that the additional capacitance due to the\nconnections of the cell to the memristor has not been taken\ninto account.Additionally, the worst case scenario settling time of voltage\nacross the memristor on the post layout views of RRAM cells\nwas investigated. This case was found for VDD on N pin and\nVSS on P pin. As it is expected, the worst case was found for\nthe maximum high resistive state where R=5 M \n. Based on\nthese conditions a testbench was set in Virtuoso and a corner\nanalysis was conducted for a number of RRAM arrays. The\nsettling time range was set equal to 1 %of the final value.\nSlowest settling time was achieved for Slow NMOS, Slow\nPMOS (SS) model library. Results are shown on Fig. 6c. For\nsmall array row/column size the settling time is almost stable\naround 550 ps, however as the size grows the settling time\nrises exponentially. This is because both the resistance and\nthe capacitance of the lines increase and therefore the loading\ntime of the line increases.\nBased on the SPICE model of the technology library for\nlayout layers, the resistance of lines SEL, N and P were\ncalculated. N and P lines have the same layout shape, thus\nit was found that they have the same resistance. The results\nare shown on Fig. 6b. The resistance also rises linearly with\nrespect to the number of cells of the line.\nThe RRAM cell used for the results produces arrays of\ndensity equal to 0.082 Mb/mm2for a 180 nm technology. The\nRRAM cells have approximately the same size with SRAM\nimplementations at 180 nm technology. Assuming linear scal-\ning, the RRAM cells follow the scaling trend of SRAM\nimplementations shown in the below table.\nTABLE I\nMEMORIES DENSITY COMPARISON .\nRef. Feature Size Technology Mb/mm2\n[23] 40nm CMOS 0:94\n[24] 45nm CMOS 0:33\n[3] 45nm FreePDK45 0:826\n[25] 65nm CMOS 0:77\nRRAM Compiler1180nm CMOS 0:082\n[26]2180nm CMOS 0:067\n[3] 0:5µm SCMOS 0:005\n1Memory cell array density.2Memory cell size.\nV. C ONCLUSION\nTo the best of our knowledge, this paper has reported the\nfirst open-source memory compiler specifically for RRAM\nwith supporting RRAM architecture. An early version of the\ncompiler has been designed and already been made publically\navailable. Even though, the compiler is a preliminary version,\nit is able to generate large sized arrays and verify their layout.\nThe authors of this document are currently investigating novel\nsuitable circuits to sense the stored value of a memory cell\nwithout altering its resistive state. Next steps include the\nresearch and integration in the compiler of write circuits,\ndecoders and multiplexers.\nBesides providing a powerful tool to academia and industry\nfor boosting memory design time, the authors believe that the\ncompiler will facilitate the research of NVMs and RRAMs\nand their operation.\nACKNOWLEDGEMENT\nThe authors would like to thank Yihan Pan for providing\nthe 1T1R cell for use in this memory compiler. This workwas in part supported by the Engineering and Physical Sci-\nences Research Council (EPSRC) Programme under Grant\nEP/R024642/1.\nREFERENCES\n[1] F. Zahoor et al. , “Resistive random access memory (RRAM): an\noverview of materials, switching mechanism, performance, multilevel\ncell (MLC) storage, modeling, and applications,” Nanoscale research\nletters , vol. 15, pp. 1–26, 2020, [Online].\n[2] A. Chen, “A review of emerging non-volatile memory (NVM) tech-\nnologies and applications,” Solid-State Electronics , vol. 125, pp. 25–38,\n2016, [Online].\n[3] M. R. Guthaus et al. , “Openram: An open-source memory compiler,” in\n2016 IEEE/ACM ICCAD . IEEE, 2016, pp. 1–6, [Online].\n[4] Y . Xu et al. , “A flexible embedded sram ip compiler,” in 2007 IEEE\nISCAS . IEEE, 2007, pp. 3756–3759, [Online].\n[5] T. Shah et al. , “FabMem: A multiported RAM and CAM compiler for\nsuperscalar design space exploration.” 2010, [Online].\n[6] S. Wu et al. , “A 65nm embedded low power SRAM compiler,” in 13th\nIEEE Symposium on DDECS . IEEE, 2010, pp. 123–124, [Online].\n[7] R. Goldman et al. , “Synopsys’ educational generic memory compiler,”\nin10th EWME . IEEE, 2014, pp. 89–92, [Online].\n[8] M. Clinton et al. , “A 5GHz 7nm L1 cache memory compiler for high-\nspeed computing and mobile applications,” in 2018 IEEE ISSCC . IEEE,\n2018, pp. 200–201, [Online].\n[9] S. Maheshwari et al. , “Hybrid CMOS/memristor circuit design\nmethodology,” 2021. [Online]: http://arxiv.org/abs/2012.02267\n[10] W. A. Wulf et al. , “Hitting the memory wall: Implications of the\nobvious,” ACM SIGARCH computer architecture news , vol. 23, no. 1,\npp. 20–24, 1995, [Online].\n[11] D. Ielmini et al. , “In-memory computing with resistive switching de-\nvices,” Nature Electronics , vol. 1, no. 6, pp. 333–343, 2018, [Online].\n[12] S. Stathopoulos et al. , “An electrical characterisation methodology for\nbenchmarking memristive device technologies,” Scientific reports , vol. 9,\nno. 1, pp. 1–10, 2019, [Online].\n[13] J. J. Yang et al. , “Memristive devices for computing,” Nature nanotech-\nnology , vol. 8, no. 1, pp. 13–24, 2013, [Online].\n[14] D. B. Strukov et al. , “The missing memristor found,” nature , vol. 453,\nno. 7191, pp. 80–83, 2008, [Online].\n[15] E. Lee et al. , “A ReRAM memory compiler with layout-precise perfor-\nmance evaluation,” in 2019 IEEE SOI-3D-Subthreshold Microelectronics\nTechnology Unified Conference (S3S) . IEEE, 2019, pp. 1–3, [Online].\n[16] B. Wicht, Current Sense Amplifiers for Embedded SRAM in High-\nPerformance System-on-a-Chip Designs: For Embedded SRAM in High-\nPerformance System-on-a-Chip Designs . Springer Science & Business\nMedia, 2003, vol. 12, [Online].\n[17] T. N. Blalock et al. , “A subnanosecond clamped-bit-line sense amplifier\nfor 1T dynamic RAMs,” in 1991 International Symposium on VLSI-TSA\n- Proceedings of Technical Papers . IEEE, 1991, pp. 82–86, [Online].\n[18] M.-F. Chang et al. , “Read circuits for resistive memory (ReRAM) and\nmemristor-based nonvolatile Logics,” in The 20th ASP-DAC . IEEE,\n2015, pp. 569–574, [Online].\n[19] Cadence SKILL Language Reference Product Version ICADVM20.1 ,\nCadence Design Systems, San Jose, USA, 2021.\n[20] Cadence SKILL Language User Guide Product Version ICADVM20.1 ,\nCadence Design Systems, San Jose, USA, 2021.\n[21] Calibre® Verification User’s Manual , Siemens Mentor, 2020.\n[22] Calibre® Interactive™ (New GUI) User’s Manual , Siemens Mentor,\n2020.\n[23] S. Miyano et al. , “Highly energy-efficient SRAM with hierarchical bit\nline charge-sharing method using non-selected bit line charges,” IEEE\nJSSC , vol. 48, no. 4, pp. 924–931, 2013, [Online].\n[24] S. O. Toh et al. , “Characterization of dynamic SRAM stability in 45 nm\nCMOS,” IEEE JSSC , vol. 46, no. 11, pp. 2702–2712, 2011, [Online].\n[25] K. Kushida et al. , “A 0.7 v single-supply sram with 0.495 \u0016m2cell in 65\nnm technology utilizing self-write-back sense amplifier and cascaded bit\nline scheme,” IEEE JSSC , vol. 44, no. 4, pp. 1192–1198, 2009, [Online].\n[26] C. A. Kumar et al. , “Performance analysis of low power 6T SRAM cell\nin 180nm and 90nm,” in 2016 2nd International Conference on AEEICB ,\n2016, pp. 351–357, [Online]." }, { "title": "2004.00736v1.Characterization_of_sputtered_hafnium_thin_films_for_high_quality_factor_microwave_kinetic_inductance_detectors.pdf", "content": "Characterization of sputtered hafnium thin \flms for\nhigh quality factor microwave kinetic inductance\ndetectors\nG. Coi\u000bard1;\u0003, M. Daal1, N. Zobrist1, N. Swimmer1, S.\nSteiger1, B. Bumble2and B. A. Mazin1\n1Department of Physics, University of California, Santa Barbara, California\n93106, USA\n2NASA Jet Propulsion Laboratory, Pasadena, California 91109, USA\nE-mail:\u0003gcoiffard@ucsb.edu\n3 April 2020\nAbstract. Hafnium is an elemental superconductor which crystallizes in a\nhexagonal close packed structure, has a transition temperature T C'400 mK,\nand has a high normal state resistivity around 90 µ\n cm. In Microwave Kinetic\nInductance Detectors (MKIDs), these properties are advantageous since they\nallow for creating detectors sensitive to optical and near infra-red radiation. In\nthis work, we study how sputter conditions and especially the power applied to\nthe target during the deposition, a\u000bect the hafnium T C, resistivity, stress, texture\nand preferred crystal orientation. We \fnd that the position of the target with\nrespect to the substrate strongly a\u000bects the orientation of the crystallites in the\n\flms and the internal quality factor, Q i, of MKIDs fabricated from the \flms. In\nparticular, we demonstrate that a DC magnetron sputter deposition at a normal\nangle of incidence, low pressure, and low plasma power promotes the growth of\ncompressive (002)-oriented \flms and that such \flms can be used to make high\nquality factor MKIDs with Q iup to 600,000.\nSubmitted to: Supercond. Sci. Technol.arXiv:2004.00736v1 [physics.ins-det] 1 Apr 20202\n1. Introduction\nMicrowave Kinetic Inductance Detectors (MKIDs) [1]\nare superconducting detectors capable of measuring\nthe arrival time and energy of single photons.\nDetection of individual photons from infrared [2{\n4] to x-rays [5] have been demonstrated using\nMKIDs. In these detectors, energy deposited onto the\nsuperconducting \flm breaks Cooper pairs into excited\nelectrons (quasiparticles) whose presence a\u000bects the\ntotal kinetic energy of the super current. The\nsuperconducting \flm is patterned into a resonant\ncircuit, and changes in the kinetic energy of the super\ncurrent manifest as changes in surface inductance,\nLs, of the resonant circuit. The resonant circuit is\nprobed near its resonant frequency and the phase\nand amplitude of the transmitted probe signal are\nmonitored for changes as quasiparticles are created.\nA small superconducting gap energy, 2\u0001 0, and a\nlarge kinetic inductance fraction are needed to make\nsensitive, responsive MKIDs. A small gap allows\nmore quasiparticles to be created when a photon hits\nthe detector resulting in greater sensitivity. A large\nsurface inductance, L sa material property related to\nthe kinetic inductance via a geometric proportionality\nconstant, is valuable since the response of the detector\nis proportional to the fraction of kinetic inductance to\ntotal inductance in the resonator.\nAccording to the BCS theory of superconductivity\n[6], the transition temperature of the superconductor,\nTC, is related to the gap energy as 2\u0001 0= 3:52kBTC.\nAssuming that the \flm is thin compared to its\npenetration depth, it can be derived [7] that\nLs=~\n\u0019\u001an\n\u00010t=~\n\u0019Rs\n\u00010(1)\nwheretthe \flm thickness and \u001an(Rs) is the\nnormal state resistivity (sheet resistance) just prior to\nthe superconducting transition. Note that the easily\nmeasurable T Ccan be substituted for \u0001 0, assuming\nBCS superconductivity. Choosing a superconductor\nwith a large L s\u001510 pH=\u0003(high\u001an) and low T C\u0014\n1 K (low gap) allows us to design lumped element\nresonators in the 4-8 GHz band sensitive to optical and\nnear infra-red photons.\nOnly a few superconductors are known to posses\nrelatively low T C, relatively high \u001an, and make high\nquality resonators. Examples include TiN x[2,8], PtSi x\n[3] and granular aluminum [9]. Our interest in hafnium\nthin \flms stems from the fact that it has a low T C\naround 400 mK, a high \u001an, and, as we have shown in\na previous paper, can create resonators with Q iup to\n190 000 [10]. Moreover, a material with a larger L s\ncan be made thicker for a given detector responsivity,\nwhich allows for more \rexible resonators designs and\ncould help to reduce noise due to phonon escape from\nFigure 1. Diagram of the two di\u000berent geometries of the\nsputter system. The hafnium target is either tilted by an angle\nof 19:2°o\u000b the perpendicular or at a normal incidence (90 °)\ngeometry. The corresponding distance between the center of\nthe 75 mm diameter target and the substrate are 19 :5 cm and\n18:0 cm respectively. In the tilted gun con\fguration, the distance\nbetween the center of the target and the edge of the substrate\nwhere the plume is aimed is 15 :4 cm. All the distances are to\nscale.\nthe superconductor [11]. Additionally, as an elemental\nsuperconductor, we can reasonably expect to obtain\nhighly uniform \flms across the wafer, in contrast to\nreactively sputtered TiN xwhere the nitrogen gas \row\ndistribution a\u000bects the superconducting gap uniformity\nof the deposited material [12, 13]. PtSi xalso has its\ndisadvantages as Pt is an expensive material and the\nprocess requires a very precise control of the Pt and Si\ndeposition rates as well as a \fne control of the in-situ\nannealing of the bi-layer.\nIn order to improve hafnium MKID array\nperformance, the research we present here is focused\non better understanding the material properties and\ndeposition conditions best suited for the fabrication\nof high quality factor hafnium resonators. This\nwork adds to the existing literature in hafnium thin\n\flms developed for superconducting tunnel junction\ndetectors [14,15] and transition edge sensors [16].\n2. Hafnium Film Deposition\nHafnium \flms are deposited on 100 mm diameter a-\nplane sapphire substrates in a load-locked ultra-high\nvacuum AJA ATC-2200 sputtering system with a\ntypical base pressure of 6 \u000210\u000010Torr. The argon\nworking gas cylinder has a certi\fed purity of 6N and it\ngoes through an additional gas puri\fer before entering\nthe sputter chamber. The hafnium sputter target used\nhas a nominal purity of 3N5 (99.95 wt%), but the\nactual ingot chemistry was measured to have 0.16 wt%\nzirconium; additionally, impurities measuring above 13\nFigure 2. Top Left The map shows the percent variation of\nthe sheet resistance over a 400wafer for a 125 nm thick hafnium\n\flm (400contour in black and wafer \rat is facing up) deposited\nat 2:5 mTorr and 40 W and at a normal incidence. The average\nsheet resistance is 10 :20 \n=\u0003. The color scale shows the percent\nvariation of the resistivity from the center of the wafer. Top\nRight Sheet resistance for hafnium deposited at di\u000berent power\nand angle. The error bars represents the standard deviation over\nthe wafer. The data point from N. Zobrist et al. [10] is also shown\nfor comparison even though a di\u000berent sputter system was used.\nThe superconducting transition temperature ( Bottom Left ) and\ncalculated kinetic inductance using equation 1 ( Bottom Right )\nfor the same \flms are also given.\nppm-wt are 55 ppm-wt oxygen, 16 ppm-wt carbon, 6.6\nppm-wt niobium, and 1.4 ppm-wt iron. Two di\u000berent\ngun-substrate con\fgurations were used for the hafnium\n\flm depositions (Figure 1). One where the sputter\ngun is tilted at an angle of 19 :2°o\u000b normal and the\ndistance between the center of the target and the\nclosest point to it on the substrate is 15 :4 cm. Another,\nwhere the target is concentric with the substrate and\nthere is 19:5 cm vertical distance between their centers.\nFor each con\fguration, the substrate is rotating. All\nguns have a balanced magnet con\fguration and 75 mm\ndiameter target. The deposition pressure and DC\npower are varied within the ranges 1-15 mTorr and 20-\n660 W respectively. Argon \row is kept at 30 sccm for\nall depositions. The deposition rate for each condition\nis measured with a step pro\flometer and is used to\ndeposit 125 nm thick hafnium \flms. This thickness\ngives the resonators on our fabrication mask the total\ninductance required to achieve their designed resonant\nfrequency.\n3. Hafnium Film Characterization\nSuperconducting transition temperature. The super-\nconducting transition temperature for each sample is\nmeasured in a Leiden Cryogenic dilution fridge with a\nbase temperature of 60 mK, and they are given in the\nFigure 2. The T Cof the \flms are found to be between\n435 mK and 355 mK depending on the deposition con-ditions. We measure a residual-resistivity ratio (RRR),\nbetween room temperature and just above the super-\nconducting transition temperature, of 1.6 for the \flms\ndeposited at a normal angle of incidence and 2.1 for the\nones deposited at 19 :2°o\u000b perpendicular (tilted con\fg-\nuration). The RRR value is used to connect the low\ntemperature resistivity or sheet resistance to the value\nwe measured at room temperature. All T Cand RRR\nmeasurements are done on \flm samples taken from the\ncenter of the wafers.\nSheet resistance. A CDE ResMap 4-point probe\nstation mapper is used to measure the sheet resistance,\nRs, of the hafnium \flms across the 100 mm substrates.\nFrom that data, we can quantify the \flm's uniformity\nand compute resistivities. Equation 1 implies that\nvariations in the normal state sheet resistance just prior\nto transition accord to variations in gap parameter \u0001 0\n(TC) and L sacross the wafer. Gap parameter and\nLsvariations result in pixel to pixel sensitivity and\nenergy resolution variations as well as shifted resonant\nfrequencies across the array. These e\u000bects result in a\nlower number of usable pixels. Consequently, obtaining\nsuperb sheet resistance uniformity is a fabrication\npriority.\nFigure 2 presents a map of the sheet resistance we\nmeasure across a 100 mm wafer (the probe does not\nmeasure the resistance near the edge). The bulls-eye\npattern is typical for all sputter conditions, though the\nuniformity we measured ranges from 7.0 % in the tilted\ncondition, to about 12.2 % for the normal condition.\nTable 1 summarizes the typical percent sheet resistance\nuniformity, (R s;Max \u0000Rs;Min )=Rs;Avg , across the\n100 mm wafer for each sputter condition. Our hafnium\nuniformity is comparable the the uniformity we get for\nPtSi x[17], and much better than for sub-stoichiometric\nTiN xwhich was never found to be better than \u001820 %\ndespite many optimizations in the deposition process\n[18]. We believe that our sheet resistance uniformity\nis improvable by using a larger sputter target and\npositioning the substrate farther away from it during\ndeposition, but it will be necessary to check that such\nchanges do not negatively impact the resonator quality\nfactors.\nThe kinetic inductance of the \flms is calculated\nusing equation 1 and the measured R sand T C; Figure\n2 shows the value of the surface inductance for the\ndi\u000berent deposition conditions. It is found to be in the\nrange 15-20 pH =\u0003.\nCrystallography. The crystallographic orienta-\ntions and texture of the \flms are determined with a\nPanalytical XPERT MRD (Materials Research Di\u000brac-\ntometer) PRO equipped with a Pixcel 3D X-ray di\u000brac-\ntometer detector. The X-ray di\u000braction (XRD) pat-\nterns of 125 nm thick hafnium \flms grown on sapphire\nat 5 di\u000berent plasma powers at a normal incidence an-4\nFigure 3. left2\u0012\u0000!scans of 125 nm thick hafnium \flms on sapphire deposited at di\u000berent gun tilts and plasma powers. The\npatterns are shifted on the logarithmic intensity scale for clarity. top right The ratio of intensity of the (010) peak to the (002) in\npercent as function of the deposition power is given. bottom right (a) and (b) Pole Figure of (010) and (002) for the \flm deposited\nin the tilted gun con\fguration. (c) and (d) Pole \fgure of the (010) and (002) for the \flm deposited at 660 W at a normal incidence.\nThe intensity colormap is shown in a square root scale for clarity.\ngle are shown in Figure 3. A di\u000braction pattern for\nan hafnium \flm deposited with the tilted gun con\fgu-\nration is also given (in red). The analyses were made\nin the 2\u0012\u0000!mode between 30 °-37 °. The patterns\nshow that the \flms are in the hexagonal phase [19,20]\nand are strongly oriented along the (010), m-plane, and\n(002), c-plane, axes since only those two peaks are vis-\nible (no other peaks are visible when the analysis is\nperformed on the full 20-80 °except the one from the\nsapphire substrate). The two peaks are shifted toward\nlower angles from the theoretical peak position (ver-\ntical dashed lines at 32 :3°and 35:5°) which indicates\nthat the \flms are under compression. Assuming our\nhafnium is predominantly polycrystalline and only ori-\nented along two axes, the fraction of (010) and (002)\ncrystal in the \flm can be computed by comparing the\nintensities of the peaks. Figure 3 shows the percentage\nof (010)-oriented crystals in the \flm as a function of\nthe plasma power used for the deposition. We show\nthat by changing the plasma power during the deposi-tion we are able to control the preferred orientation of\nthe hafnium from a 3% (100)-oriented \flm at 20 W to\n61.1% at 660 W.\nAssuming the di\u000braction peaks are only broadened\ndue to the size of the crystallites, the Scherrer formula,\nD=K\u0015\n\fcos(\u0012)[21], can be used to estimate their sizes.\nDis the size of the crystallite, Kis the shape factor\nand typically equals 0 :9,\u0015= 0:1546 nm is the x-ray\nsource wavelength, \fis the full width at half maximum\nof the peak in radian and \u0012is the Bragg angle in\nradian. For the \flms deposited at a normal incidence,\nthe crystallites' dimensions range from 12 nm to 30 nm,\nand their dimensions are about 40 nm for the hafnium\ndeposited at an angle of 19 :2°.\nWe performed a texture measurement to under-\nstand the rotational orientation of the crystallites,\nwhich cannot be ascertained by the 2 \u0012\u0000!peaks alone.\nIn a pole \fgure, the intensity of the signal is propor-\ntional to the number of grains oriented along a partic-\nular direction, and a peak at the center corresponds5\n0 1 2 3 4 5\nPressure [mT]-1800-1400-1000-600-200200600Stress [MPa]\nDeposition power 100 W\n0 100 200 300 400 500 600 700\nPower [W]\nDeposition pressure 2.5 mT\nnormal\ntiltedcompressive tensile\nFigure 4. Average stress in the hafnium \flms deposited on\na 400wafer for the two deposition con\fgurations. Left At a\n\fxed deposition plasma power of 100 W and within the 1-5 mTorr\npressure range. Right At a deposition pressure of 2 :5 mTorr and\nfor deposition power between 20 W and 660 W. The error bars\nrepresent the standard deviation of the measurement.\nto crystal oriented perpendicular to the surface of the\n\flm. The very weak signal in the center of the (010)\npole \fgure, Figure 3 (a), shows that for the hafnium\ndeposited at a gun angle of 19 :2°the crystal planes are\nnot growing perpendicular to the substrate but tilted\nby 55 °. The (002) pole \fgure, Figure 3 (b), exhibits\na strong peak at the center meaning that the crystal-\nlites are growing perpendicular to the substrate. In\nthe case of the \flm deposited at a normal incidence of\n90°, Figure 3 (c) and (d), the crystallites are mostly\nperpendicular to the substrate even though a fraction\nof them are tilted by 55 °.\n\flm stress. The average stress of a 125 nm thick\nhafnium \flm deposited on a 400diameter substrate\nis determined by measuring the change in radius of\ncurvature prior to and after deposition by using a\nTencor Flexus tool. Figure 4 shows the stresses\nobtained for a wide range of deposition conditions.\nAt a \fxed deposition plasma power of 100 W and\nfor the tilted gun geometry, the stress changed from\ncompressive to tensile when the pressure is increased.\nAt a normal angle of incidence, only compressive \flms\ncan be deposited within the 1-5 mTorr pressure range.\nThe stress for the \flms deposited at 2 :5 mTorr and\na power between 20 and 660 W are always found to\nbe compressive. In the case of the \flms deposited at\na 90 °angle, the stress in the \flms is between \u0000800\nand\u00001700 MPa. Compressive hafnium \flms have been\npreviously been studied by, Turner et al. [22], and have\nbeen used for the fabrication of the high performance\nMKID array by Zobrist et al. [10].\nAt low deposition pressures or short target-to-\nsubstrate distance, sputtered atoms impinge upon the\nsubstrate without having lost energy to scattering\nevents. The impinging atoms can have enough energy\nto create local defects as a result of the shockwave\ncreated by their impact in a phenomena known as\npeening. Peening provides a mechanism for the growth\nFigure 5. SEM images of the surface and cross section of\nhafnium \flms deposited at 350 W and 2 :5 mTorr with a gun tilt\nof 19:2°(top) and with a normal angle of incidence ( bottom )\nThe dark patches on the surface of the normal con\fguration are\nunidenti\fed.\nof dense, compressive \flms [23{25]. While we have not\nmeasured the density of our \flms, their tendency to\nhave giga-pascal scale compressive stress, our sputter\npressure, and the target-substrate geometry lead us\nto suspect that peening plays a dominant role in the\ngrowth of our \flms.\nCuriously, we \fnd that the stress of our \flms as\na function of the sputter power follows two di\u000berent\ntrends depending on the sputter gun con\fguration.\nIncreasing the powers gives decreasing stress for the\nnormal incidence con\fguration while the opposite is\ntrue for the tilted gun con\fguration. Meanwhile, we\n\fnd that the only way to obtain tensile \flms is to\nincrease the deposition pressure. We expect that in\nthis situation the impinging atoms reach the substrate\nwith an insu\u000ecient energy to move to sites forming a\nclosely packed atomic arrangement resulting instead in\na porous, void-rich microstructure [26].\nFilm Morphology. The surface and cross section of\nhafnium \flms are shown in Figure 5. Columnar growth\nis observed in all \flms and the crystallites are contained\nwithin the columns. Measuring from the SEM images,\nthe crystalline grains are approximately 32 nm for the\ntilted gun sputter con\fguration and 17 nm for the\nnormal con\fguration. This accords with the estimate\nbased on the Sherrer formula.\nWe also remark that the hafnium \flms readily\ncharge up when imaged in the SEM. This is unusual\nfor a metal. The \flm deposited at 90 °shows some dark\nareas on the surface that have not been identi\fed.\nOptical Film Properties. The room temperature\noptical re\rectance, transmittance and absorbance have\nbeen measured within the 200 nm-2000 nm range with\na Shimadzu UV3600 spectrometer equipped with an\nintegrating sphere. The spectra are plotted in Figure\n6. This room temperature measurement allows us6\nTarget Power R s Rs TC LsI(010)\nI(002)\u001b Qi\n[W] [\n =\u0003] uniformity [mK] [pH =\u0003] % [MPa]\nNormal con\fguration\n660 7 :30\u00060:28 11.6 % 385 15.3 61.1 % \u0000722\u000650 325 000 \u000630 000\n350 7 :60\u00060:32 12.2 % 364 17.0 48.7 % \u0000820\u000642 174 000 \u000617 000\n100 8 :25\u00060:33 11.3 % 403 16.5 19.3 % \u00001314\u000650 405 000 \u000622 000\n40 10 :20\u00060:25 7.3 % 410 20.6 3.7 % \u00001408\u000651 605 000 \u000680 000\n20 8 :35\u00060:22 8.1 % 435 15.8 3.0 % \u00001432\u000648 515 000 \u000695 000\nTilted con\fguration\n350 6 :46\u00060:13 7.0 % 355 13.2 2.0 % \u00001154\u000671 16 800 \u00062000\nNormal con\fguration - Zobrist et al. [10]\n125\u00038:11\u00060:26 12.1 % 395 16.7 38 % \u00001236 190 000\nTable 1. Summary of the properties of 125 nm hafnium \flms deposited at 2 :5 mTorr argon pressure, with di\u000berent plasma powers\nand gun angles. Resistivity (with standard deviation over the wafer) and T C(\u00065 mK thermometer calibration) at the center of\nthe wafer, preferred crystal orientation and stress (with standard deviation over the wafer) in the \flms. The average and standard\ndeviation of the internal quality factor Q ifor MKIDs made out of the speci\fc \flms are given. The device studied in Zobrist et\nal. [10] was fabricated at JPL. That hafnium was DC magnetron sputtered at normal incidence using a 600target at 2:5 mTorr.\u0003We\nscaled the 350 W power used on the 600target to what it would be on a 300target keeping power density \fxed and it gives a power\nof 125 W.\n50100\nHf\nPtSi\n01020Transmittance [%]\n200 400 600 800 1000 1200 1400 1600 1800 2000\nWavelength [nm]050Absorbance [%] Reflectance [%]\nFigure 6. Optical re\rectance, transmittance and absorbance\nmeasurement of a 245 nm hafnium and 60 nm PtSi x\flms\ndeposited on sapphire substrates. The PtSi xspectra are given for\ncomparison. The discontinuity at 800 nm comes from a change\nof the light source inside the spectrometer\nto set an upper limit to the quantum e\u000eciency of\nthe material for use in detectors sensitive to these\nwavelengths. Because these measurements are made\nat room temperature, we adjust the \flm thicknesses tomatch the resistivity of a 125 nm thick \flm just above\nthe superconducting transition temperature. Using\nRRRs of 1.6 and 1 for hafnium and PtSi xthe spectra\nare acquired from \flms 245 nm and 60 nm thick,\nrespectively. We compare Hf to PtSi xbecause we have\npreviously established that PtSi xis suitable for making\nhigh quantum e\u000eciency MKIDs sensors [3,4]. We \fnd\nthat the Hf has a lower transmittance than PtSi x, but\nthey have similar re\rectance and absorbance.\n4. Resonator Fabrication\nTo make the MKIDs, 125 nm thick Hf \flms \frst\nundergo a dehydration bake at 135\u000eC. We then spin\non a 80 nm thick layer of DUV-42P6 adhesion promoter\nfollowed by a 800 nm thick layer of UV6-0.8 imaging\nphotoresist. The resist is patterned with and MKID\ntest geometry using an ASML PAS 5500/300 DUV\nstepper which has a resolution better than 200 nm\nfor dense patterns. The resist is developed in AZ\nMIF 300 and the adhesion promoter layer is removed\nin the etch chamber as part of hafnium etch. The\nhafnium is etched in a PlasmaTherm SLT 700 reactive\nion etcher (RIE) which has 400parallel plates and\nwater cooling to 20\u000eC for the substrate. (We note\nthat wafer temperature never exceeded 41\u000eC during\nthe etch and deposition for all deposition conditions.)\nThe etch recipe is BCl 3and Cl 2\rowed at 60 sccm and7\nFigure 7. SEM images of the pro\fle of a hafnium \flm etched\nby reactive ion etching in a mixture of BCl 3/Cl2.\n40 sccm, respectively; 5 mTorr process pressure; 100 W\nRF power at 13 :56 MHz. The etch rate was measured\nwith a step pro\flometer and is found to be 0 :41 nm=s\nand uniform over a full 400wafer. The resist is then\nremoved with solvents, gold bond pads are added (via a\nlift-o\u000b process) on the side of the chip to ensure a good\nthermalization of the devices to the bath temperature\nand \fnally diced. The chip dimensions are 13 \u000213 mm.\nThe etch pro\fle is an important parameter to\ncontrol to make MKID devices. The smallest feature\non our lumped element test geometry is the gap\nbetween the meandered inductor trace which is 500 nm\nwide. Vertical walls are needed to avoid shorts.\nFigure 7 shows SEM images of the etch pro\fle of an\nhafnium \flm deposited on a silicon substrate. Our\netch process produces sidewalls that are approximately\n110 °. Occasional etch residue, which degrades MKID\nperformance [27], is observed in the etch trenches and\non the etch side wall.\nThe resonators are designed to have resonant\nfrequencies around 5 GHz and to have a spacing of\n2 MHz. In order to compare the performances of each\nchip, nine resonators with a simulated coupling quality\nfactor Q Cof 40 000 are analyzed.\n5. Resonator Measurements\nThe chips are cooled down in a BlueFors dilution\nrefrigerator to a base temperature of \u001812 mK.\nResonators are \frst found manually with an Agilent\n20 GHz vector network analyzer. Then, an automated\nreadout system which uses a synthesizer and digitizer is\nused to \fnd the power at which each resonator starts to\nbifurcate [28]. This system then acquires the complex\nIQ transmission data by sweeping the microwave probe\nthrough the resonance from low to high frequency using\na probe power that is 14 dBm below bifurcation. The\nresulting IQ curves are \ftted with the model proposed\nby Khalil et al. [29]. From the \ftted curves, the\nintrinsic quality factor of each resonator is computed.\nAn MKID test chip was fabricated out of the Hf\n\flm produced by each sputter condition. In Figure 8,\nwe plot the average Q iof the nine resonators described\nin the previous section for these sputter conditions. All\nFigure 8. Except for the Zobrist et al. point, each data point\nis the average internal quality factor from nine resonators on a\nsingle test chip made from a Hf \flm deposited at the power\nindicated on the x axis. From chip to chip, the same nine\nresonators are included in the average. The quality factor for\nthe \flm deposited at an angle of 19 :2°is also shown. The error\nbars represent the statistical standard deviation of the internal\nquality factor of the resonators. The Zobrist et al. point comes\nfrom the average over 10 resonators (also around 5 GHz) of a\nslightly di\u000berent resonator geometry from a di\u000berent MKID test\nchip mask.\nthe hafnium MKIDs made from \flms deposited in the\ntilted gun con\fguration gave low quality resonators\nwith Qi<17 000. We are able to obtain Q ias high\nas 600 000 in the normal incidence gun con\fguration,\nat low power.\nWe \fnd that our hafnium resonators have Q ion\npar with that measured from PtSi x[17]. The same one\nlayer MKID test geometry was used for both the PtSi x\nand Hf \flm resonators.\n6. Conclusions\nIn conclusion, we have studied the properties of\nsputtered hafnium \flms and MKIDs made from them\nwhile varying several sputter deposition parameters.\nWe have shown that we are able to control the crystal\norientation by growth conditions. Sputtered hafnium\ngrows along the (010), m-plane, and (002), c-plane,\ncrystal planes, and deposition at a normal angle of\nincidence promotes crystal growth perpendicular to the\nsubstrate. By decreasing the deposition power, the \flm\ntends to be mostly (002)-oriented.\nWhen the hafnium is sputtered at a normal angle\nof incidence to the substrate, we are able to make\nMKID resonators with internal quality factor (Q i) as\nhigh as 600 000. We have observed this e\u000bect in two\ndi\u000berent sputter systems. Such Q iis about a factor of\n3 higher than the Q is achieved in our previous hafnium\nMKID paper [10]. We have shown that resonator\nQiincreases with decreasing the sputter power; this8\ncoincides with an increase in the (002) plane to (010)\nratio, compressive \flm stress, and smaller crystals\ngrains as measured by scanning electron microscope.\nWhen the hafnium is deposited at a gun angle of 19 :2°,\n17 000 is the highest resonator Q iwe can obtain.\nThe high Q iresonators have a superconducting\ntransition temperature of 435 mK and a surface\ninductance of 16 pH =\u0003. From our optical re\rectance\nmeasurement, we expect the quantum e\u000eciency of\nsputtered Hf MKIDs for the detection of photons in the\nUVOIR band to be on par with PtSi xresonators [17]\nwhich we currently use in our detector arrays [3,4]. The\nsheet resistance uniformity obtained with hafnium is\nin line with PtSi xand routinely better than TiN xand\nmethods for improving it are clear, e.g., using a larger\ntarget and placing the substrate farther away from it.\nOur research has not determined why a certain\ncombinations of sputter gun angle, pressure, and power\nyield to high Q iMKID resonators, but we have\nidenti\fed \flm characteristics, such as crystal structure,\ntexture, \flm stress, and grain size which correlate with\nhigh Q i.\nAcknowledgment\nThis work was supported by the NASA APRA program\nunder grant NNX16AE98G. N.Z. was supported\nthroughout this work by a NASA Space Technology\nResearch Fellowship. The research reported here\nmade use of shared facilities of the UCSB MRSEC\n(NSF DMR 1720256), a member of the Materials\nResearch Facilities Network (www.mrfn.org). The\nauthor would like to thank Youli Li from the UCSB\nMaterial Research Laboratory for his great help with\nthe X-ray di\u000braction measurements and analysis.\nReferences\n[1] Day P K, LeDuc H G, Mazin B A, Vayonakis A and\nZmuidzinas J 2003 Nature 425817{821\n[2] Mazin B A, Meeker S R, Strader M J, Szypryt P, Marsden\nD, van Eyken J C, Duggan G E, Walter A B, Ulbricht G,\nJohnson M, Bumble B, OBrien K and Stoughton C 2013\nPublications of the Astronomical Society of the Paci\fc\n1251348\n[3] Meeker S R, Mazin B A, Walter A B, Strader P, Fruitwala\nN, Bockstiegel C, Szypryt P, Ulbricht G, Coi\u000bard G,\nBumble B, Cancelo G, Zmuda T, Treptow K, Wilcer N,\nCollura G, Dodkins R, Lipartito I, Zobrist N, Bottom M,\nShelton J C, Mawet D, van Eyken J C, Vasisht G and\nSerabyn E 2018 Publications of the Astronomical Society\nof the Paci\fc 130065001\n[4] Szypryt P, Meeker S R, Coi\u000bard G, Fruitwala N, Bumble B,\nUlbricht G, Walter A B, Daal M, Bockstiegel C, Collura\nG, Zobrist N, Lipartito I and Mazin B A 2017 Opt.\nExpress 2525894{25909\n[5] Ulbricht G, Mazin B A, Szypryt P, Walter A B, Bockstiegel\nC and Bumble B 2015 Applied Physics Letters 106\n251103[6] Bardeen J, Cooper L N and Schrie\u000ber J R 1957 Phys. Rev.\n108(5) 1175{1204\n[7] Zmuidzinas J 2012 Annual Review of Condensed Matter\nPhysics 3169{214\n[8] Leduc H G, Bumble B, Day P K, Eom B H, Gao J,\nGolwala S, Mazin B A, McHugh S, Merrill A, Moore\nD C, Noroozian O, Turner A D and Zmuidzinas J 2010\nApplied Physics Letters 97102509\n[9] Valenti F, Henriques F, Catelani G, Maleeva N, Gr unhaupt\nL, von L upke U, Skacel S T, Winkel P, Bilmes A, Ustinov\nA V, Goupy J, Calvo M, Beno^ \u0010t A, Levy-Bertrand F,\nMonfardini A and Pop I M 2019 Phys. Rev. Applied\n11(5) 054087\n[10] Zobrist N, Coi\u000bard G, Bumble B, Swimmer N, Steiger S,\nDaal M, Collura G, Walter A B, Bockstiegel C, Fruitwala\nN, Lipartito I and Mazin B A 2019 Applied Physics\nLetters 115213503\n[11] Korozerov A G, Wigmore J K, Martin D, Verhoeve P and\nPeacock A 2008 Journal of Low Temperature Physics\n15151{57\n[12] Vissers M R, Gao J, Kline J S, Sandberg M, Weides M P,\nWisbey D S and Pappas D P 2013 Thin Solid Films 548\n485{488\n[13] Ohya S, Chiaro B, Megrant A, Neill C, Barends R, Chen\nY, Kelly J, Low D, Mutus J, OMalley P J J, Roushan\nP, Sank D, Vainsencher A, Wenner J, White T C, Yin\nY, Schultz B D, Palmstrm C J, Mazin B A, Cleland\nA N and Martinis J M 2014 Superconductor Science and\nTechnology 27015009\n[14] Kim S H, Jeong H S, Kiuchi K, Kanai S, Onjo T, ichi\nTakemasa K, Takeuchi Y, Ikeda H, Matsuura S, Sato H,\nHazumi M and Kim S B 2012 Physics Procedia 37667\n{ 674 proceedings of the 2nd International Conference\non Technology and Instrumentation in Particle Physics\n(TIPP 2011)\n[15] Kraft S, Peacock A J, Bavdaz M, Castelletto B, Collaudin\nB, Perez D, Venn R and Harper T E 1998 Use of\nhafnium-based superconducting tunnel junctions as high-\nresolution spectrometers for x-ray astronomy EUV, X-\nRay, and Gamma-Ray Instrumentation for Astronomy\nIXvol 3445 ed Siegmund O H W and Gummin M A\nInternational Society for Optics and Photonics (SPIE)\npp 226 { 235\n[16] Lita A, Calkins B, Pellochoud L, Miller A and Nam S 2009\nAIP Conference Proceedings 1185\n[17] Szypryt P, Mazin B A, Ulbricht G, Bumble B, Meeker S R,\nBockstiegel C and Walter A B 2016 Applied Physics\nLetters 109151102\n[18] Vissers M R, Gao L, Wisbay D S, Hite D A, Tsuei C C,\nCorcoles A D, Ste\u000ben M and Pappas D P 2010 Applied\nPhysics Letters 97\n[19] Massalski T 1990 Hf (Hafnium) Binary Alloy Phase\nDiagrams (ASM International)\n[20] McMurdie H F, Morris M C, Evans E H, Paretzkin B,\nWong-Ng W, Zhang Y and Hubbard C R 1987 Powder\nDi\u000braction 24152\n[21] Patterson A L 1939 Phys. Rev. 56(10) 978{982\n[22] Hunacek J, Bock J, Bradford C M, Butler V, Chang\nT C, Cheng Y T, Cooray A, Crites A, Frez C, Hailey-\nDunsheath S, Hoscheit B, Kim D W, Li C T, Marrone D,\nMoncelsi L, Shiroko\u000b E, Steinbach B, Sun G, Trumper I,\nTurner A, Uzgil B, Weber A and Zemcov M 2018 Journal\nof Low Temperature Physics 193893{900\n[23] D'Heurle F M 1970 Metallurgical and Materials Transac-\ntions B 1725{732\n[24] Windischmann H 1992 Critical Reviews in Solid State and\nMaterials Sciences 17547{596\n[25] Koch R 2010 Surface and Coatings Technology 204 1973\n{ 1982 ISSN 0257-8972 proceedings of the European\nMaterials Research Socierty (E-MRS)Spring Meeting9\n2009\n[26] Al-masha'al A, Bunting A and Cheung R 2016 Applied\nSurface Science 371571 { 575 ISSN 0169-4332\n[27] Sandberg M, Vissers M R, Kline J S, Weides M, Gao J,\nWisbey D S and Pappas D P 2012 Applied Physics\nLetters 100\n[28] Jiansong G 2008 The Physics of Superconducting Mi-\ncrowave Resonators Ph.D. thesis Caltech\n[29] Khalil M S, Stoutimore M J A, Wellstood F C and Osborn\nK D 2012 Journal of Applied Physics 111054510" }, { "title": "1001.4942v1.An_anomalous_butterfly_shaped_magnetoresistance_loop_in_an_alloy__Tb4LuSi3.pdf", "content": " 1 An anomalous ‘butterfly’-shaped magnetoresistance loop in an alloy, Tb 4LuSi 3 \n \nK. Mukherjee, Sitikantha D Das, Niharika Mohapatra, Kartik K Iyer and E.V. Sampathkumaran * \nTata Institute of Fundamental Research, Homi Bhabha Road, Colaba, Mumbai 400005, India \n \nMagnetic field ( H) induced first-order magnetic transition and the associated e lectronic phase-\nseparation phenomena are active topics of research in magnetism. M agnetoresistance (MR) is a \nkey property to probe these phenomena and, in literature, a butterfly -shaped MR loop has been \nnoted while cycling the field, with the envelope curve lying below the virgin curve in MR versus \nH plots of such materials. Here, we report an opposite behavior of MR loop for an alloy, \nTb 4LuSi 3, at low temperatures (<<20 K) in the magnetically ordered state. Such an anomalous \ncurve reveals unexpected domination of higher resistive high-field phase in electrical conduction, \nunlike in other materials where conduction is naturally by low-resistive high-field phase that \nfollows first-order transition. The observed features reveal an unusual electronic phase \nseparation, namely involving high-resistive high-field phase and low-resistive virgin phase. \nPACS numbers: 75.30.Kz; 72.15.Eb \n \n \n(Communicated for publication on 16 Dec 2010) 2 \nThe phenomenon of magnetic phase co-existence following a travel through a first-order \nmetamagnetic transition has been actively studied for more than a decade in many materials, \nparticularly in the context of the physics of manganites [1]. Gene rally speaking, in all such \nmagnetic materials known to date, at a given temperature ( T), an externally applied magnetic \nfield ( H) transforms ‘less electrically conductive’ antiferromagnetic phase to a ‘more \nconductive’ ferromagnetic phase at the first-order transition, res ulting in negative \nmagnetoresistance (MR defined as { ρ(H)-ρ(0)}/ ρ(0), where ρ is electrical resistivity). If the \nmagnetic field is gradually reduced to zero, the variation of ρ with H can be hysteretic, in which \ncase a lower value of ρ compared to that for the virgin state has been naturally observed with the \nvalue of ρ after returning the field to zero depending on the fractions of thi s ‘supercooled’ high-\nfield phase and ‘transformed’ virgin phase contributing to electrica l conductivity. The above-\nstated variation of ρ with H is found to be true irrespective of the nature of the magnetic \ninteraction mediating magnetic ordering, that is, whether it is double-exchange mechanism as in \nmanganites or Rudermann Kasuya Kittel Yosida interaction as in rare-earth intermetallics [see, \nfor instance, Refs. 3, 4]. \nRecently, we have reported [5, 6] that the compound, Tb 5Si 3, crystallizing in Mn 5Si 3-type \nhexagonal structure (space group P6 3/mcm ) [7-9] interestingly attains a higher-resistive state \nbeyond a critical magnetic field ( Hcr ) in the magnetically ordered state (<70 K), in contrast to \ncommonly known behavior in metamagnetic systems. In this article, w e report that, for a partial \nreplacement of Tb by Lu (20% atomic percent), the electrical t ransport in zero-field, attained \nafter traveling through Hcr once, is dominated by the “supercooled” high-resistive state \ninterestingly resulting in the virgin ρ(H) curve falling below the envelope curve in the entire field \nrange of investigation even in the negative cycles of H . The results reveal that this system \nprovides a unique opportunity to study super-cooling and electronic phase sepa ration phenomena \nfor a case in which high-field phase is less conductive . We have also studied a few other \ncompositions in the series, Tb 5-xLu xSi 3 ( x= 2 and 3), to bring out that this transport behavior is \nunique to this alloy. \nPolycrystalline samples, Tb 5-xLu xSi 3 ( x= 1, 2 and 3), were prepared by arc melting \nstoichiometric amounts of high purity (>99.9%) constituent elements in a n atmosphere of high \npurity argon. Single phase nature and homogeneity of the specimens we re ascertained by x-ray \ndiffraction (Cu K α) (figure 1), scanning electron microscope and energy dispersive x -ray \nanalysis. A comparison of x-ray diffraction patterns of the parent and Lu substituted alloys is \nmade in figure 1; this reveals a gradual shift of diffraction l ines with Lu substitution thereby \nestablishing that all Lu indeed go to Tb site without precipitating any other phase within the \ndetectable limits of this technique. The ρ measurements in the presence of magnetic fields (<120 \nkOe, T= 1.8-300 K) were performed by a commercial physical property mea surements system \n(PPMS) (Quantum Design) and a conducting silver paint was used for making electrical contacts \nof the leads with the samples. We had to characterize the specim ens by dc magnetization, M, \n(<120 kOe, T= 1.8-300 K) for a comparison with the transport behavior and this was done with \nthe help of a commercial vibrating sample magnetometer (Oxford Instruments ). \nWe first look at how the magnetic anomalies vary with a gradua l replacement of Tb by \nLu. In figure 2, we show magnetization measured in a field of 5 kOe as a function of temperature \nfor all compositions. The data for the parent compound from our past public ations [5, 6] is \nincluded for the benefit of the reader. As expected, the magnet ic transition, as indicated by the \npeak temperature in M/H plots obtained in a field of 5 kOe, shifts to lower temperatures 3 monotonically with increasing Lu concentration. The M(H) plots (see figure 3) undergo dramatic \nchanges in the magnetically ordered state with Lu substitution. For instance, for x= 1.0, at 1.8 K, \nthe field-induced transition is feeble and significantly broadened, a nd a continuous increase in \nslope (rather than an abrupt one reported for x= 0 near 58 kOe, see inset of figure 3) in M(H) \nplot beyond 20 kOe is noted in the increasing field direction. This feature is abse nt in the reverse \nleg of the M(H) curves. [The virgin curve lies outside the envelope curve as show n later, thereby \nsuggesting that the field-induced transition is of a first-order character type, but broadened]. The \nchange of slope was found to get further weakened as the tempera ture increases (not shown \nhere). For higher concentrations of Lu (figure 3), the variation of M with H in the magnetically \nordered state (e.g., at 1.8 K) does not reveal any spin reorientation effects. It is important to note \nthat, for the x = 1.0 alloy, the value of M at the highest field measured (about 17.5 µB/formula-\nunit at 120 kOe) is nearly the same as that obtained by linear extrapolation of the low-field data \n(that is, before the transition , < 40 kOe) of Tb 5Si 3. This could mean that only some portion of Tb \nions, possibly decided by chemical inhomogeneity resulting from Lu sub stitution, undergo the \nmagnetic transition at Hcr in the alloy, Tb 4LuSi 3, and this explains why the transition is feeble. \nLet us look at the MR behavior (figure 4). For x= 1 at 1.8 K, we see a fairly prominent \nupturn in the range 30-50 kOe in the virgin curve followed by a decrease at higher fie lds as in the \nparent compound [5, 6] (see inset of figure 4). This transition is obs erved despite the fact that it \nis weak and broadened in M/H. This means that the number of Tb ions undergoing this transition \nis sufficient enough to provide percolative electrical conduction. The f act that the fraction of Tb \nions undergoing field-induced magnetism is diminished compared to that in the parent compound \ncould be qualitatively inferred from a relatively reduced jump (about 60%) in MR at Hcr . The \ntransition field is reduced with respect to that in the parent compound (from ~ 58 to ~ 50 kOe). \nApart from dilution effect of Tb sublattice, we believe that posit ive pressure also is responsible \nfor this reduction based on our experiments under external pressure a nd negative chemical \npressure induced by Ge substitution for Si [6, 10]. For higher concentrat ions of Lu, the features \ndue to field-induced transition are not apparent (figure 4), possibly because Hcr is reduced to zero \ndue to these factors. In fact, MR remains in the negative zone in the entire field range of \ninvestigation without any evidence for hysteresis. We will ma ke more comments on the \nmagnetic behavior of these alloys later in this article. The point of emphasis here is that, among \nthe compositions we studied, the alloy, Tb 4LuSi 3, is the one of importance for the present \npurpose. \nLet us now look at the MR behavior while returning the field to zero a fter reaching 120 \nkOe for x= 1 to infer the nature of the high-field (and supercooled) phase. M R keeps increasing \nclosely following the virgin curve till about 60 kOe and, at lower fi elds, the curve diverges from \nthe virgin curve with this increasing trend persisting till the field is reduced to zero (as though \nthere is an extrapolation of the high-field phase behavior). This sit uation is different from the \nparent compound in the sense that the increase in ρ in this case is cut off by a sharp fall before \nthe field reaches zero (see inset of figure 4). The value of M R in zero field thus attained for the \nformer is relatively larger (about 50%). If one has to observe t he increasing tendency till zero \nfield for the parent compound, an external pressure needs to be applied at 1.8 K [6]. It is \nimportant to note that MR at low fields increases essentially quadratically (see a broken line in \nfigure 4) with decreasing H characteristic of paramagnets. We have earlier mooted [6, 10] the \nidea of ‘inverse metamagnetism (a process in which paramagneti c fluctuations are induced at \nHcr ) to explain sudden enhancement of positive MR in the parent compound at Hcr . Such an \n‘inverse’ process can happen in a situation in which the molecular fi eld due to one magnetic site 4 induces an antiferromagnetic component at the other site and an applic ation of an external \nmagnetic field (at a critical value) tends to destroy this coupling thereby resulting in magnetic \nfluctuations (and hence increased scattering). Clearly, if suc h ‘a high-field phase’ with magnetic \nfluctuations is ‘supercooled’ to zero-field, one should see quadratic field-dependence of MR as \nH/barb2right 0, as observed experimentally. \nIn view of the exotic MR behavior of Tb 4LuSi 3 stabilized under ambient pressure \nconditions as described above, we considered it worthwhile to perform add itional isothermal MR \nexperiments for this composition traveling through negative values of H to emphasize on the key \nconclusion. We have noted that there is some degree of hysteresis of isothermal M curve \npersisting even at 120 kOe (see figure 5a for 1.8 K data ), but the s ize of the loop was found to \nget weaker gradually with increasing temperature. The locat ion of the virgin curve outside \nenvelope curve is distinctly visible as a typical feature of broad ened field-induced first-order \nmagnetic transitions. In figure 5b, we show MR data for both posit ive and negative cycles of H \nat 1.8, 10 and 25 K. Arrows and numericals are placed on the curves to se rve as guides to the \neyes. It is apparent from this figure that, at 1.8 K, while increa sing the magnitude of the field in \nthe negative H quadrant, there is a monotonic decrease of MR without any evidence for the field-\ninduced transition (as though the conductivity occurs through the supercooled phase only). Wi th \nthe consideration of the data for further cycling of magnetic-fi eld, a butterfly-shaped MR curve \nis evident with the virgin curve lying below this envelope curve. The observation of this shape \nof MR loop is unique in the field of magnetism. With increasing temperature, say to 10 K, in the \npositive quadrant, MR in the reverse leg tends to fall at a partic ular field (< ~25 kOe) at which \nthe supercooled state tends to get transformed to the virgin state. In the zero-field reached \nthereafter, MR stays ‘intermediate’ between that expected f or the virgin phase and the high-field \nphase. This implies that, after traveling through the transition field, at this temperature, the \nfraction of the high-field phase in zero-field gets reduced with respect to that for 1.8 K. As a \nfurther support for the gradual dominance of virgin phase following field-c ycling at 10 K, there \nis an upturn in MR near -40 kOe (as in virgin curve), however, with a reduced magnitude \ncompared to that for virgin state. A similar reduced jump appears again in the positive quadrant \nfor further field cycling. Clearly, the virgin curve lies bel ow this butterfly-shaped MR curve. The \ndata at 10 K distinctly brings out that there is an unusual phase-c oexistence involving high-field-\nhigh-resistive phase and low-field-low-resistive phase after the returning the field to zero. At 25 \nK, there is a field-induced transition near 25 kOe and the ρ value in zero field after traveling \nthrough this field is nearly the same as that of the virgin curve. Thus, one is able to control the \nfraction of the virgin phase and the high-field phase by varying the temperature. Unfortunately, \none can not obtain the relative fractions of these two phases from t he corresponding isothermal \nmagnetization curves in the event that the supercooled component is of a paramagnetic-like \nfluctuating phase as argued earlier [6, 10]. \nNow to bring out the uniqueness of the MR behavior of x= 1 alloy among these \ncompositions, we make relevant comments on the magnetic behavior of ot her Lu rich \ncompositions, x= 2 and 3. As mentioned earlier, as Lu concentration increases, Hcr , is \npresumably reduced zero. This means that, in these Lu richer allo ys, these Tb ions should show \nthe MR behavior of the high-field phase of x= 0 or 1 alloys, that is, a gradual drop in ρ with an \nincrease H. This is indeed found to be the case (see figure 4). In fact, the MR curves for these \nhigher compositions of Tb (at 1.8 K) look somewhat similar to that in the reverse leg of M R for \nx= 1 alloy in the sense that MR varies with H essentially quadratically (as shown in the bottom \npart of figure 4). Such an H-dependence of MR is a characteristic of paramagnetic-like 5 fluctuations alone and not of magnetically ordered state. The MR c urves were found to be \nsymmetric with respect to zero field without any hysteresi s. However, the M(H) curves are \nhysteretic at 1.8 K as shown in figure 3. The hysteretic M(H) with a gradual variation with H \nwithout any evidence for saturation at high-fields implies a com plex antiferromagnetic \ncomponent. Apart from this M(H) behavior, in figure 2, we note clear evidence for magnetic \nordering in M(T) data. Thus, there appears to be a conflict in the conclusions from MR on the \none hand and M on the other. The only way to reconcile these apparently conflicting inferences \nin these single-phase materials is to propose that, even for these compositions, there is an \nelectronic phase separation due to chemical inhomogeneity. This means that, to start with (that \nis, in the virgin state of these compositions), there is a paramag netic-like region responsible for \nMR behavior, coexisting with the magnetic region which does not dominat e conductivity. Thus, \nthis family of alloys is in general ideal to study the novel e lectronic phase separation in a \nmetallic environment. Incidentally, the high-field magnetic phas e undergoes changes with \nincreasing x is evident from the fact that the magnetization value (per Tb) at 120 kOe varies \nnon-monotonically with decreasing Tb concentration. \n Summarizing, the magnetoresistance behavior of Tb 4LuSi 3 is exceptional in magnetism. \nThat is, the magnetoresistance versus magnetic field loop for this compound exhibits butterfly-\nshaped behavior with the virgin curve lying lower with respect to envelope curve. We have \ndemonstrated that such a shape of MR curve can arise in the event t hat the high-field phase \nfollowing field-induced first-order magnetic transition is (unex pectedly) more resistive \nelectrically compared to virgin magnetic phase and that it domina tes conductivity in subsequent \nfield-cycling. The present study brings out an opportunity to probe a n unusual electronic phase \nseparation. 6 \nReferences: \n*E-mail address: sampath@mailhost.tifr.res.in \n1. See, for reviews, “Colossal magnetoresistance, Charge Orderi ng and Related Properties \nof Manganese Oxides, edited by C.N.R. Rao and B. Raveau (World Scientific, Si ngapore, \n1988); E. Dagotto, T. Hotta, and A. Moreo, Phys. Rep. 344 (2001). \n2. Y. Tokura, H. Kuwahara, Y. Moritomo, Y. Tomioka, and A. Asamitsu, Phys. Rev. Lett. \n76 , 3184 (1996). T. Kimura, Y. Tomioka, R. Kumai, Y. Okimoto, Y. Tokura, Phys. \nRev. Lett. 83, 3940 (1999); Y. Tomioka, A. Asamitsu, Y. Moritomo, H. Kuwahara, and \nY. Tokura, Phys. Rev. Lett. 74, 5108 (1995); H. Kuwahara, Y. Tomioka, A. Asamitsu, Y. \nMorimoto, and Y. Tokura, Science 270 , 961 (1995). \n3. M.A. Manekar, S. Chaudhary, M.K. Chattopadhyay, K.J. Singh, S.B. Roy, and P . \nChaddah, Phys. Rev. B 64 , 104416 (2001); K.J. Singh, S. Chaudhary, M.K. \nChattapadhyay, M.A. Manekar, S.B. Roy, and P. Chaddah, 65, 094419. \n4. K. Sengupta and E.V. Sampathkumaran, Phys. Rev. B 73 , 020406(R) (2006). \n5. S. Narayana Jammalamadaka, Niharika Mohapatra, Sitikantha D Das , and E.V. \nSampathkumaran, Phys. Rev. B 79 , 060403(R) (2009). \n6. Kartik K Iyer and E.V. Sampathkumaran, App. Phys. Lett. 95, 142504 (2009). \n7. K.S.V.L. Narasimhan, H. Steinfink, and E.V. Ganapathy, J. Appl. Phys. 40, 51 (1969). \n8. I.P. Semitelou, Hel. Konguetsof, and J.K. Yakinthos, J. Magn. Magn. Mat er. 79, 131 \n(1989); J. Roger, M.B. Yahia, V. Babizhetskyy, J. Bauer, S. Cordier, R . Guertin, K. \nHiebl, X. Rocquefelte, J. Saillard, and J.F. Halet, J. Sold State Chem. 179, 2310 (2006). \n9. F. Canepa, S. Cirafici, F. Merlo, and A. Palenzona, J. Magn. Magn. Mat er. 118, 182 \n(1993). \n10. Niharika Mohapatra, Sitikantha D Das, K. Mukherjee, Kartik K Iyer , and E.V. \nSampathkumaran, Phys. Rev. B, 1 st Dec., 2009 issue (in press); arXiv:0912.2275 7 \n \n \nFigure 1: \n(color online) X-ray diffraction patterns below 2 θ= 40˚ for the alloys, Tb 5-xLu xSi 3. The lattice \nconstants, a and c (± 0.004 Å) and unit-cell volume (V) are included. The curves are shi fted \nalong y-axis for the sake of clarity. \n 8 \n \nFigure 2: \n(color online) Magnetization divided by magnetic field as a funct ion of temperature obtained in a \nfield of 5 kOe for the alloys, Tb 5-xLu xSi 3 ( x= 0, 1, 2, and 3). The data points are shown for x= 3 \nonly. 9 \n \nFigure 3: \n(color online) Isothermal magnetization at 1.8 K for the alloys, T b 5-xLu xSi 3. The curve for Tb 5Si 3 \n(Ref. 5) is shown in the inset. \n 10 \n \nFigure 4: \n(color online) Magnetoresistance as a function of externally appl ied magnetic field for the alloys, \nTb 5-xLu xSi 3 at 1.8K. Lines are drawn through the data points for Tb 4LuSi 3. A dotted line is \ndrawn in the reverse field-cycle for this composition to highlight that MR varies essentially \nquadratically with H. Continuous lines for other compositions represent quadratic field \ndependence. Arrows and numericals (top figure) are drawn as a guide to the eyes. 11 \n \nFigure 5: \n(a) Isothermal magnetization at 1.8 K and (b) magnetoresista nce at 1.8, 10 and 25 K for \nTb 4LuSi 3. The lines through the data points and arrows and numericals are draw n as a guide to \nthe eyes. " }, { "title": "2305.06941v2.Dendritic_Computation_through_Exploiting_Resistive_Memory_as_both_Delays_and_Weights.pdf", "content": "Dendritic Computation through Exploiting Resistive Memory as\nboth Delays and Weights\nMelika Payvand\nInstitute of Neuroinformatics,\nUniversity of Zurich and ETH Zurich\nZurich, Switzerland\nmelika@ini.uzh.chSimone D’Agostino\nInstitute of Neuroinformatics,\nUniversity of Zurich and ETH Zurich\nZurich, SwitzerlandFilippo Moro\nCEA-LETI\nGrenoble, France\nYigit Demirag\nInstitute of Neuroinformatics,\nUniversity of Zurich and ETH Zurich\nZurich, SwitzerlandGiacomo Indiveri\nInstitute of Neuroinformatics,\nUniversity of Zurich and ETH Zurich\nZurich, SwitzerlandElisa Vianello\nCEA-LETI\nGrenoble, France\nABSTRACT\nBiological neurons can detect complex spatio-temporal features in\nspiking patterns via their synapses spread across their dendritic\nbranches. This is achieved by modulating the efficacy of the in-\ndividual synapses, and by exploiting the temporal delays of their\nresponse to input spikes, depending on their position on the den-\ndrite. Inspired by this mechanism, we propose a neuromorphic\nhardware architecture equipped with multiscale dendrites, each\nof which has synapses with tunable weight and delay elements.\nWeights and delays are both implemented using Resistive Random\nAccess Memory (RRAM). We exploit the variability in the high\nresistance state of RRAM to implement a distribution of delays in\nthe millisecond range for enabling spatio-temporal detection of sen-\nsory signals. We demonstrate the validity of the approach followed\nwith a RRAM-aware simulation of a heartbeat anomaly detection\ntask. In particular we show that, by incorporating delays directly\ninto the network, the network’s power and memory footprint can\nbe reduced by up to 100x compared to equivalent state-of-the-art\nspiking recurrent networks with no delays.\nKEYWORDS\nDendritic computation, RRAM delays, Coincidence detection, tem-\nporal computation\nACM Reference Format:\nMelika Payvand, Simone D’Agostino, Filippo Moro, Yigit Demirag, Giacomo\nIndiveri, and Elisa Vianello. 2023. Dendritic Computation through Exploiting\nResistive Memory as both Delays and Weights. In International Conference\non Neuromorphic Systems (ICONS ’23), August 1–3, 2023, Santa Fe, NM, USA.\nACM, New York, NY, USA, 4 pages. https://doi.org/10.1145/3589737.3605977\n1 INTRODUCTION\nIn the typical artificial neural network models, the neuron’s output\nis a nonlinear transformation of the weighted sum of its inputs,\nPermission to make digital or hard copies of part or all of this work for personal or\nclassroom use is granted without fee provided that copies are not made or distributed\nfor profit or commercial advantage and that copies bear this notice and the full citation\non the first page. Copyrights for third-party components of this work must be honored.\nFor all other uses, contact the owner/author(s).\nICONS ’23, August 1–3, 2023, Santa Fe, NM, USA\n©2023 Copyright held by the owner/author(s).\nACM ISBN 979-8-4007-0175-7/23/08.\nhttps://doi.org/10.1145/3589737.3605977using a point-neuron model. In point neuron models all synapses\nare connected to the same node and their spatial position carries\nno extra information. Although for static rate-based information\nencoding, point-neuron models have enough complexity to per-\nform computation, they are not ideal for detecting the temporal\naspects of dynamic input patterns. Neuroscience findings show\nthat the dendritic arbor of a neuron implements non-linear integra-\ntion in multiple time-scales, and decodes spatio-temporal locality\nof arriving events, a mechanism known as coincidence detection\n(CD) [ 1,2]. CD is highly dependent on the spatial arrangement\nof the synapses on the dendrites, which affects the timing of the\narrival of the input spike to the neuron’s soma [ 2] (Fig. 1). This\nspatial arrangement can be modeled as synaptic delays, serving\nas an additional parameter for synapses alongside their weight..\nIn this sense, each synapse can be modeled as a combination of\na temporal variable (delay) and a spatial variable (weight). It has\nalready been shown that training temporal variables such as adap-\ntation time constant of the neuron can improve the accuracy of\nSpiking Neural Networks (SNNs) in classifying spatio-temporal\npatterns [ 3]. Similarly, endowing silicon neurons with dendritic cir-\ncuits enables them to detect spatio-temporal patterns [ 4]. However,\nso far, no hardware implementation of spiking neural networks\nwhere dendritic temporal delays are learnt has been proposed. Here,\nwe propose an event-based architecture based on Resistive Random\nAccess Memory (RRAM) that implements both delays and weights.\nWe exploit the strong programming variability of the HfO 2-based\nRRAM in its High Resistive State (HRS) to sample synaptic delays\nfrom the range of milliseconds, and program RRAM devices to tune\nsynaptic weights. We show that our approach enables more efficient\nprocessing of spatio-temporal sensory signals in real-time, using\nonly feed-forward networks, without resorting to recurrency, and\ndemonstrate how it reduces the memory and power footprint by\ntwo orders of magnitude, compared to equivalent Recurrent Neural\nNetworks (RNNs).\n2 RRAM-BASED DENDRITIC COMPUTATION\nInspired by the dendritic structure of the biological neurons of\nFig. 1, we propose a hardware architecture equipped with multiscale\ndendrites, each of which has synapses with tunable weight and\ndelay elements, implemented using RRAM (Fig. 2). The delay isarXiv:2305.06941v2 [cs.ET] 14 Dec 2023ICONS ’23, August 1–3, 2023, Santa Fe, NM, USA Payvand, et al.\nFigure 1: Biological neurons include synapses distributed\nspatially in their dendritic arbor, which gives rise to delayed\ninputs. The coincidence of the delayed spikes are detected as\nthe input features in each dendrites. 𝑑1,𝑑2and𝑑3show the\naverage delay of each dendritic compartment depending on\ntheir spatial arrangement with respect to the neuron’s cell\nbody (soma).\nimplemented using an RRAM coupled with a capacitor (the RRAM-\nC element), while the weight is represented by one RRAM device. A\ndendritic circuit is then constituted by a RRAM-C element, activated\nby input spikes applied to an access transistor, and by an output\nsection featuring the weight RRAM, outputting a weighted current\npulse.\nDendritic circuits can be arranged into arrays, as shown in Fig. 2.\nEach row constitutes a dendritic branch, with synapses that have\nboth delay and weight elements. The synaptic delays of each den-\ndritic branch have a certain distribution with a mean that is dif-\nferent from other branches. The green columns receive the spatio-\ntemporal inputs, and each column receives the input from a different\nchannel. The input spikes from these channels go through delays\nand get weighted and are then filtered by a different time constant\n(𝜏𝑖). The delayed, weighted and integrated current contributions\nare then summed to the neuron’s soma on the right end, which\nis modeled as Leaky integrate and fire (LIF). To learn to classify\nspatio-temporal signals in this architecture, each dendritic branch\nneeds to detect signal features at its integration time scale, through\ncoincidence detection. In other words, the delay and weight param-\neters should be configured to perform CD in the presence of an\ninput feature. This makes relevant spikes available to the output\nneuron with temporal coincidence and leads the output neuron to\nproduce spikes in turn.\nTo enable real-time processing, the delayed elements should be in\nthe range of the time constant of the sensed real-world signals, e.g.,\nin the order of 10s-100s of milliseconds. Thus, to implement such\ndelays on-chip, while reducing the capacitor size, we exploit the\nHRS of RRAMs. Since the conductive filament resulting in resistive\nswitching is very weak in the HRS, controlling the precise value of\nthe resistance of RRAMs in the HRS is difficult. This can be seen in\nthe HRS measurements preformed on HfO 2-based RRAM [ 5] shown\nin Fig. 3, with large variability in the HRS following a log-normaldistribution. The mean of this distribution is a function of the reset\nvoltage with which the device is switched to the HRS [ 6]. Due to\nthis variability, resetting the delay devices using the same voltage\nresults in samples from the corresponding log-normal distribution.\nEach dendritic branch then features a variable delay with a certain\nmean, proportional to the mean HRS of the RRAM multiplied by the\ncapacitance C. The network objective is then to learn the correct\nweights corresponding to the delay samples from this log-normal\ndistribution, such that the neuron performs coincidence detection,\nreacting to the temporal features of the signal.\n3 RRAM-AWARE TRAINING\nThe HRS of delay RRAMs cannot be precisely controlled. Therefore,\nprior to the training, we initialized the resistance values of delay\nRRAMs by sampling from HRS and kept them fixed. This substantial\nvariability enables dendritic architecture to take advantage of a\nrange of delay values.\nThe dendritic architecture poses some constraints in the offline\ntraining procedure, which have to be accounted for in order to\nextract its full potential. In the current configuration of the archi-\ntecture, weight-RRAMs only express positive weights with limited\nprecision (approximately 3 bits [ 5]), contrary to the 32 bit float-\ning point precision available on CPU/GPU. Also, the resistance is\nlimited to a certain interval that delimits the Low-Resistive-State\n(LRS), which in our case spans from 7k Ωto 50k Ω. The HRS can\nalso be utilized in the weight-RRAMs when the algorithm selects\nlow weights, although the LRS is preferable for weight-RRAMs as\nit is more controllable. Moreover, the weight value in such devices\nis not deterministic [ 7], i.e. the resistance value in LRS after the pro-\ngramming operation can be modeled as sampling from a Gaussian\ndistribution whose mean is determined by the programming opera-\ntion, and its standard deviation is due to the device non-idealities\nand cannot be controlled.\nDue to the variability of RRAMs, offline training of the dendritic\narchitecture has to be tailored to the RRAM characteristics. In this\nwork, a simple weight-clipping is used after the weight-update to\nensure all weights remain positive and within the permitted range\nor resistance.\nThe limited precision is accounted for using a mixed-precision\napproach [ 8,9]. Gradients calculated with the backprogagation al-\ngorithm are accumulated on high-precision variables on an external\ncomputer. At the end of each epoch, this variable is checked and - if\nthe change passes the quantization step - the related RRAM device\nis reprogrammed. In such cases, the weight is updated by sampling\nits value from the new corresponding Gaussian distribution.\nMore precisely, the set of resistive levels assumed by the RRAM is\ndefined by𝜇𝑛, where𝑛goes from 1 to 8 (3 bits), each representing a\nresistance in the LRS. The high-precision variable (32-bit), also said\nhidden-weight 𝑊𝑖 𝑗, triggers a reprogramming operation when it\napproaches a new resistive level 𝜇𝑗, starting from a different value.\n𝜇:|𝑊𝑖 𝑗−𝜇|=𝑛\nmin\n𝑘=0{|𝜇𝑘−𝑊𝑖𝑗|} (1)\nwhere𝑛is the number of available resistive levels on the RRAM\ndevices. The RRAM-aware training procedure is summarized below:\n•𝑛𝑝𝑟𝑒epochs of pre-training on the 32-bit weights only, ob-\ntaining the pre-trained parameters 𝑊𝑝𝑟𝑒;Dendritic Computation through Exploiting Resistive Memory as both Delays and Weights ICONS ’23, August 1–3, 2023, Santa Fe, NM, USA\nFigure 2: Dendritic architecture using complex synapses containing RRAM delays and RRAM weights. Each channel (shown in\ngreen) is applied to a parallel set of synapses (in dashed blue box) in each row, which constitutes a distribution of delays, of\nwhich a sample is taken through learning the weight values. Each branch/row can integrate the delayed and weighted input\nchannels with a different time constant 𝜏𝑖.\n105108\nRead Resistance ()\n0.00.20.40.60.81.0PDF, VRST=1.5V1e5\n0.00.51.01.5\nPDF, VRST=2.0V1e8\nFigure 3: Variability of RRAMs in their HRS follows a wide\nlog-normal distribution. The shift in the distribution is as a\nresult of different reset voltages.\n•converting the hidden weights 𝑊𝑝𝑟𝑒to RRAM values after\nupdating the scaling factor 𝑠𝑤relating the resistance of the\nRRAM to the hidden weight;\n•𝑛𝑡𝑟𝑎𝑖𝑛𝑖𝑛𝑔 epochs on the 3-bit precision RRAM weights, i.e.\nthe values sampled from the LRS levels, scaled by 𝑠𝑤.\nwith𝑠𝑤obtained as max𝐿𝑅𝑆/max𝑊𝑡𝑟𝑎𝑖𝑛𝑒𝑑 .\nImportantly, the resistive levels 𝜇𝑖and the standard deviation\nvalues related to the RRAM resistance are obtained from a 4kbit\nRRAM array operated with the smart programming procedure, as\nin [7].4 RESULTS\nTo show-case the computational power of dendrites, we bench-\nmark the architecture of Fig. 2 on a real-time sensory processing\ntask, namely heartbeat anomaly detection, using Electrocardiogram\n(ECG) data. We choose the MIT-BIH dataset [ 10] and focus on the\ndata of patient 208, presenting a balanced amount of normal and\nabnormal heartbeats. The raw data, consisting of the voltage traces\nrecorded from different electrodes, is delta-modulated to obtain\nspike trains that are fed to the dendritic architecture [ 11] (Fig 4).\nThe spiking activity of the output neurons signals the presence of\narrhythmia in the heartbeat, performing binary classification. Im-\nportantly, the accuracy in solving this task depends on how well the\ntemporal features in a heartbeat signal are interpreted to identify\nanomalies. In our particular model, the dendritic architecture, this\nmeans that the delay values have to match the temporal features\nof the input signal. The average heartbeat duration is on the order\nof700ms, so the relevant temporal features should be a fraction\nof that period. These temporal features are detected through the\ndelays. To find the average value of the delays required to detect the\nECG features, we sweep the mean of the delay RRAM distribution,\nwhile fixing the capacitance size to 100𝑓𝐹. Figure 5 shows the accu-\nracy as a function of the mean value of the log-normal distribution\nrelated to the delay RRAM, with the equivalent delay shown on top\nof the figure. As can be seen, the task is solved (i.e. accuracy > 95%)\nwith a mean delay of 40𝑚𝑠. This delay corresponds to a HRS of\n500𝐺𝑂ℎ𝑚𝑠 which is difficult to achieve with HfO 2-based devices.\nHowever, their pristine state can be used to achieve this resistance.\nAlternatively, Ferroelectric Tunnel Junction devices are promising\ncandidates for such large resistance levels [12].\nUsing the mean delay of 40 ms, a single output neuron, with\ntwo dendritic branches of 64 synapses each, can achieve up to 95%\naccuracy on the real-time ECG anomaly detection task. This is com-\npared to more than 100 units required in Spiking Recurrent NeuralICONS ’23, August 1–3, 2023, Santa Fe, NM, USA Payvand, et al.\nLIF Neuron Heartbeat\n2 Inputs 2x64 Dendrites\nNormal\nArrythmiaD1 DN\nFigure 4: Arrhythmia detection with the dendritic architecture. The voltage recording of the heartbeat is converted to spike-\ntrains and then fed to the Dendritic Architecture. An output neuron fires to signal the anomalies in the heartbeats.\nNetworks (SRNNs) from previous works, giving rise to 100 ×reduc-\ntion in power consumption for the aforementioned task [ 13,14].\nTable 1 shows the comparison of the estimated power consumption\nand memory footprint of the dendritic architecture against other\nstate of the art methods.\nFigure 5: Accuracy of the Dendritic Architecture on the ECG\narrhythmia detection, as a function of the delay-RRAM mean\nresistance.\nThis work [15] [14] [16] SNN\nPower 0.53µW 48.6µW 516.1µW 64mW\nMemory Footprint 256 b 73 kb 64 kb (NA)\nTable 1: Energy and power consumption comparison with\nthe state of the art.\n5 CONCLUSIONS\nWe have introduced an RRAM-aware dendritic architecture, which\nis empowered by delays, and as a result, can introduce temporal\nrichness to a feedforward network that can classify a sensory pro-\ncessing task with up to 100x less power consumption and less than\n100x in memory footprint compared to recurrent networks. The\npower benefits are thanks to the delays which keep the temporal\ninformation of the data in a passive fashion, without the need for\nactive storage of data through recurrency.\nACKNOWLEDGMENTS\nThis work is supported by H2020 MeM-Scales project (871371), SNSF\nStarting Grant Project UNITE (TMSGI2-211461), and European\nResearch Council consolidator grant DIVERSE (101043854).REFERENCES\n[1]Hélene Paugam-Moisy and Sander M Bohte. Computing with spiking neuron\nnetworks. Handbook of natural computing , 1:1–47, 2012.\n[2]Michalis Pagkalos, Spyridon Chavlis, and Panayiota Poirazi. Introducing the\ndendrify framework for incorporating dendrites to spiking neural networks.\nNature Communications , 14(1):131, 2023.\n[3]Bojian Yin, Federico Corradi, and Sander M Bohté. Accurate and efficient time-\ndomain classification with adaptive spiking recurrent neural networks. Nature\nMachine Intelligence , 3(10):905–913, 2021.\n[4]Y. Wang and S.-C. Liu. Multilayer processing of spatiotemporal spike patterns in\na neuron with active dendrites. Neural Computation , 8:2086–2112, 2010.\n[5]Eduardo Esmanhotto, Tifenn Hirtzlin, Djohan Bonnet, Niccolo Castellani, Jean-\nMichel Portal, Damien Querlioz, and Elisa Vianello. Experimental demonstra-\ntion of multilevel resistive random access memory programming for up to two\nmonths stable neural networks inference accuracy. Advanced Intelligent Systems ,\n4(11):2200145, 2022.\n[6]T. Dalgaty, M. Payvand, B. De Salvo, J. Casaz, G. Lama, E. Nowak, G. Indiveri,\nand E. Vianello. Hybrid CMOS-RRAM neurons with intrinsic plasticity. In\nInternational Symposium on Circuits and Systems (ISCAS), 2019 . IEEE, 2019.\n[7]E. Esmanhotto, L. Brunet, N. Castellani, D. Bonnet, T. Dalgaty, L. Grenouillet,\nD. R. B. Ly, C. Cagli, C. Vizioz, N. Allouti, F. Laulagnet, O. Gully, N. Bernard-\nHenriques, M. Bocquet, G. Molas, P. Vivet, D. Querlioz, JM. Portal, S. Mitra,\nF. Andrieu, C. Fenouillet-Beranger, E. Nowak, and E. Vianello. High-density\n3d monolithically integrated multiple 1t1r multi-level-cell for neural networks.\nIn2020 IEEE International Electron Devices Meeting (IEDM) , pages 36.5.1–36.5.4,\n2020.\n[8]Yigit Demirag, Charlotte Frenkel, Melika Payvand, and Giacomo Indiveri. On-\nline training of spiking recurrent neural networks with phase-change memory\nsynapses. CoRR , abs/2108.01804, 2021.\n[9]Manuel Le Gallo, Abu Sebastian, Roland Mathis, Matteo Manica, Heiner Giefers,\nTomas Tuma, Costas Bekas, Alessandro Curioni, and Evangelos Eleftheriou.\nMixed-precision in-memory computing. Nature Electronics , 1(4):246–253, 2018.\n[10] George B Moody and Roger G Mark. The impact of the mit-bih arrhythmia\ndatabase. IEEE engineering in medicine and biology magazine , 20(3):45–50, 2001.\n[11] Mohammadali Sharifshazileh, Karla Burelo, Johannes Sarnthein, and Giacomo\nIndiveri. An electronic neuromorphic system for real-time detection of high\nfrequency oscillations (hfo) in intracranial eeg. Nature communications , 12(1):3095,\n2021.\n[12] Justine Barbot, Jean Coignus, Nicolas Vaxelaire, Catherine Carabasse, Olivier Glo-\nrieux, Messaoud Bedjaoui, François Aussenac, François Andrieu, François Triozon,\nand Laurent Grenouillet. Interplay between charge trapping and polarization\nswitching in mfdm stacks evidenced by frequency-dependent measurements. In\nESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC) , pages\n125–128. IEEE, 2022.\n[13] Robert Gütig and Haim Sompolinsky. The tempotron: a neuron that learns spike\ntiming–based decisions. Nature neuroscience , 9(3):420–428, 2006.\n[14] F. C. Bauer, Muir, R. Dylan, and G. Indiveri. Real-time ultra-low power ecg anom-\naly detection using an event-driven neuromorphic processor. IEEE Transactions\non Biomedical Circuits and Systems , 13(6):1575–1582, 2019.\n[15] J. Liu et al. 4.5 bioaip: A reconfigurable biomedical ai processor with adaptive\nlearning for versatile intelligent health monitoring. In 2021 IEEE International\nSolid- State Circuits Conference (ISSCC) , volume 64, pages 62–64, 2021.\n[16] Z. Yan, J. Zhou, and W.F. Wong. Energy efficient ecg classification with spiking\nneural network. Biomedical Signal Processing and Control , 63, 2021." }, { "title": "2104.13301v2.Electronegative_metal_dopants_improve_switching_consistency_in_Al2O3_resistive_switching_devices.pdf", "content": "1 \n Electronegative metal dopants improve switching consistency in Al2O3 resistive \nswitching devi ces \nZheng Jie Tan1*, Vrindaa Somjit1*, Cigdem Toparli2, Bilge Yildiz1,2,a), and Nicholas Fang3,b) \n1Department of Materials Science and Engineering, Massachusetts Institute of Technology, \nCambridge, Massachusetts 02139, USA. \n2Department of Nuclear Science and Engineering, Massachusetts Institute of Technology, \nCambridge, Massachusetts 02139, USA. \n3Department of Mechanical Engineering, Mass achusetts Institute of Technology, Cambridge, \nMassachusetts 02139, USA. \n* Equally contributing authors \nCo-corresponding authors: a) byildiz@mit.edu, b) nicfang@mit.edu \n \n \nAbstract \nResistive random access memories are promising for non-volatile memory and brain -inspired \ncomputing applications . High va riability and low yield of these devices are key drawbacks \nhindering reliable training of physical neural networks. In this study, we show that doping an oxide \nelectrolyte , Al2O3, with electronegative metal s makes resistive switching significantly more \nreproducible , surpassing the reproducibility requirements for obtaining reliable hardware \nneuromorphic circuits. The underlying mechanism is the ease of creating oxygen vacancies in the \nvicinity of electronegative dopants , due to th e capture of the associated electrons by dopant mid-\ngap states , and the weakening of Al -O bonds . These oxygen vacancies and vacancy clusters also \nbind significantly to the dopant, thereby serving as preferential sites and building blocks in the \nformation of conducting paths. We validate this theory experimentally by implanting multiple \ndopants over a range of electro negativities, and find superior repeatability and yield with highly \nelectr onegative metals, Au, Pt and Pd. These devices also exhibit a gradual SET transition, \nenabling multibit switching that is desirable for analog computing . 2 \n Introduction \nCompact and energy efficient solid state r esistive switching devices are actively being investigated \nas fundamental units for use as high -density non -volatile memories , and for enabling energy -\nefficient analog computing via physical neural networks1,2. These devices are capable of both data \nstorage and computation , while being scalable to the nanometer regime3,4. This gives them great \npromise to circumvent the time and energy challenge of data movement1 that plague current \ncomputing systems based on von-Neumann architecture with separate memory and computing \nunits . One class of such devices is the resistive switching random access memory (RRAM)5,6, \nwhich consist s of a metal -insulator -metal (MIM) stack . The i nsulating solid electrolyte layer \nprimarily made of chalcogenides or metal oxides becomes the switching medium. The reversible \nmigration and redistribution of metals such as Ag or Cu7, or of defects such as oxygen vacancies \n(VO) through the electrolyte under the application of a voltage forms localized , tunable conductive \nregions that a re responsible for switching2,8. The electrical modulation of the electronic \nconductance in an analog way in such resistive switching units is fundamental to brain-inspired \nanalog computing9. \nTwo key barriers pre venting the wi despread use of RRAMs are their high switching \nvariability3,4,10-13 and poor device yield8,13-15. These arise due to the inherent stochastic nature of \nthe individual switching events. Variation in the location and the local chemistry and structure of \nsuch filamen ts leads to cycle -to-cycle and device -to-device variations in switching voltages and \nresistances, causing inconsistent switching10,11,16. In addition, p ristine devices typically require an \ninitial electroforming step, in which a voltage much higher than the set voltage is appl ied to form \nthe fi rst conductive path in the insulating electrolyte17. Such large forming biases can sometimes \ndeform and destroy the devices8,15, resulting in poor devi ce yield. P oor switching repeatability and \npoor device yield adversely affect device stability, increase peripheral circuit co mplexi ty, and \nimportantly, reduce computational accuracy of hardware -implemented neural networks, as \nhighlighted by Gokmen et al.18 and Li et al .19 \nCorrespondingly, multiple strategies have been attempte d to improve the switching con sistency \nand yield of RRAM devices. For example, multi layer structures (such as AlO x/HfO x20,21, \nTiO x/Al2O322 and HfO x/TiO x/HfO x/TiO x23) are t hought to enhance switching consistency by \nconfining the filament formation and rupture pathwa ys within very thin oxide layers20-23. 3 \n Interdiffusion among the oxide layers and potential short -circuits across thin films of 1 to 2 nm \nthickness are limiting factors22,24 to this approach. Nanocrystals25,26 in the electrolyte (such as Ru25 \nand Ag26 nanocrystals in Al 2O3) enhanc e the local electric field , and preferentially accelerate V O \nmigration and cation dissolution, thereby reducing the randomness in filament formation , but to \nfabricate such nanostructures is not trivial or ine xpensive. Other experiments suggest that \nintroduction of metal dopants14,27-32 (such as Ge28- and Al27-doped HfO 2) into oxide electrolyte s \nimproves switching consistency. First principles calculations reason that reduce d VO formation \nenergies near the dopant28,29,31-35 increas es switching uniformity by localizing the current path . No \nstudy, however, has systematically investigated the effect of the dopant properties on the \nperformance of oxide devices using both experimental and simulation methods . Moreover, many \nof these devices still need high electroforming voltages20,22,26,29. Signific ant variability is still \nobserved20-23,25,27-29 and current com pliances22,25,27,29,30 are still used. \nIn this study , we focus on the link between VO formation and the electronegativity of dopants in \nan insulating oxide. Dopants such as Au, Pt, Pd, Rh on oxides are known to catalyze several \nimportant reactions , such as CO oxidation, wat er-gas shift, and NO reduction36. These dopants \nweaken metal -oxygen bonds in the host oxide lattice36 while assisting these surface reactions. \nFollowing this, we hypothesize that dopants with high electronegativity can give rise to higher \nswitching consistency in Al 2O3 by acting as preferential sites for VO formation . Highly \nelectronegative dopants reduce the formation energy of oxygen vacancies , because they weaken \nthe metal -oxygen bonds, and create in -gap states and captur e the electrons resulting from neutral \noxygen removal (a process that is energetically impossible in undoped Al 2O3). \nIn this study, we combine the above advantages of multilay er thin films and metal dopants to \ndevelop a device with superior switching consistency and high yield, that requires no external \ncontrol circuitry , and is electrof orming -free. Our original device consists of alternating layers of \nAl2O3 and WN deposited on Si, with the highly electronegative Au as the top electrode material. \nAl2O3 and WN have lower interdiffusion tendency37, which is an important improvement from \nprevious device configurations22. Au atoms were implanted into the Al 2O3 electrolyte as dopants \nduring focused ion beam (FIB) milling to define the device area. Density functional theory (DFT) \ncalculations revealed a significant lowering of V O formation en ergy in the vicinity of Au, due to \nthe changes in the electronic structure brought about by Au’s high electronegativity. This process 4 \n guides the formation of conducting paths , resulting in higher switching consistency. Multilayer \ndevices doped in this way with Au had superior cycle -to-cycle and device -to-device switching \nconsistency than the undoped devices , consistent with the prediction of stable conducting paths \nobtained by the DFT model . Based on this understanding, w e predict and validate other highly \nelectronegative dopants such as Pt and Pd to increase uniformity of resistive switching among \nmultiple devices and cycles , as compared to active transition metals as Cu, Ti and Al. Furthermore, \nour device exhibits a gradual SET transition, which, coupled with its high uniformity, makes it a \nfavorable candidate for use in multibit switching applications. \nResults \nEffect of Au doping on device switching consistency \nWe tested our hypothesis about the effect of dopant electronegativity on switching consistency \nfirst on Au -doped Al 2O3. Au is one of the most electronegative metals in the periodic table38. More \nthan 100 m ultilayer RRAM devices were fabricated and tested. These RRAM devices were made \nof alternating layers of Al 2O3 separated by conductive WN layers, with Au as the top electrode \nand WN as the bottom electrode. The device cross -section imaged using a transmission electron \nmicroscope (TEM) is shown in Fig. 1a. The device schematic, and the effect of Au doping on \nswitching consistency is shown in Fig. 1 b-f. From the I -V plot of over 300 switching cycles in Fig. \n1b, it is seen that depositing the Au top electrode after FIB milling results in inconsistent sw itching. \nIn contrast, in Fig . 1c, it is clear that FIB milling to define the device area after depositing the Au \ntop electrode enhances the switching consistency dramatically . Estimations from Stopping and \nRange of Ions in Matter (SRIM) simulations indicate that t he high -energy FIB milling process \nresults in the implantation of Au atoms from the top electrode into the Al 2O3 electrolyte \n(Supplementary Fig. 1-3). This being the only difference between the two schemes , confirms that \nAu implantation leads to the difference in switching consistency. Alternative doping procedures, \nsuch as co -sputtering of Au during reactive sputtering of Al 2O3 layers were also explored, with \nsimilar results (Supplementary Fig. 4). Fig. 1d and 1 e show the cumulative distribution function \n(CDF) plots of the obtained high- and low -resistance states (HRS and LRS) at the device -level and \nat the cycle -level , respectively. To evaluate the variability in LRS and HRS , we use a new and \nmore reliable measure of switching consistency , the logarithmic coefficient of variation ( Clv)39, \ndefined as the difference between the 10th and 90th percentile of the logarithm of resistance values . 5 \n Compared to C lv ~ 1.5 for ot her Al 2O3-based RRAMs reported in literature40,41, our devices have \nsignificantly lower C lv of 0.1 and 0.34 for the LRS and HRS respectively , as plotte d in Fig . 1d. \nThis slight switching variability arises mainly from fabrication -related variation, which can in fact \nbe further reduced by enforcing stringent manufacturing procedures. From Fig. 1 e, it is clear that \ncycle -to-cycle variation has an even smaller spread of about 0.04 and 0.05 for the LRS and HRS \nstates , respectively. Thus, the intrinsic switching variability of each device is very low , indicating \nsubstantial reduction in the stochasticity of filament formation and rupture . Such low variability is \nvaluable fo r facilitating multibit switching schemes13, and meet s and surpasses the reproducibility \nrequirement (with a C lv of about 0.32 ) needed to implement accurate hardware neural networks18. \nAdditionally, t hese multi layer, Au -implanted devices exhibit a perfect yield. All the devices are in \nLRS upon fabrication , precluding the need for electroforming (shown in Supplementary Fig. 15) . \nThis is advantageous because electroforming -free devices eliminate the need of peripheral \ncircuit ry in RRAM arrays, and high yield leads to improved accuracy of neural networks19. \nMoreover, t he devices exhibit resistive switching with a gradual SET transition . This gradual SET \ntransition is key to multibit switching and analog processing , as explained in forthcoming sections. 6 \n \n \nFigure 1. Electronegative Au dopants and multilayer ing of the oxide films are two key factors \nto enable consistent switching. (a) Device cro ss-section imaged using transmission electron \nmicroscopy (TEM) . For further elaboration on device design and TEM imaging , see \nSupplementary Fig s. 12 and 13 . (b) Multilayer Al 2O3 cannot switch consistently when FIB \nmilling is performed to define the device area before Au deposition . (c) Multilayer Al 2O3 \nswitches consistently when FIB milling is performed to define the device area after Au \ndeposition . These devices did not require electroforming. The I -V plot shows 300 \nsuperimposed switching cycles (15 devices x 20 consecutive cycles in each device ). (d) The \nconsistency improvement using the Au doping strategy can be seen from the short span of \nresistances in the cumulative distribution functions (CDFs), observed over the 300 switching \ncycles, covering only 0.10 and 0.34 decades for the LRS and HRS , respectively. Typical spans \nof other Al 2O340,41 and TiO 242 devices from literature are shown for comparison. ( e) The \n7 \n device -to-device CDF in ( d) can be displayed separately for the 15 devices to show cycle -to-\ncycle variations smaller than 0.05, indicating that most of the variation seen in ( d) comes \nfrom device -to-device differences. ( f) CDF plots show the narrowing of the spread in the LRS \nand HRS resistance s from a few orders of magnitude down to 0.10 and 0.34 , respectively , \nwith increasing the number of oxide layers from one to three . \n \nSwitching from high resistance to low resistance state in our device is likely due to the formation \nof a network of filaments made of oxygen vacancies (VO). The dependence of switching current \non the FIB-process ed device perimeter indicates areal swit ching , as shown in Supplementary \nFigure 3 . This indicates the presence of a network of conducting zones near the periphery of the \ndevice. This conducting zone is potentially in the form of a network of conducting filaments ; or in \nthe limit of a dense network, it is made of a host chemistry with higher conductance . It is unlikely \nthat conducting zone is made of Au filaments via dissolution of the Au top electrode. Au is resistant \nto oxidation and is in fact commonly used as the inert electrode in RRAM devices, as opposed to \nmetals like Ag and Cu, which are typical active electrode candidates43,44. Additionally, metallic \nfilaments typically result in a high ON -OFF ratio in the 104-108 range43, contrary to the ON -OFF \nratio of 100 observed in our device . Furthermore, Al2O3 is a common electrolyte used in RRAM \ndevices, which switch es via V O filament formation as reported in various studies20,26,45,46. Thus, \nwe believe that the role of Au is through its effect on VO formation in Al 2O3 rather than through \nAu metal filament formation. \nHigh switching consistency is observed in only multilayer devices doped with Au. The CDF plot \nfor one -, two - and three -layer devices in Fig . 1f shows that the switching consistency improves as \nthe number of layers is increased from one to three, while keeping the total thickness of Al 2O3 \nconstant . This is likely because thinner oxide layers require shorter condu cting paths, thereby \nlowering stochasticity in the formation and disruption of conductive channels of oxygen vacancies \nbridged by Au dopants , giving rise to high uniformity as observed in previous studies on multilayer \noxides20-23. Thus, having a multilayer and Au-doped electrolyte together form a necess ary and \nsufficient condition to achieve high switching consistency in our system . \nEffect of Au doping on switching consistency : model based on first principles calculations 8 \n We have carried out d ensity functional theory (DFT) calculations to identify the effect of Au \ndoping on oxygen vacancy (V O) formation. Irradiation processes such as FIB milling result in the \ncreation and distribution of defects such as va cancies and interstitials. Here, w e focus on the effect \nof Au at the interstitial site in Al 2O3. The effect of Au at the substitutional site on Al is considered \nin Supplementary Table 1 and follows similar trends. The concentration of dopants in our \nsimulations is ∼4%. Table 1 shows the formation energ y of VO in undoped Al 2O3 and at the nearest \nneighbor site of the interstitial Au dopant in Al 2O3. The formation energy of V O next to the Au \ndopant is drastically lowered, by over 6 eV. This indicates that V O preferentially forms at the \nvicinity of the Au interstitial dopant. Since the Au atoms are pinned and stationary, the locations \nat which V O is formed are also fixed. This minimizes the randomness in V O formation, thus \ncreating defined local regions that are easily reduced, which then connect to form conducting paths. \nDefect Formation \nenergy (eV) \nVO 7.19 \nVO NN to Au 0.64 \nVO NN to Pt 0.47 \nVO NN to Pd 1.91 \nVO NN to Cu 3.61 \nVO NN to Ti 4.38 \nVO NN to Al 3.63 \n Defect c luster type Formation \nenergy (eV) Binding \nenergy (eV) \nVO cluster 7.01 0.18 \nVO cluster with Au 4.32 2.87 \nVO cluster with Pt 4.73 2.48 \nVO cluster with Pd 4.73 2.48 \nVO cluster with Cu 5.29 1.90 \nVO cluster with Ti 5.36 1.83 \nVO cluster with Al 5.40 1.79 \n \nTable 1. (left) Oxygen vacancy (V O) formation energies in undoped Al 2O3 and at the nearest \nneighbor (NN) site of the interstitial dopants (Au, Pt, Pd, Cu, Ti, and excess Al) in doped \nAl2O3; (right) Oxygen vacancy (V O) cluster formation energies and binding energies (per V O) \nin undoped and doped Al 2O3. \nIn addition to the formation ease of VO point defects, it is important to investigate the effect of the \nAu dopant on V O cluster formation. V O clusters act as building blocks for the formation of \nconducting paths or networks of conducting channel s via which resistive switching occurs. Table \n1 shows the calculated V O cluster formation energies in undoped and Au -doped Al 2O3. Cluster \nformation energy (per V O) represents the ease of forming a V O cluster in the presence of Au. It is \nclear that introduction of Au dopant into the cluster markedly lower s cluster formation energy . 9 \n The reduction in the formation energy of VO next to the Au dopant can be rationalized by \ninvestigating the density of states (D OS) and electron redistribution of the doped Al2O3 system. \nOxygen vacancy formation in undoped Al 2O3 is an energetically costly process because the \nelectrons that are left behind upon removing an oxygen atom cannot occupy the high energy, empty \ncation states and consequently, localize at the oxygen vacancy site. In contrast, i n the DOS plots \nof the Au -doped Al2O3 shown in Fig. 2a i and ii, it is clear that the Au interstitial introduces \nadditional states at the valence band maximum (VBM) of Al 2O3, along with mid -gap states. The \nlow-lying mid -gap states trap the electrons left behind upon the removal of an oxygen atom, \ncompleting the Au 6s orbital electron configuration ([Xe]4f145d106s1 → [Xe]4f145d106s2). The \nability t o uptake these electrons to the low -energy states decrease s the VO formation energy. This \ncapture mechanism of electrons from V O by the Au atom can be se en in the DOS plot in Fig. 2a ii , \nwhere the Au mid -gap states shift lower in energy. The corresponding partial charge density plot \nof the mid -gap states is shown in Fig. 2b, where an electron cloud around Au is clearly seen. \nAddit ionally, Au is a noble metal with a high electronegativity of 2.338. This leads to electron \nredistribution from Al to Au in Al2O3, facilitated by Au electronic states near the VBM of Al2O3 \nas noted above and shown in Fig. 2a i and ii. The calculated Bader charge47,48 on Au, nearest -\nneighbor Al and nearest -neighbor O in Au -doped Al 2O3 is -0.4 e, +2.41 e, and -1.55 e, respectively. \nThe magnitude of charge on nearest -neighbor O is lower than in the undoped case, where O has a \ncharge of -1.65 e. The presence of Au dopant thus leads to electron transfer from Al to the Au \natom instead of to O. Charge transfer from Al to Au weakens the nearest -neighbor Al -O bonds in \nthe Al 2O3 lattice, resulting in the lowering of the V O formation energy. Electron transfer from \nAl2O3 to Au dopant has been observed experimentally in prior work49,50, for example in Au-Al2O3 \nnanocomposites50 as well as upon adsorption of Au monomers on Al 2O3/NiAl49. \nThe initial structure of the Au -doped V O cluster with Au at the inters titial site in Al 2O3 is shown \nin Fig . 2d, with the positions of V O marked with black circles. The oxygen vacancy cluster \nintroduces multiple discrete mid -gap states , shown in Fig. 2 b i and ii. Introducing Au gives rise to \nadditional mid -gap states, particularly near the top of the valence band and the bottom of the \nconduction band. As seen in Fig. 2b , the dominant mid-gap states are from V O, and these states \ncan provide a path for electrons to tunnel through the oxide barrier from the cat hode to the anode11. \nIt is expected that , as the concentration of oxygen vacancies increases under applied field, the 10 \n number of localized states from V O in the band gap will increase, ultimately closing the band gap, \ngiving rise to metallic conduction. In fact, this is seen in the density of states plots of the Au -doped \nvacancy filament path model in S upplementary Fig. 6 and 7 (additional details regarding the \nfilament model can be found in Supplementary Tables 2 -4). The partial charge density of all the \ndefect states within the band gap of the relaxed, Au -doped system with VO cluster is shown in Fig. \n2e, revealing a localized, conductive cluster arising from the states introduced by oxygen \nvacancies . 11 \n \nFigure 2. (a) Total density of states (DOS) of Au -doped Al 2O3 (i) and of Au-doped Al 2O3 with \none VO at nearest neighbor site to Au (ii). (b) Total DOS of undoped Al2O3 with a 4-VO cluster \n(i) and Au-doped Al2O3 with a 4-VO cluster (ii). In all DOS plots, valance band maximum is \nat 0 eV. (c ) Band decomposed charge density profile of Au s -orbital in relaxed Au-doped \n12 \n Al2O3 with a single VO (Isosurface: 0.003 eV/ Å3), showing charge transfer to Au. (d) Initial \nstructure of the VO cluster in Au -doped Al 2O3 (position s of the nearest neighbor VO’s are \nmarked by black circles) . (e) Band decomposed charge density profile of electronic states \nwithin the bandgap for the rela xed Au -doped Al 2O3 with a 4-VO cluster around Au \n(Isosurface: 0.01 eV/Å3). \n \nNext we assess the V O cluster binding energies which are reflective of the preferential position of \nvacancy or vacancy cluster formation . Table 1 tabulates the V O cluster binding energies in undoped \nand Au -doped Al 2O3. Cluster binding energy (per V O), calculated as 𝐸𝑏(𝑛𝑉𝑂−𝐷)=\n(𝑛𝐸𝑓𝑉𝑂+𝐸𝑓𝐷−𝐸𝑓𝑛𝑉𝑂−𝐷)𝑛⁄, represents the energy required to dissociate the cluster into isolated \nVO and Au . The binding energy of the V O cluster in the undoped case as calculated in this study \nmatches well with previous theor etical studies on V O chains46 and V O pairs51. It is clear that the \npresence of the Au dopant increase s cluster binding energy (per V O). The positive binding energy \nindicates that the cluster is cohesive. Thus, introduction of Au not only make s VO cluster formation \nmore energetically favorable, but also enhance s cluster cohesion. Such short -range cohesive \nclusters can then act as building blocks for the formation of conducting paths across the entire \noxide layer . When Au is present, formation of vacancies and vacancy clusters preferentially occur \nnear the Au atoms, thereby, reducing stochasticity i n the formation of conducting paths and \nincreasing the cohesion and stability of these paths . \nGiven the favorable formation and binding energies of V O and V O clusters near the Au dopant, a \nreasonable question arises about whether this binding leads to a reduction in mobility of V O. As \nshown in Supplementary Fig s. 8-10 and Supplementary Tables 5 and 6 , we have calculated VO \nmigration barriers in the Au -doped system. In all the paths studied, we find that the migration \nbarriers are lower by ~0.5 eV compared to that in undoped Al 2O3. Thus, V O is more mobile in the \nAu-doped system as compared to the undoped system. This is due to charge transfer from V O to \nAu, reducing the trapped electron density in V O, and thereby making the migration of VO easier \nthan in the undoped Al 2O3. These results reveal favorable implications for switching speed and \nenergetics via V O formation and migration in Au doped Al 2O3. \n 13 \n Prediction of other dopants and their device tests \nGiven the above proposed connection between dopant electronegativity and switching consistency \nas explained in the previous section, we have assessed the effec t of more and less electronegative \ndopa nts on the VO point defect and Vo cluster formation energies , and on device switching \nrepeatabil ity. A range of dopants across the electronegativity scale38 was studied, namely, P t (2.1 ), \nPd (2.0 ), Cu (1.8) , Ti (1.6) and Al (1.5) interstitials . This expands the device design space as well \nas further strengthens the link between dopant electronegativity and device variability. \nAs seen in Table 1, while the formation energy of nearest -neighbor V O is generally lowered \nregardless of the interstitial dopant, for the highly electronegative Pt and Pd dopan ts, the formation \nenergy is very significantly lowered. Thus, similar to Au, electronegative dopants like Pt and Pd \nalso reduce the V O point defect and cluster formation energy considerably , and have higher cluster \nbinding en ergy. The relaxed structures of VO at the nearest neighbor site of these interstitial \ndopants can be found in Supplementary F ig. 19 , and the total DOS of the doped VO clusters can \nbe seen in Supplementary F ig. 20 . It is worthwhile to mention here that we have also studied the \nresulting change in the V O formation energy when these dopants were placed as substitutio nal at \nthe Al site , and we have found similar trends as the interstitial dopan ts (Supplementary Table 1 ). \nBader charge analysis47,48 revealed that , similar to the case with Au, charge tran sfer takes place \nfrom Al to Pt and Pd, but not to Cu, Ti or Al interstitial (Supplementary Table 7). Investigating \nthe electronic DOS, low-lying states near the VBM and mid -gap states are observed in the Pt - and \nPd-doped cases as well, but not in the Al -, Cu- and Ti -doped cases (see Supplementary Fig. 11 for \nlocal DO S plots for all cases ). The states near the VBM facilitate electron redistribution around \nthe electronegative Pt and Pd dopants, easing Al -O bond breakage . The mid -gap states trap the \nelectrons left behind upon removal of an oxygen atom, thus, lowering V O formation energy \nsignificantly. \nIt is noteworthy to point out here that while the difference between the electronegative and non -\nelectronegative elements is clear, the relative trend between the electronegative dopants can also \nbe explained. It is seen that V O defect formation energy next to Au and Pt is lower than Pd , by over \n~1 eV . This can be attributed to the relativistic contraction of the s - and p -orbitals of Au and Pt, \ndue to their significantly higher mass compared to Pd. As explained by Pyyk ko and Desclaux52, 14 \n this contraction leads to the 6s state of Pt and Au lying deeper in the atom (as compared to that in \nPd53), resulting in significant energy gains upon filling it. \nWe have validated t hese predictions on t he role of electronegativity of the dopant on creating \npreferential zones of higher conductivity, by performing switching experiments on these \ncompositions. Multilayer devices with the same geometry as shown in Fig . 1, were fabricated with \nPt, Pd, Cu, Ti and Al top electrode layers, and FIB milled after top electrode deposition to define \nthe device area. The I -V curves and corresponding CDF plots are shown in Fig. 3. In line with our \ncomputational predictions, devices doped with noble metals with high ele ctronegativities such as \nPt an d Pd exhibit markedly consistent switching behaviors, whereas the more reactive metals with \nlower electronegativities are seen to have erratic switching cycles. Clv of the devices doped with \nthe more electronega tive metals is almost two orders of magnitude lower than those doped with \nCu, Ti and Al. 15 \n \nFigure 3. Dopant metals from a range of electronegativities - Au, Pt, Pd, Cu, Ti, Al \n(corresponding to (a), (c), (e), (g), (i), (k))- were tested to observe their effects on switching \nconsistency of Al2O3. The cumulative distribution function for the LRS and HRS resistances \nare plotted in (b), (d), (f), (h), (j), (l). Dopants with higher electronegativities (Au, Pt, Pd) \nhave CDF plots w ith narrower widths, indicating that Al2O3 layers doped with these metals \nhave more consistent switching , consistent with predictions of easier Vo and Vo cluster \nformation shown in Table 1 and Figure 2 . Dopants with lower electronegativities (Cu, Ti, Al) \nexhibit erratic switching , and with poor ON -OFF ratios. \n16 \n \nMultibit switching \nThis high switching consistency , demonstrated by Au, Pd and Pt dopants in Al2O3 is beneficial for \nachieving multibit switching . From the I -V plot s in Fig. 4 (and also in Fig . 3a,c,e) , it can be \nobserved that the se doped device s exhibit a gradual SET transition. The gradual SET transition \nallows the modulation of resistance states in a continuum manner , a key requirement for analog \ncomputing. The choice of a different terminating cycle voltage in the voltage -sweep measurements \nleads to different final resistance states , with distinct I -V traces , as seen in Fig. 4a . A more negative \nterminating cycle voltage puts the device in a more conductive LRS state (plotted in Fig. 4b and \n4c), and subsequently also has a RESET transition that occurs at a larger voltage . The gradual \nincrease in conductivity during SET is likely via the increase in the volume of c onductive \npathways11. The larger the change in the resistance state upon set, the larger the positive reset \nvoltage that was needed, as also seen in Fig. 4a. It is worthwhile to point out here that this multibit \nswitching is demonstrated using a blind strategy , i.e., without any feedback control to read the \nresist ance state and make adjustments. Additionally, no external control circuitry was used to \nenforce a SET current compliance. This simplifies the circuit design significantly, which will be \nuseful in reducing the effective footprin t of each cell for future multi bit RRAM arrays. Additional \ndetails regarding this scheme are elaborated in Supplementary Figs. 17 and 18 . This feature of \nmultilevel resistance states, along with the superior switching consistency, makes these devi ces \nfavorable candidates for multibit resistive switching. The multibit switching exhibited here could \nalso extend the range of programming options for neuromorphic computing applications which \ncurrently relies on voltage or current pulses to update each device . \n \n17 \n Figure. 4. The gradual SET process is con venient for demonstrating multi bit switching in \nAl2O3. In (a), multiple switching cycles were performed with variable terminating voltage s \nbetween -0.5 to -4V, and a fixed maximum voltage at 3.5V. Each switching cycle is color -\ncoded according to that minimum terminating voltage used. For example, a voltage sweep \nfrom -4V to 3.5V is shown in blue, has the largest hysteresi s that indicates the extent of \nswitching , and the sharpest RESET onset at about 2.8V. On the other hand, a voltage sweep \nfrom -1V to 3.5V is shown in green and has barely any hysteresis or switching. Subplot (b) \nand (c) shows that the simple use of a chose n terminating voltage is able to put the device \ninto a predictable state as characterized by the switchable current in (b) and the device \nconductance in (c). The switchable current is defined as the difference in the device current \nas set and the lowest cu rrent measured in the OFF (highest resistance) state. The green, red \nand blue overlays in (b) show the nature of one I -V sweep when the device is set by -2V, -\n2.8V and -3.9V as terminating voltages, respectively. No current compliance was needed to \nbe prog rammed in the sourcemeter used for these measurements. \n \nConclusion \nIn conclusion, this study identified that doping the insulating oxide electrolyte in the RRAM device \nwith electronegative metal dopants can significantly improve the device switching consistency . \nOur computational analysis reveal that electronegative dopants act as preferential sites for the \nformation of V O point defects and clusters, and also increase the binding energy of the Vo cluster s \nin Al2O3. This is because the midgap states introduced by the electronegative metal dopants capture \nthe electrons left behind upon removal of oxygen , and also weaken Al -O bonds, facilitating V O \nformation. These clusters then act as building blocks for the formation of networks of conductive \nand cohesive V O filaments. Thus, electronegative dopants reduce the number of possible filament \npathways and thereby increase the uniformity of each device. Additionally, the mid-gap states that \nare introduced domina ntly by the VO cluster s provid e a path for easy electron conduction. The \ndevices doped with electronegative dopants , Au, Pt, Pd, have a cycle -to-cycle variation of just \n~0.05 in log -scale for the HRS and LRS, and have a logarithmic coefficient of variation almost \ntwo orders of magnitude lower than those doped with active elements , Cu, Ti, Al. This high \nuniformity, coupled with the gradual SET transition of the device, was used to demonstrate 18 \n multibit switching capability without any external circuitry. Thus, this work enables the \ndevelopment of a high yield, electroforming -free RRAM device with minimal footprint, superior \nswitching consistency and multibit capability, while also providing mechanistic insight s into \nstrategies to improve device uniformity. This will benefit efforts in RRAM device design and \nintegration into crossbar arrays for use in neuromorphic computing applications . \n \n 19 \n Methods \nA. Density functional theory calculations \nThe energetics of the 2 x2x1 perfect supercell (a=9.62 Å, c=13.13 Å ) and of all the defects were \ncalculated using density functional theory (DFT) using a plane -wave basis set, projector -\naugmented wave pseudopotentials54 and the Perdew -Burke -Ernzerhof (PBE) parameterization of \nthe generalized gradient approximation (GGA)55 as the exchange -correlation fu nctional, as \nimplemented in the Vienna ab initio Simulation Package (VASP)56 v.5.4.1. A kin etic energy cutoff \nof 520 eV and a gamma -centered 2x2x2 k -point mesh was used, resulting in a convergence \naccuracy of < 1meV/atom. All calculations were performed with a Gaussian smearing width of \n0.05 eV and spin -polarized setting. Atomic positions were r elaxed until the force on each atom \nwas below 0.02 eV/Å. \nThe formation energy of a neutral oxygen vacancy (V O) in bulk Al 2O3 was calculated as \n𝐸𝑓=𝐸𝐷𝐹𝑇𝑉𝑂−𝐸𝐷𝐹𝑇𝑝𝑒𝑟𝑓−𝜇𝑂 (1) \nwhere 𝐸𝑓 is the formation energy of V O in bulk Al 2O3; 𝐸𝐷𝐹𝑇𝑉𝑂 is the DFT energy of the supercell \nwith a V O; 𝐸𝐷𝐹𝑇𝑝𝑒𝑟𝑓 is the DFT energy of the perfect supercell with no defects, and 𝜇𝑂 is the chemical \npotential of oxygen in the system, calculated in the oxygen -rich limit as given in Equation 3. \nThe formation energy of V O nearest neighbor (NN) to a dopant, with the dopant occupying the \noctahedral interstitial site of Al 2O3) was calculated as \n𝐸𝑓=𝐸𝐷𝐹𝑇𝑉𝑂−𝐷−𝐸𝐷𝐹𝑇𝐷−𝜇𝑂 (2) \nwhere 𝐸𝑓 is the formation energy of V O at the NN site to the dopant; 𝐸𝐷𝐹𝑇𝑉𝑂−𝐷 is the DFT energy of \nthe supercell with the dopant and V O at its NN site; 𝐸𝐷𝐹𝑇𝐷 is the DFT energy of the supercell with \nthe dopant at the interstitial site, and 𝜇𝑂 is the chemical potential of oxygen in the system, \ncalculated in the oxygen -rich limit, i.e., \n𝜇𝑂(𝑇,𝑃𝑂2)=1\n2[𝐸𝑂2𝐷𝐹𝑇+𝐸𝑜𝑣𝑒𝑟 +𝜇𝑂20(𝑇,𝑃0)+𝑘𝑇𝑙𝑛 (𝑃𝑂2\n𝑃0)] (3) \nwhere 𝐸𝑂2𝐷𝐹𝑇is the DFT energy of the O 2 molecule, 𝐸𝑜𝑣𝑒𝑟 is the correction for the O2 overbinding \nerror caused by GGA, taken as 1.36 eV as identified by Wang et al.57 𝜇𝑂2𝑜(𝑇,𝑃𝑜) is the difference \nin chemical potential of O 2 gas between 𝑇=0 𝐾 and the temperature of interest, at a reference 20 \n pressure of 𝑃𝑜=1 𝑎𝑡𝑚, as obtained from thermo -chemical tables; 𝑃𝑂2 is the partial pressure of \noxygen gas ( 1 𝑎𝑡𝑚 in the O -rich limit). \nThe cluster formation energy (per V O) in u ndoped Al 2O3 was calculated as \n𝐸𝑓=(𝐸𝐷𝐹𝑇𝑛𝑉𝑂−𝐸𝐷𝐹𝑇𝑝𝑒𝑟𝑓−𝑛𝜇𝑂)𝑛⁄ (4) \n \nand in doped Al 2O3, it was calculated as \n𝐸𝑓=(𝐸𝐷𝐹𝑇𝑛𝑉𝑂−𝐷−𝐸𝐷𝐹𝑇𝐷−𝑛𝜇𝑂)𝑛⁄ (5) \n \nwhere 𝐸𝑓 is the formation energy of V O cluster in the undoped and doped case respectively, 𝐸𝐷𝐹𝑇𝑛𝑉𝑂 \nis the DFT energy of the supercell with only the 𝑛 VO (𝑛 = 4) cluster, 𝐸𝐷𝐹𝑇𝑛𝑉𝑂−𝐷 is the DFT energy \nof the supercell with the dopant and 𝑛 VO (𝑛 = 4) cluster, 𝐸𝐷𝐹𝑇𝐷 is the DFT energy of the supercell \nwith only the dopant at the interstitial site, 𝐸𝐷𝐹𝑇𝑝𝑒𝑟𝑓 is the DFT energy of the perfect supercell with \nno defects, and 𝜇𝑂 is the chemical potential of oxygen in the system, calculated in the oxygen -rich \nlimit as in Equation 3. \nThe cluster binding energy (per V O) in the undoped case was calculated as \n𝐸𝑏(𝑛𝑉𝑂)=(𝑛𝐸𝑓𝑉𝑂−𝐸𝑓𝑛𝑉𝑂)𝑛⁄ (6) \nand in the doped case, it was calc ulated as \n𝐸𝑏(𝑛𝑉𝑂−𝐷)=(𝑛𝐸𝑓𝑉𝑂+𝐸𝑓𝐷−𝐸𝑓𝑛𝑉𝑂−𝐷)𝑛⁄ (7) \nwhere 𝐸𝑓𝑉𝑂,𝐸𝑓𝐷 have been defined before, and 𝐸𝑓𝑛𝑉𝑂,𝐸𝑓𝑛𝑉𝑂−𝐷 are the formation energies of the V O \ncluster in the undoped and doped cases respectively. \nB. ALD deposition of WN/ Al2O3 stack \nN-type degenerate Si wafers were purchased from University Wafer. Wafers were dipped in 1:50 \nHF:H 2O for 60s to remove native oxide and spin rinsed dried. Next, a wafer was loaded into an \nOxford FlexAL ALD machine for plasma -enhanced deposition of alternate layers of WN and \nAl2O3 to give the stack Si/7.5nm WN/2.0nm Al 2O3/3.0nm WN/2.0nm Al 2O3/3.0nm WN/2.0 nm 21 \n Al2O3. The bottom electrode of the resistive switching device is the 7.5nm WN. The purpose of \nthis WN is to build the stack starting from a well -defined layer to avoid wafer to wafer variations \nfrom an uncertified supply of Si wafers. WN is used instead of other commonly used metals due \nto CMOS requirements imposed on this shared ALD machine. For a 2 -layer or 1 -layer Al 2O3 \ndevice, the thickness of each oxide layer will be increased to 3.0nm and 6.0nm respectively so that \nthe combined oxide layer thicknes s remains constant. Deposition was done at 300oC. The \ndeposition of WN is a N 2/H2 plasma -enhanced reaction with bis(tert -\nbutylimino)bis(dimethylamino)tungsten(VI) (BTBMW) precursor. The deposition of Al 2O3 is a \nO2 plasma -enhanced reaction with trimethylalu minum (TMA) precursor. Both recipes were \nsupplied by the manufacturer. The thin fi lm thickness were determined via X -ray re flectivity u sing \na Rigaku SmartLab X -ray diffractometer, with both single fi lms on wafers or c omposite fi lms on \nwafers measured. The growth rate of WN and Al 2O3 was deduced to be 0.5Å /cy and 1.0Å /cy \nrespectively on the Oxford FlexAL ALD machine. \nC. Au deposition and FIB ion milling \nAu deposition is typically performed on a Balzers tabletop sputterer at 130V and 40mA for 150s \nto give a film thickness of 30nm. There is no di fference in device performance when Au is instead \nbeing deposited with a AJA International magnetron sputterer or an e -beam deposition machine. \nNo additional meta l adhesion layer is used for Au deposition. A 30kV Ga ion beam on a FEI Helios \nNanoLab 600i DualBeam FIB/SEM was used to mill away material to produce a square mesa \nwhere each side of this square is 50 μm and the width of the milled border is 1 μm. The SEM m ode \nwas used to image the chip to set -up for the FIB so there is no unintended FIB damage except as \nintended around the perimeter of the mesa. The milling was performed to a depth that exposes the \nSi substrate. This corresponds to an areal Ga ion dose of 8 0 to 120pC/ μm2. Resistive switching \ndevices start in the LRS after ion beam milling without a need for electroforming. \nD. Electrical measurements \nProbing of the mesa was done with a 25 μm diameter gold wire tip to contact the topmost Au fi lm \nof a typical device on a custom -built probe station. The gold wire is soft and is great for avoiding \nscratches to the top fi lm. A standard tungsten probe from Signatone (probe tip no. SE -T) can also \nbe used. No differenc e in device performance was observed regardless whether the probe is an Au 22 \n wire or a tungsten probe. The stiff er tungsten probe was necessary if the top fi lm was Cu, Al, Ti \nand not Pt, Pd or Au because the Au wire is unable to punch through the native oxid e of these \nmetals. A Keithley 2450 sourcemeter was used to source voltage and measure current. \n \n \n \n 23 \n References \n1 Ielmini, D. & Wong, H. -S. P. In -memory computing with resistive switching devices. Nature \nElectronics 1, 333 -343 (2018). \n2 Yang, Y. & Lu, W. Nanoscale resistive switching devices: mechanisms and modeling. Nanoscale 5, \n10076 -10092 (2013). \n3 Chen, A. A revie w of emerging non -volatile memory (NVM) technologies and applications. Solid -\nState Electronics 125, 25-38 (2016). \n4 Yu, S. & Chen, P. -Y. Emerging memory technologies: Recent trends and prospects. IEEE Solid -State \nCircuits Magazine 8, 43-56 (2016). \n5 Linn, E., Rosezin, R., Kügeler, C. & Waser, R. Complementary resistive switches for passive \nnanocrossbar memories. Nature materials 9, 403 -406 (2010). \n6 Hasegawa, T., Terabe, K., Tsuruoka, T. & Aono, M. Atomic switch: Atom/ion movement controlled \ndevices f or beyond Von -Neumann computers. Advanced Materials 24, 252 -267 (2012). \n7 Wang, Z. et al. Memristors with diffusive dynamics as synaptic emulators for neuromorphic \ncomputing. Nature materials 16, 101 -108 (2017). \n8 Yang, J. J. et al. The mechanism of electr oforming of metal oxide memristive switches. \nNanotechnology 20, 215201 (2009). \n9 Kuzum, D., Jeyasingh, R. G. D., Lee, B. & Wong, H. S. P. Nanoelectronic programmable synapses \nbased on phase change materials for brain -inspired computing. Nano letters 12, 21 79-2186 \n(2012). \n10 Molas, G. et al. Resistive Memories (RRAM) Variability: Challenges and Solutions. ECS Transactions \n86, 35 (2018). \n11 Wong, H. -S. P. et al. Metal –oxide RRAM. Proceedings of the IEEE 100, 1951 -1970 (2012). \n12 Kuzum, D., Yu, S. & Wong, H. P . Synaptic electronics: materials, devices and applications. \nNanotechnology 24, 382001 (2013). \n13 Lanza, M. et al. Recommended methods to study resistive switching devices. Advanced Electronic \nMaterials 5, 1800143 (2019). \n14 Wang, Y. et al. Improving the e lectrical performance of resistive switching memory using doping \ntechnology. Chinese science bulletin 57, 1235 -1240 (2012). \n15 Lu, Y. M. et al. Elimination of high transient currents and electrode damage during \nelectroformation of TiO2 -based resistive switching devices. Journal of Physics D: Applied Physics \n45, 395101 (2012). \n16 Veksler, D. et al. in 2019 IEEE International Reliability Physics Sy mposium (IRPS). 1-5 (IEEE). \n17 Jeong, D. S. et al. Emerging memories: resistive switching mechanisms and current status. Reports \non progress in physics 75, 076502 (2012). \n18 Gokmen, T. & Vlasov, Y. Acceleration of deep neural network training with resisti ve cross -point \ndevices: Design considerations. Frontiers in neuroscience 10, 333 (2016). \n19 Li, C. et al. Efficient and self -adaptive in -situ learning in multilayer memristor neural networks. \nNature communications 9, 1-8 (2018). \n20 Akbari, M., Kim, M. -K., Kim, D. & Lee, J. -S. Reproducible and reliable resistive switching behaviors \nof AlO X/HfO X bilayer struc tures with Al electrode by atomic layer deposition. RSC advances 7, \n16704 -16708 (2017). \n21 Woo, J. et al. Improved synaptic behavior under identical pulses using AlO x/HfO 2 bilayer RRAM \narray for neuromorphic systems. IEEE Electron Device Letters 37, 994 -997 (2016). \n22 Banerjee, W. et al. Variability improvement of tio x/al2o3 bilayer nonvolatile resistive switching \ndevices by interfacial band engineering with an ultrathin al2o3 dielectric material. ACS omega 2, \n6888 -6895 (2017). 24 \n 23 Fang, Z. et al. HfOx/TiOx/HfOx /TiOx Multilayer -Based Forming -Free RRAM Devices With Excellent \nUniformity. IEEE Electron Device Letters 32, 566 -568 (2011). \n24 Zalar, A., Van Lier, J., Mittemeijer, E. & Kovač, J. Interdiffusion at TiO2/Ti, TiO2/Ti3Al and TiO2/TiAl \ninterfaces studied in bilayer structures. Surface and Interface Analysis: An International Journal \ndevoted to the development and application of techni ques for the analysis of surfaces, interfaces \nand thin films 34, 514 -518 (2002). \n25 Chen, L. et al. Enhancement of Resistive Switching Characteristics in Al2O3 -Based RRAM With \nEmbedded Ruthenium Nanocrystals. IEEE Electron Device Letters 32, 794 -796 (2011) . \n26 Gao, L., Li, Y., Li, Q., Song, Z. & Ma, F. Enhanced resistive switching characteristics in Al2O3 \nmemory devices by embedded Ag nanoparticles. Nanotechnology 28, 215201 (2017). \n27 Peng, C. -S. et al. Improvement of resistive switching stability of HfO2 films with Al doping by \natomic layer deposition. Electrochemical and Solid -State Letters 15, H88 -H90 (2012). \n28 Wang, Z. et al. Highly Uniform, Self -Compliance, and Forming -Free ALD HfO2 -Based RRAM With \nGe Doping. IEEE Transactions on electron devices 59, 1203 -1208 (2012). \n29 Gao, B. et al. in 2009 Symposium on VLSI Technology. 30-31 (IEEE). \n30 Liu, Q. et al. Resistance switching of Au -implanted -ZrO 2 film for nonvolatile memory application. \nJournal of Applied Physics 104, 114514 (2008). \n31 Tan, T., Guo, T . & Liu, Z. Au doping effects in HfO2 -based resistive switching memory. Journal of \nalloys and compounds 610, 388 -391 (2014). \n32 Zhang, H. et al. Ionic doping effect in ZrO 2 resistive switching memory. Applied Physics Letters 96, \n123502 (2010). \n33 Jiang, H. & Stewart, D. A. Using dopants to tune oxygen vacancy formation in transition metal \noxide resistive memory. ACS applied materials & interfaces 9, 16296 -16304 (2017). \n34 Yang, M. Y. et al. Role of nitrogen incorporation into Al2O3 -based resistive random -access \nmemory. Applied Physics Express 7, 074202 (2014). \n35 Zhao, L., Park, S. -G., Magyari -Köpe, B. & Nishi, Y. Dopant selection rules for desired electronic \nstructure and vacancy formation characteristics of TiO2 resistive memory. Applied Physics L etters \n102, 083506 (2013). \n36 Shapovalov, V. & Metiu, H. Catalysis by doped oxides: CO oxidation by AuxCe1− xO2. Journal of \nCatalysis 245, 205 -214 (2007). \n37 Becker, J. S., Suh, S., Wang, S. & Gordon, R. G. Highly conformal thin films of tungsten nitride \nprepared by atomic layer deposition from a novel precursor. Chemistry of materials 15, 2969 -\n2976 (2003). \n38 Gordy, W. & Thomas, W. O. Electronegativities of the elements. The Journal of Chemical Physics \n24, 439 -444 (1956). \n39 Tan, Z. J. & Fang, N. X. Metric for Quantifying Switching Variability in Resistive Switching Devices. \nIEEE Electron Device Letters 40, 1546 -1549 (2019). \n40 Panja, R., Roy, S., Jana, D. & Maikap, S. Impact of device size and thickness of Al 2 O 3 film on the \nCu pillar and resistive switc hing characteristics for 3D cross -point memory application. Nanoscale \nresearch letters 9, 692 (2014). \n41 Qi, Y. et al. Comparisons of switching characteristics between Ti/Al2O3/Pt and TiN/Al2O3/Pt \nRRAM devices with various compliance currents. Semiconducto r Science and Technology 33, \n045003 (2018). \n42 Tsigkourakos, M., Bousoulas, P., Aslanidis, V., Skotadis, E. & Tsoukalas, D. Ultra‐Low Power \nMultilevel Switching with Enhanced Uniformity in Forming Free TiO2− x‐Based RRAM with \nEmbedded Pt Nanocrystals. phys ica status solidi (a) 214, 1700570 (2017). \n43 Jana, D. et al. Conductive -bridging random access memory: challenges and opportunity for 3D \narchitecture. Nanoscale research letters 10, 188 (2015). 25 \n 44 Waser, R., Dittmann, R., Staikov, G. & Szot, K. Redox‐based resistive switching memories –\nnanoionic mechanisms, prospects, and challenges. Advanced materials 21, 2632 -2663 (2009). \n45 Lee, D. et al. in 2006 International Electron Devices Meeting. 1-4 (IEEE) . \n46 Yang, M. Y. et al. Physical Guiding Principles for High Quality Resistive Random Access Memory \nStack with Al2O3 Insertion Layer. Japanese Journal of Applied Physics 52, 04CD11 (2013). \n47 Bader, R. F. A quantum theory of molecular structure and its applications. Chemical Reviews 91, \n893-928 (1991). \n48 Tang, W., Sanville, E. & Henkelman, G. A grid -based Bader analysis algorithm without lattice bias. \nJournal of Physics: Condensed Matter 21, 084204 (2009). \n49 Nilius, N. et al. Counting electrons transferred through a thin alumina film into Au chains. Physical \nreview letters 100, 096802 (2008). \n50 Garcıa -Serrano, J., Galindo, A. & Pal, U. Au –Al2O3 nanocomposites: XPS and FTIR spectroscopic \nstudi es. Solar energy materials and solar cells 82, 291 -298 (2004). \n51 Dawson, J. A. & Robertson, J. Nature of Cu Interstitials in Al2O3 and the Implications for Filament \nFormation in Conductive Bridge Random Access Memory Devices. The Journal of Physical \nChemi stry C 120, 14474 -14483 (2016). \n52 Pyykko, P. & Desclaux, J. P. Relativity and the periodic system of elements. Accounts of Chemical \nResearch 12, 276 -281 (1979). \n53 Messmer, R., Salahub, D., Johnson, K. & Yang, C. Y. The interaction of atomic hydrogen with Ni, \nPd, and Pt clusters. Chemical Physics Letters 51, 84-89 (1977). \n54 Kresse, G. & Joubert, D. From ultrasoft pseudopotentials to the projector augmented -wave \nmethod. Physical review b 59, 1758 (1999). \n55 Perdew, J. P., Burke, K. & Ernzerhof, M. Generalized gradient approximation made simple. Physical \nreview letters 77, 3865 (1996). \n56 Kresse, G. & Furthmüller, J. Efficiency of ab -initio total energy calculations for metals and \nsemiconductors using a plane -wave basis set. Computational materials science 6, 15-50 (1996). \n57 Wang, L., Maxisch, T. & Ceder, G. Oxidation energies of transition metal oxides within the GGA+ \nU framework. Physical review b 73, 195107 (2006). \n \n \n 1 Supplementary Materials \n \nElectronegative metal dopants improve switching consistency in Al 2O3 resistive \nswitching devices \nZheng Jie Tan1*, Vrindaa Somjit1*, Cigdem Toparli2, Bilge Yildiz1,2,a), and Nicholas Fang3,b) \n \n \nI. Estimation of sideward implantation profile ................................ ................................ ................................ 2 \nII. Alternative fabrication techniques ................................ ................................ ................................ ............... 5 \nIII. Direct dependence on device properties with milling ................................ ................................ ................ 7 \nIV. Effect of substitutional dopants on V O formation and binding energies in Al 2O3 ................................ ....... 8 \nV. V O filament model ................................ ................................ ................................ ................................ ........ 9 \nVI. Migration energy studies in undoped and Au -doped Al 2O3 ................................ ................................ ..... 13 \nVII. Bader charge analysis ................................ ................................ ................................ .............................. 16 \nVIII. Local density of states of all interstitial dopants ................................ ................................ .................... 17 \nIX. Device illustration ................................ ................................ ................................ ................................ .... 18 \nX. TEM imaging of ALD deposited thin films ................................ ................................ ............................... 19 \nXI. Aging and annealing observation ................................ ................................ ................................ ............... 20 \nXII. Electroforming not required ................................ ................................ ................................ .................... 21 \nXIII. Onset voltage distribution ................................ ................................ ................................ .................... 22 \nXIV. Multibit switching scheme ................................ ................................ ................................ ..................... 23 \nXV. Relaxed structures of VO at nearest neighbor site of interstitial dopant ................................ ................... 25 \nXVI. Total density of states of doped VO clusters ................................ ................................ ....................... 26 \nXVII. Simulated network model ................................ ................................ ................................ ..................... 27 \nXVIII. Considerations for determination of implantation profile ................................ ................................ ... 30 \nSupplementary references ................................ ................................ ................................ ............................. 31 \n \n 2 I. Estimation of sideward implantation profile \nAn estimation of the sideward implantation profile and concentration of Au dopants in Al 2O3 as a \nside effect of FIB milling can be obtained with the help of Stopping and Range of Ions in Matter \n(SRIM) simulati ons. \nThere are two steps to the implantation process. First, 30 keV Ga ions impinge on the Au top elec-\ntrode and some Au atoms are sputtered off this surface. Next, these sputtered Au atoms take off \nwith some direction and some energy and have a chance of being implanted sideways into Al 2O3 if \nit is ejected in the right direction and with a sufficient high energy. \nTo model the final step, SRIM simulation for 30 keV Ga ions into an Au film was performed to obtain \nthe statistics for sputtered Au atoms (see Supplementary Figure 1). The distribution of the ejected \nAu atoms can be fitted noting that this fitting should match the SRIM data where sputtered Au atoms \nare more plent iful outwards normal to the surface and tend to have low energies rather than high \nenergies. \n \nSupplementary Figure 1. (a) 2D distribution of sputtered Au atoms from Au film due to imping-\ning 30 keV Ga ions. (b) The angular distrib ution of sputtered Au atoms can be plotted sepa-\nrately, with a good fit found to be proportional to sin3(θ). (c) The energy distribution of sputtered \nAu atoms can be plotted separately, with a good fit found to a 1/E2 profile. \nFor the second step, multiple sets of simulation was performed, each set for free Au atoms of a \nspecific energy incident on Al 2O3 at a specific angle, to find out the implantation profile of the Au \natoms (see Supplementary Figure 2). The implantation depth can then be fitted with a Gaussian \ndistribution which depends on the energy and incident angle as parameters. \n3 \nSupplementary Figure 2. SRIM simulations for various incident angles and ion energies of Au \ninto Al 2O3 were performed. (a), (b), (c) correspond to incident angles of 0, 30 and 60 °. The lines \nvary from black to red, corresponding to a variation of energy from 0.1, 0.2, 0.5, 1, 2, 5, 10, 15, \n20, 25, 30 to 35 keV. The pale blue curve behind each line corresponds to a model fit of the \nSRIM simulation data, showing that it is possible to obtain good model predictions of the SRIM \noutcome. \nFinally, the two models can be combined for a r ough estimate of the implantation depth of Au atoms \ninto Al 2O3 (see Supplementary Figure 3). However, this requires significant assumption of how both \nthe microscopic and macroscopic morphology of the device changes during the process of the mill-\ning, so this means the implantation depth is good for a qualitative than a quantitative measure. \nAlthough the quantitative scale is uncertain, the overall trend is how ever expec ted to be reliable, so \nthis means that some stray Au atoms are expected deep in Al 2O3 with a decaying profile. The sim-\nulated implantation profile of Au atoms suggests that there is a large planar concentration of Au \nnear the surface of Al 2O3 after implantation. \n \n4 \nSupplementary Figure 3. The result from the implantation modelling can be plotted either nu-\nmerically in (a) or graphically in (b) assuming a 2D cross -sectional view of the Al 2O3 of one \natom thick. The impla ntation assumes no interaction between implanted Au and results in a \nlarge number density of Au between 1.5 and 2.2 nm deep. \n \n \n5 II. Alternative fabrication techniques \nA few other deposition requirements exist in addition to the need of multiple oxide layer s for con-\nsistent resistive switching. The use of FIB in defining the device was further verified to be necessary. \nAs a counter example, a chip deposited with the same repeating stack of WN and Al 2O3 in the \nPEALD chamber was patterned with Au contact pads through a shadowmask using e -beam depo-\nsition. The devices were measured under a probe station and found to require large electroforming \nvoltages of >10V, had wildly variable characteristics from devi ce to device, and often failed by being \npermanently stuck in either the LRS or HRS. \nWe also identified process parameters which are relatively less important in contributing to the re-\nsistive switching behavior observed. The knowledge of which process para meters are of low im-\nportance is helpful in relaxing the conditions of device fabrication. The deposition method of the top \nAu layer is inconsequential as consistent switching is observed regardless whether the Au deposi-\ntion is performed using e -beam deposi tion, DC or RF sputtering, or even using a tabletop Au sput-\nterer. PEALD was used for the deposition of the repeated WN/Al 2O3 stacks as the machine is an \nindustrial grade tool in a strictly controlled process environment and can be reliably expected to \nproduce repeatable depositions. We have recently migrated the recipe for deposition of the same \nstack with reactive sputtering in a RF/DC sputterer with some success. As a side note, thermal ALD \nof the WN/Al 2O3 stack did not produce a switchable device. This t urns out to be simply due to the \nbis(tert -butylimino)bis(dimethylamino) -tungsten precursor for WN not adhering on preceding Al 2O3 \nlayers but is potentially solvable by some novel modification of the thermal ALD recipe. \nLastly, we also have initial success with substituting the FIB milling treatment with co -sputtering of \nAu with O 2 reactive sputtering of Al to replicate the effects of Au implantation (see Supplementary \nFigure 4). The HRS and LRS of the Au co -doped device has a higher resistance than is typical of \nthe usual FIB milled processed devices, but perhaps these resistances could be lowered by increas-\ning the doping frequency or concentration of Au. This modificati on will eliminate the need to do \nexpensive FIB milling processing or Au implantation into our device to activate the device for resis-\ntive switching. The use of co -doping to incorporate Au dopants into the Al 2O3 layer will be a useful \nand independent parame ter which can be independently used to adjust the HRS or LRS resistance \nas needed. In contrast, the magnitudes of the HRS and LRS resistances is more typically tuned by \nvarying the thickness of the oxide in switching films but this would be an undesirable method be-\ncause the switching behavior is largely dependent on the oxide thickness. \nThese results from alternative fabrication processes further strengthens our hypothesis that im-\nplanted Au atoms in Al 2O3 is the key contributor for the highly consistent res istive switching behavior \nwe observed. 6 \nSupplementary Figure 4. (a) and (d) shows two examples of doping Au into 4 nm of reactively \nsputtered Al 2O3 where there is one such Au dopant layer for each of the two Al 2O3 layer in (a) \nwhile 7 such layers exist for (d). Each Au layer is the equivalent of 1 Å in deposition thickness \nbut is expected to form Au islands upon deposition due to its thin thickness and the low wet-\ntability of Au on Al 2O3. (b) & (c) show 157 switchin g cycles from 1 device while (e) & (f) show \n870 cycles across 8 devices. The switching is fairly consistent but will require more optimiza-\ntion of the Au co - deposition parameters to more closely match the switching behaviors of the \nAu-implanted devices. No netheless, the improved switching behavior with Au co -doping is in \nsupport of our hypothesis. \n \nSupplementary methods for alternative reactive sputtered deposition \nAs an alternative to ALD deposition, the WN and Al 2O3 can also be deposited by reactive sputt ering. \nWN was reactively sputtered with a 29 sccm Ar and 6 sccm N 2 mix at 3mT and DC 150W power on \nan AJA International Phase II J sputterer. Al 2O3 was reactively sputtered with a 29 sccm Ar and 6 \nsccm O 2 mix, with plasma struck at 30mT and deposition at 3 mT. The deposition rate of Al 2O3 was \n0.11 Å/s with a 300W RF power supply. If substituting FIB Au ion implantation, co -doping of Au can \nbe done at this stage by flashing 2s to 5s of Au plasma at 20W DC for 3 to 7 times spaced evenly \nwithin each Al 2O3 layer. \n \n \n7 III. Direct dependence on device properties with milling \nDevices with e -beam deposited contact pads can be made to demonstrate consistent switching by \nFIB milling lines into them. Multiple devices with e -beam deposited contact pads of various sizes \nand milled lines of various lengths were created. The conductance of both of the LRS and HRS was \nfound to vary linearly with respect to the length of the milled line while being independent on the \ndevice area (see Supplementary Figure 5). Also, the direct dependence on the resistive switching \nbehaviour and the FIB milling and null dependence with device area indicates that this device can \nbe scaled down significantly. \n \nSupplementary Figure 5. (a) A 30nm thick Au contact pad of variable size was deposited with \ne-beam deposition through a shadowmask onto the usual triply repeated WN/Al 2O3 stack. A \nline with variable length was then milled into this Au contact pad with an FIB machine. (b) There \nis a linear relation of the obtained device conductances with the FIB milled lengths but no de-\npendence on the contact pad area. This shows the device character is directly determined by \nthe FIB milling and implies that the device area can be scaled down arbitrary. \n \n \n8 IV. Effect of substitutional dopants on VO formation and binding ener-\ngies in A l2O3 \nAs shown in Supplementary Table 1, the e ffect of substitutional doping of the Al site on formation \nenergy of a single neutral VO at the nearest neighbor site to the dopant follow a trend similar to the \ninterstitial doped cases discussed in the main text. Noble metal dopants Au, Pd, Pt lower the VO \nformation energy more significan tly than the more reactive Cu and Ti dopants. \n \nDefect Formation energy \nEf (eV) \nVO 7.19 \nVO NN to Au 3.66 \nVO NN to Pt 4.14 \nVO NN to Pd 4.19 \nVO NN to Cu 4.34 \nVO NN to Ti 6.68 \n \nSupplementary Table 1. Oxygen vacancy ( VO) formation energies in undoped Al 2O3 and at near-\nest neighbor (NN) site of substitutional dopants at the Al site in doped Al 2O3 \n \n 9 V. VO filament m odel \nIn addit ion to VO clusters, VO filaments were investigated using DFT. We used the vacancy chain \nmodel as simulated previously in Al 2O31, HfO 22 and TiO 23,4, i.e., consisting of 8 nearest neighbor VO \nalong [21̅1̅0]. This represents an ordered conductive fi lament . The dopant was inserted in an octa-\nhedral interstitial site at the center of the chain. Supplementary Table 2 shows the calculated VO \nchain formation energies and binding energies in u ndoped and doped Al 2O3.The binding energy of \nthe VO chain in the undoped case as calculated in this study matches well with previous theoretical \nstudies1,5. It is apparent that the trend of the electronegative dopants Au, Pt and Pd lowering the \nformation energies (and increasing the binding energies) more than Cu, Ti and Al is still followed. \n \n \nChain type Formation energy \nEf (eV) Binding energy \nEb (eV) \nVO chain 6.80 0.39 \nVO chain with Au 5.31 1.88 \nVO chain with Pt 5.38 1.80 \nVO chain with Pd 5.66 1.54 \nVO chain with Cu 5.83 1.36 \nVO chain with Ti 5.96 1.24 \nVO chain with Al 5.78 1.41 \n \nSupplementary Table 2. VO chain formation energies and binding energies (per VO) in undoped \nand doped Al 2O3 \nHowever, it must be noted that the formation and binding energies for the various doped VO chain \ncases are not as drastically diff erent as compared to the single VO NN to the dopant or that of the \nVO cluster. This is because the effect of the dopant is highly local. Since the chain has eight V O and \njust one dopant, the diff erence in energies, while evident, is not as significant. More det ails regard-\ning this local effect of dopants on VO formation and the variation of chain formation and binding \nenergies with the dopant -VO ratio is elaborated upon below. 10 Local effect of dopant \nSupplementary Table 3 tabulates the formation energy of successively removing one VO (with in-\ncreasing distance from the dopant) while forming the VO chain in doped Al 2O3. It can be seen that \nin all the doped cases, the energy required to remove the second VO is significantly higher than the \nfirst and the energy successively increases as more VO are removed along the chain. However, the \ntrend is preserved irrespective of the number of VO present, with Au, Pt and Pd c ases always having \nlower VO formation energie s as compared to Cu, Ti and Al. \nNumber of VO Au Pt Pd Cu Ti Al \n1 0.64 0.47 1.91 3.62 4.38 3.63 \n2 3.47 3.37 4.13 5.01 5.06 5.04 \n3 3.64 3.56 4.22 4.95 5.15 5.08 \n4 4.32 4.2 4.73 5.29 5.36 5.40 \n5 4.75 4.69 5.12 5.54 5.55 5.53 \n6 5.07 4.99 5.35 5.69 5.74 5.66 \n7 5.20 5.25 5.55 5.84 5.86 5.77 \n8 5.31 5.39 5.66 5.83 5.96 5.79 \n \nSupplementary Table 3. Successive oxygen vacancy (V O) formation energies (per V O) along the \nchain in interstitially doped Al 2O3 \nSupplementary Table 4 compares the VO chain formation and binding energy (with 8 VO), where the \nchain has one vs. two dopant atoms at interstitial sites along the chain. For the 2 -dopant atom case, \nchain formation energy for the Au, Pt and Pd cases is significantly lower than the Cu, Ti and Al \ncases (as compared to the 1 dopant case), again highlighting the local effect of the dopant. Similarly, \nthe chain binding energy for the 2 -dopant case is signifi cantly much hi gher for Au, Pt and Pd cases. \n \nDopant Formation energy (eV) with \n1 dopant/2 dopant atoms Binding energy (eV) with \n1 dopant/2 dopant atoms \nNone 6.81 0.39 \nAu 5.31/4.10 1.88/3.45 \nPt 5.39/3.81 1.80/3.21 \nPd 5.66/4.42 1.54/2.67 \nCu 5.83/5.032 1.36/2.39 11 Ti 5.96/5.16 1.24/2.02 \nAl 5.79/5.03 1.41/2.34 \n \nSupplementary Table 4. Oxygen vacancy ( VO) chain formation energies and binding energies \n(per VO) with one and two dopants at interstitial sites along the chain \nThe initial structure of the Au -doped VO chain with Au in the interstitial site in Al 2O3 is shown in \nSupplementary Figure 6b, with the positions of VO marked. The partial charge density of all the \ndefect states within the band gap of the relaxed, undoped system, as shown in Supplementary \nFigure 6c reveals that a localized, conductive channel is formed along the vacancy chain direction. \nSuch channel formation was also confirmed in a previous study of VO filament in Al2O3 ReRAMs1, \nwhich found it to be comprised of Al 3s and 3p states. Analyzing the electronic density of states as \nshown in Supplementary Figure 6a, it can be seen that the oxygen vacancy chain reduces the band \ngap of Al 2O3 by introducing overlapping mid -gap states. Introducing Au further reduces the band \ngap. This reduction in band gap implies that Al2O3 is locally metallic along the VO chain. \nTotal density of states of VO chains with interstitial dopants at the center of the chain \nSupplementary Figure 7 shows the total density of states of VO chains with interstitial dopants at the \ncenter of the chain. The dopants serve to further reduce the band gap. No significant di fference is \nseen between the various dopants , as the DOS profi le is dominated primarily by the VO, which are \n8 in number. 12 \n \nSupplementary Figure 6. (a) Total density of states (TDOS) of undoped system with VO chain \nand Au -doped system with VO chain (b) Initial structure of VO chain in Au -doped Al 2O3 (c) Band \ndecomposed charge density profile of states within the bandgap for the relaxed undoped VO \nchain system. P osition of VO is marked by black circle \n \n \nSupplementary Figure 7. Total density of states of VO chains in interstitially doped Al 2O3 \n \n \n \n \n13 VI. Migration energy studies in undoped and Au -doped Al 2O3 \nWe performed Climbing Image Nudged Elastic Band calculations6,7 of neutral V O migration in un-\ndoped and Au -doped Al 2O3. For the Au -doped case, we considered a system with a V O present at \nthe nearest neighbor site of the dopant, and calculated the migration energies of an additional V O \n(at different distances fr om the [Au-VO] complex). The migration paths considered are shown in \nSupplementary Figure 8, and the migration energies are tabulated in Supplementary Tables 5 and \n6. The minimum energy pathways are shown in Supplementary Fig s. 9 and 10. I t is seen that the \nmigration energy in the Au -doped system is ~0.5 eV lower that the corresponding jump in the un-\ndoped system. \n \nSupplementary Figure 8 . VO migration pathways considered in (a) undoped Al 2O3 and (b) Au -\ndoped Al 2O3 \n Path 1 (2.55 Å ) Path 2 ( 2.64 Å ) Path 3 (2.75 Å) \nVO migration energy 3.59 eV (3.90 eV) 4.67 eV (4.70 eV) 4.10 eV (4.33 eV) \nSupplementary Table 5 . VO migration energies in undoped Al 2O3 (energy in parenthesis is from \nRef. Yang, Moon Young, et al. Applied Physics Letters 103.9 (2013): 093504.)1 \n \n Initial distance \nof V O from Au Final distance \nof V O from Au Jump distance Migration \nenergy \nPath 1 4.99 Å 4.94 Å 2.54 Å 3.09 eV \nPath 2 4.93 Å 4.81 Å 2.54 Å 3.21 eV \n14 Path 3 2.81 Å 2.69 Å 2.64 Å 4.13 eV \nSupplementary Table 6 . VO migration energies in Au -doped Al 2O3 \nSuppl ementary Figure 9. Minimum energy pathways for VO migration in undoped Al 2O3. Fitted spline \ncurves are produced with VTST tools6,7. \n15 \nSupplementary Figure 10. Minimum energy pathways for V O migration in Au -doped Al2O3. Fitted \nspline curves are produced with VTST tools6,7. \n \n \n \n \n \n \n \n \n \n \n \n16 \nVII. Bader charge analysis \nSupplementary Table 7 table lists the Bader charges8,9 on the dopant, nearest neighbor Al and \nnearest neighbor O. The Bader charge listed is the ‘effective c harge’ , i.e., the amount of charge \ntransferred to (negative) or from (positive) the atom. Note that in undoped Al 2O3 (or on Al and O \nfarther away from the dopant), the Bader charge on Al and O is 2.46 e and -1.65 e respectively. It \nis seen that the noble metals Au, Pt and Pd all gain some amount of charge, and the nearest neigh-\nbor O does not gain as much charge as in undoped Al 2O3 (or as compared to O farther away from \nthe dopant). This weakens nearest neighbor Al -O bonds, facilitating easy VO formation, as dis-\ncussed in the main text. \n \n \nDopant Bader charge \non dopant Bader charge \non NN Al Bader charge \non NN O \nAu -0.41 2.42 -1.56 \nPt -0.59 2.46 -1.54 \nPd -0.36 2.44 -1.57 \nCu 0.39 2.43 -1.61 \nTi 0.54 2.28 -1.65 \nAl 1.87 1.69 -1.67 \n \nSupplementary Table 7. Bader charge ( e) on the dopant, nearest neighbor Al and nearest neigh-\nbor O \n 17 VIII. Local density of states of all interstitial dopants \nNote how Au, Pt and Pd introduce states near the valence band maximum (VBM , which is at 0 eV ) \nand multiple low -lying mid -gap states, unlike Cu, Ti and Al. \n \n \n \nSupplementary Figure 11. Local density of states on interstitial dopant in doped Al 2O3. Valence \nband maximum (VBM) is at 0 eV.\n18 IX. Device illustration \nIllustrations of the 3 -layer oxide Au -implanted resistive switching device is shown in Supplementary \nFigure at various length scales for clarity. \n \n \n \nSupplementary Figure 12. (a) 3D perspective view of the FIB milling carried out to define \nthe area of a single resistive switching device. \n(b) SEM image of region outlined with red dashed lines in (a). The darker region shows the ex-\nposed Si substrate while the lighter regions are the top Au film. \n(c) Stack schematic showing the layers deposited on a Si substrate. The FIB milling implan ts \nAu atoms sideways into the Al2O3 layers. An atomistic schematic of the regions outlined in red \ndashed lines is shown in (d). \n(d) The Au atoms act as anchors in gathering oxygen vacancies. Such clusters can poten-\ntially interact to form filamen ts or webs of filamen ts so that a conductive path can form across \nthe entire oxide layer. \n19 X. TEM imaging of ALD deposited thin films \nTEM imaging was carried out on a typical 3 oxide layer device after performing TEM sample prep-\naration with to extract a lamella for imaging (see Supplementary Figure ). \n \n \n \nSupplementary Figure 13. (a) Overview of imaged section showing the ALD deposited WN and \nAl2O3 layer pairs followed by a sputtered 30nm Au layer. \n(b) The thicker first layer of WN acts as the bottom electrode since it is conductiv e. Despite \nexposing the Si substrate to HF just before the ALD of Al2O3 and WN, a 1.5nm thick layer of \nnativ e SiO 2 still grew. The interface between WN and Al2O3 appears to become more fuzzy with \nincreasing repetition number, but each of the 3 deposited Al2O3 appears to be continuous while \nbeing separated from one another by intercalating WN layers.\n20 XI. Aging and annealing observation \n \n \n \nSupplementary Figure 14. (a) Devices annealed at 300◦C for 3h become more resistive both in \nthe HRS and LRS. These devices were FIB-processed by milling a line of variable length to \nactivate the devices for switching. (b) A device was characterised after FIB-processing and \nalso after room temperature aging. The resistances of both HRS and LRS as expected, but \nthe gradual SET character at V<0 is retained. (c) When a device is aged without first conducting \nI-V sweeps on it, it ages differen tly and now loses its gradual SET character. The differences in \naging in (b) and (c) show that multiple filamen tary cons tituen ts are likely to be presen t. \nThe concurrent presence of two filamentary constituents in our devices, namely the Au dopant \natoms and the oxygen vacancies, can be inferred from aging results as well. After a device \nwas annealed at 300°C for 3 hours, the resistance of HRS increased by about 8x (see Supple-\nmentary Figure 4a). This is expected from previous studies and is thought to be due to filamen-\ntary material migrating out of the oxide layer10. More interesting, the effects of a two months \nroom -temperature aging differs depending on whether the device was left to age after conduct-\ning I-V measurements on it, or before any measurement (i.e, untouched since the FIB -pro-\ncessing). When the device was aged between I -V measurements, the aged device shows the \nsame character of a gradual SET and abrupt RESET albeit with both HRS and LRS becoming \nmore resistive as expected (see Supplementary Figure 4b). However, if the device was aged \nafter FIB -processing without first an initial I -V measurement, the aged device then shows ab-\nrupt SET and RESET tra nsitions uncharacteristic of our typical device (see Supplementary Fig-\nure 4c). This can be understood in the context of the DFT studies described above where the \nAu dopa nt atoms can act as reservoirs for oxygen vacancies. When the device are switched \nbefore letting to age, the Au dopants atoms are stabilised and pinned in place by the surround-\ning oxygen vacancies, and so will retain a similar switching character with time . On the other \nhand, a device left to age straight after the FIB -processing will see the implanted Au atoms \nphase segregating out of the oxide and the subsequent switching character loses the identifi-\nable gradual SET character distinct in our Au -implanted device. \n21 XII. Electroforming not required \n \n \n \n \nSupplementary Figure 15. (a) FIB-processed device with 1-layer 6nm oxide with electroforming \nnot required but with subsequen t inconsisten t switching. (b) FIB-processed device with 2-layer \noxide with 3.0nm per layer. (c) FIB-processed device with 3-layer oxide with 2.0nm per layer \nwith no electroforming required. (d) Device with FIB mill processing done before Au layer \ndeposition. (e) Device with e-beam deposited contact pads with a successful electroforming, \nbut with following erratic switching cycles. (f) Device with e-beam deposited contact pads \nwhere the device blows up after electroforming and did not yield a functional device. \n22 XIII. Onset voltage distribution \n \n \n \n \nSupplementary Figure 16. The onset of RESET for 1,2,3 -layers FIB-processed devices is repro-\nduced as a cumulativ e distribution function shown here. Going from 1 to 2 to 3 oxide layers, \nthe spread in the onset RESET voltage impro ves as it becomes narrower. \n23 XIV. Multibit switching scheme \nOur device has good cycle -to-cycle consistency and a gradual SET, which makes the device good \nfor demonstrating multibit switching as Supplementary Figure 7 shows. Another possible method to \ndemonstrate multibit switching is by the use of SET or RESET pulses to induce incremental re-\nsistance changes (see Supplementary Figure 8). \n \n \n \n \nSupplementary Figure 17. For a device with an abrupt SET and an inconsisten t cycle -to-cycle \nSET voltage as shown in (a), it is not possible to use some particular SET stop voltage to put the \ndevice in a determinis tic resistance state as shown by (b). For a device with an abrupt SET and \na consisten t cycle -to-cycle SET voltage as shown in (c), it is possible to use some particular \nSET stop voltage to put the device in one of 2 possible states shown by (d). For a device with \na gradual SET and a consisten t cycle -to-cycle SET voltage as shown in (e), it is possible use \nsome particular SET stop voltage to put the device in one of many intermediate resistance \nstates shown by (f). \n24 \n \n \n \nSupplementary Figure 18. Response of device to 4V 50ns RESET pulses showing that incremen-\ntal resistance changes are possible. \n25 XV. Relaxed structures of VO at nearest neighbor site of interstitial do-\npant \nNote how for Au, Pt and Pd cases, the dopant occupies the V O sites quite prominently. \n \n \n \nSupplementary Figure 19. Relaxed structures of VO at nearest neigh bor site of interstitial \ndopan t (a) Au (b) Pt (c) Pd (d) Ti (e) Cu (f) Al.\n26 XVI. Total density of states of doped VO clusters \nSupplementary Figure 20 shows the total density of states of V O clusters formed by removing 4 V O \nat nearest neighbor sites of the interstitial dopant. Once again, the dopants serve to further reduce \nthe band gap. N o significant difference is seen between the various dopants, as the DOS profile is \ndominated primarily by the V O, which are 4 in number . \n \n \n \n \nSupplementary Figure 20. Total densit y of states of VO clusters in interstitially doped Al2O3 \n27 XVII. Simulated network model \nMultilayer oxide films potentially contribute to a moderating effect on the voltages for SET and RE-\nSET through a network effect. This behavior can be obtained through an electrical network model \nwhere potential filament sites are treated as individual resistive elements that can be toggled be-\ntween a high and low resistance state (HRS/LRS) (see Supplementary Figure ). In the case that we \nhave a physical web of interconnected filaments in each oxide layer, we can still approximate the \nweb as a layer of filament sites in parallel. We find from simulations that this arrangement leads to \nan I-V behavior with a gradual SET and an abrupt RESET which i s characteristic of our device. \nThis bare model is sufficient to show that a device with 2 or more oxide layer can have an abrupt \nRESET and a gradual SET (see Supplementary Figure 22a,b) despite each filament site having a \nthreshold voltage with a large spread. \nThis chain effect at RESET and moderating effect at SET shows how a change from a single to \nmultilayer device ca n reduce the dependence of the device's behavior on variable fabrication con-\nditions and instead depend on the controllable device stack -up to give predictable resistive switch-\ning cycles. The moderating effect of the presence of multiple layers of filaments might be more \npronounced if webs of filaments are present, as opposed to single filaments. \n 28 \n \n \nSupplementary Figure 21. Schematic for network -level simulation of a multi -layer oxide struc-\nture. \n(a) A 2 -layer oxide device was simulated. Each oxide layer was simulated to host $N$ number \nof filament sites, where each filament site can either host 1 or 0 filament corresponding to ei-\nther the LRS or HRS. This network of filaments and their respective resistances can be con-\nverted int o a network of resistors. \n(b) Each filament site can be switched between the LRS or HRS based on whether the voltage \nacross that filament site is above or below some preset threshold. The simulation randomizes \neach filament site 𝒏∈[𝟏,𝟐,𝟑,…,𝑵] to have a fixed threshold 𝑽𝒏∈[𝑽𝟏,𝑽𝟐,𝑽𝟑,…,𝑽𝑵] drawn from \na random distribution. \n(c) The top layer is assumed to have all filament sites fixed in the LRS. When the bottom layer \nstarts to RESET, the total resistance of the bottom layer increases. This cause s the voltage \nacross the bottom layer to increase and creates a cascade of RESET actions. This creates an \nabrupt RESET transition. \n(d) When the bottom layer starts to SET, the combined resistance of the bottom layer de-\ncreases and the voltage across the bot tom layer drops. The applied voltage must be strength-\nened to compensate for this voltage drop before more filament sites can be SET. This creates \na gradual SET transition. \n29 \n \n \n \nSupplementary Figure 22. (a) and (b) shows the linear and log plots of the voltage sweeps from \nthe simulation. The simulation shows a gradual SET and a relatively abrupt RESET similar to \nwhat is observed experimentally.\n30 XVIII . Considerations for determination of implantation profile \nThe concentration o f Au atoms in the Al 2O3 is of high interest, but there lies many challenges to its \ndetermination regardless either via direct experimental observations or simulations. It is expected \nthat Au dopants implanted sideways into Al 2O3 as a side -effect of FIB wil l likely end up at shallow \ndepths near the milled perimeter. However, the local concentration profile is difficult to pin down. \nExperimental measurements of this dopant concentration is a challenge. X -ray photoelectron spec-\ntroscopy (XPS) has an atomic perc entage detection limit of 0.1 to 1% for dopants which is likely \nsatisfied by the maximum local concentration of the Au dopants. However, XPS has a millimeter \nbeam size, which is several order of magnitudes larger than the sub -micrometer lengthscale over \nwhich the Au dopants will be implanted. Furthermore, the presence of a continuous Au film (as the \ntop electrode) contributes a large background signal of Au, obliterating any chance to observe the \nsignal from Au dopants within Al2O3. HRTEM is another candida te technique, but it will be at or \nbeyond the limits of HRTEM to be able to identify sparse Au dopants amongst a background of \namorphous Al2O3. Atomic probe tomography (APT) could be viable but currently not within our \nreach. \nSimulations can be performed t o estimate the dopant profile. Stopping and Range of Ions in Matter \n(SRIM) is a ubiquitous tool in nuclear engineering to predict the sputtering and implantation profile \nof energetic ionic bombardments into some material via Monte Carlo simulations. SRIM h ave been \nrefined for several decades and corroborated by a vast amount of experimental data, so the simu-\nlation results themselves will be reliable. However, the limitations with SRIM is that only planar films \nare allowed, and dynamic simulations with geome tries that is sputtered away with time is not pos-\nsible. Several modeling assumptions is thus required to bridge SRIM simulations and the estimation \nof implantation profile in Supplementary Section I. \nAn alternative approach to more accurately estimate the concentration of Au ions will be to use \ndirectly implanted Au atoms with an Au ion source11.This will reduce the number of modeling as-\nsumptions as compared to indirect Au implantation using a Ga ion source on Au film. \n 31 Supplementary references \n \n1 Yang, M. Y. et al. Physical Guiding Principles for High Quality Resistive Random Access \nMemory Stack with Al2O3 Insertion Layer. Japanese Journal of Applied Physics 52, 04CD11 \n(2013). \n2 Kamiya, K. et al. Generalized mechanism of the resistance switching in binary -oxide -base d \nresistive random -access memories. Physical Review B 87, 155201 (2013). \n3 Park, S. -G., Magyari -Kope, B. & Nishi, Y. Impact of Oxygen Vacancy Ordering on the \nFormation of a Conductive Filament in TiO2 for Resistive Switching Memory. IEEE Electron \nDevice Le tters 32, 197 -199 (2011). \n4 Kamiya, K. et al. ON-OFF switching mechanism of resistive –random–access–memories \nbased on the formation and disruption of oxygen vacancy conducting channels. Applied \nPhysics Letters 100, 073502 (2012). \n5 Dawson, J. A. & Robertso n, J. Nature of Cu Interstitials in Al2O3 and the Implications for \nFilament Formation in Conductive Bridge Random Access Memory Devices. The Journal of \nPhysical Chemistry C 120, 14474 -14483 (2016). \n6 Henkelman, G. & Jónsson, H. Improved tangent estimate in the nudged elastic band method \nfor finding minimum energy paths and saddle points. The Journal of Chemical Physics 113, \n9978 -9985 (2000). \n7 Henkelman, G., Uberuaga, B. P. & Jónsson, H. A climbing image nudged elastic band \nmethod for finding saddle points and minimum energy paths. The Journal of Chemical \nPhysics 113, 9901 -9904 (2000). \n8 Bader, R. F. A quantum theory of molecular structure and its applications. Chemical Reviews \n91, 893 -928 (1991). \n9 Tang, W., Sanville, E. & Henkelman, G. A grid -based Bader a nalysis algorithm without lattice \nbias. Journal of Physics: Condensed Matter 21, 084204 (2009). \n10 Muraoka, S. et al. in 2007 IEEE international electron devices meeting. 779-782 (IEEE). \n11 Rose, P. H. Implantation of gold ions. Gold Bulletin 13, 2-6 (198 0). \n \n " }, { "title": "2311.17538v1.Dynamic_Programming_Algorithms_for_Discovery_of_Antibiotic_Resistance_in_Microbial_Genomes.pdf", "content": "1\nelectronic Journal of Health Informatics\nhttp://www.ejhi.net\n2010; Vol 6, No 1 (2011): e10\nThe electronic Journal of Health Informatics is an international journal committe d to scholarly excellence and dedicat ed to the advancement of Health \nInformatics and informa tion technology in healthcare. ISSN: 1446-4381\n© Copyright of articles is retained by authors; originally published in the electronic Journal of Health Informatics (http://www.ejhi.net). This work is \nlicensed under the Creative Commons Attri bution-NonCommercial-ShareA like 2.5 License (http://crea tivecommons.org /licenses/by-nc -sa/2.5/au).Dynamic Programming Algorithms for \nDiscovery of Antibiotic Resistance in \nMicrobial Genomes\nManal Helal1,2, Vitali Sintchenko1,3\n1Centre for Infectious Diseases and Microb iology, Sydney West Area Health Service, NSW, \nAustralia\n2School of Computer Science, University of New South Wale s, NSW, Australia\n3Centre for Health Informatics, Universi ty of New South Wales, NSW, Australia\nAbstract\nThe translation of comparative genomics into clinical decision support tools often depends on thequality of sequence alignments. However, currentl y used methods of mult iple sequence alignments\nsuffer from significant biases and problems with aligning diverged sequences. The objective of thisstudy was to develop and test a new multiple sequ ence alignment (MSA) algo rithm suitable for the\nhigh-throughput comparative analysis of different microbial genomes. This algorithm employs aninnovative tensor indexing method for partitioning the dynamic programming hyper-cube space forparallel processing. We have used the clinically relevant task of identifying regions that determineresistance to antibiotics to test the new algorithm and to compare its performance with existingMSA methods. The new method “mmDst” performed better than existing MSA algorithms for more\ndivergent sequences because it employs a simult aneous alignment scoring recurrence, which effec-\ntively approximated the score for edge missing cell scores that fall outside the scoring region.\nKeywords: Bioinformatics, Dynamic Prog ramming, High Performance Computing, \nTensor Computing, Antibiotic Resi stance, Decision Support Systems\n1. Introduction\nThe emerging genome sequencing technologies and bio-\ninformatics provide new opportunities for studying life-threatening human pathogens and to develop innovativedecision support tools for th e diagnosis and treatment of\ninfections. The accumulation of sequenced genomes of\nbacteria showed a good fit to exponential functions with adoubling time of approximately 20 months, however, theirhigh-quality comparative geno mic analyses require ade-\nquate methods and tools [1]. Closing the gap between ouremerging capacity to generate vast quantities of sequenc-\ning data and our ability to ensure high quality analyses willremain the major goal of the next decade. Thus the infec-\ntious disease informatics leads to more targeted and effec-\ntive approaches for prevention, diagnosis and treatment of\ninfections through a comprehensive review of the geneticrepertoire and metabolic profiles of a pathogen. Clinical genomics and informatics have been dominated\nby human genome paradigms in which genomic rearrange-ments typically denote dysfunction. However, microbialgenomes, particularly those of bacteria, have a mosaicstructure and may vary signifi cantly, even within a species;\nit remains unclear how microbial genomic data should beprocessed so that it is easily interpretable, accessible andsharable. The great diversity of mutational patterns con-tributing to antimicrobial re sistance complicates the choice\nof optimal therapies. A range of informatics tools, to pre-dict drug resistance or response to therapy from genotype,have been developed to provide clinician support [2,3].These tools use either a statis tical approach, in which the\ninferred model and prediction are treated as regression\nproblems, or machine learni ng algorithms, in which the\nmodel is addressed as a classification problem [2,3]. Manynew molecular-based technologies (proteomics, transcrip-tional profiling, stu dy of gene expression in vivo ) haveHelal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n2originated or have expanded into wider use, and have been\nmade possible by the availabi lity of complete bacterial\ngenome sequence information and subsequent informaticstools. Taken together, these technologies, overlaid within\nan established drug discovery program, now afford theopportunity for the identification, validation, and processdesign for high-throughput target mining [4].\nMicrobial genomes are thousands or millions of base\npairs in length and their anal ysis demands efficient tech-\nniques of multiple sequen ce alignment (MSA). Existing\nmethods have been developed for short sequences andcope well with relatively similar sequences; otherwise,higher likelihood of errors in the alignment produced is\nexpected. The objectiv e of this study was to test a new\nMSA algorithm and to analyse its suitability for the high-throughput comparative analysis of microbial genomes. \n2. Motivation – limitations of existing \nMSA methods \nAn accurate MSA is critical for answering different\nresearch, clinical and diagnostic questions in biomedicine.\nApplications include clustering of sequences, phylogenetictree reconstruction, secondary or tertiary structure predic-\ntion, function prediction, polymerase chain reaction (PCR)\nprimer design and data validation. These applications areaffected by the main limitation of the existing MSA tools,which is the inability to align divergent sequences. Thiswork presents an MSA tool th at is capable of constructing\nmeaningful MSA of divergent sequences. This propertyenables the identification of functional regions insequences responsible of a specific behaviour, by aligningsequences of known opposite functions.\nThe relevance of a mathematical optimal solution to a\nbiological/clinical meaningful one is still an active area ofresearch. MSA belongs to the hard NP-complete class ofproblems that is believed that its solution requires superpolynomial computation efforts, and a solution for it cansolve all problems in its class as proven in [5] and [6]. This\nmeans that an optimal solution cannot be obtained using areasonable amount of computation time. To solve largeNP-complete problems, one has to balance the optimalityrequiring large, possibly intractable computation time withfaster but often sub-optimal solutions. The first approachpresents optimization problems that can be solved by enu-meration methods such as the cutting plane, branch andbound, integer, linear and non-linear programming anddynamic programming [7]. The second approach relies onapproximation (heuristic) algorith ms, like local search and\nrandomization algorithms. Some methods can be config-ured to be an optimization or an approximation algorithm,for example, by introducing heuristic bounding rules to abranch and bound algorithm.\nExisting methods are mostly progressive and iterative.\nThe progressive methods compare sequences two by two(pair-wise) first to build a distance matrix. Then from thedistance matrix, it uses a clustering algorithm such asUPGMA [8] or NJ [9] to generate a rooted binary guide\ntree that is traversed to cons truct the final MSA. The latter\nfalls in local optima in the early stages and cannot be cor-rected later. Iterative meth ods (e.g., MUSCLE [10] and\nPRRP [11]) attempt to correct this weakness by involvingtwo nested iterative loops th at optimize the alignment with\nrespect to a guide tree in the inner loop, and re-estimate the\nguide tree by using the current MSA in the outer loop.However, early errors in the alignment can still propagateto the final MSA. The only way to avoid these mistakes isby simultaneously using all information contained in thesequences; however, it is computationally prohibitive [7].\nConsistency-based methods were originally described\nby GOTOH, followed by an exact approach described byKececioglu in a linear prog ramming formulation of MSA\nusing a Maximum Weight Tree (MWT) [12]. A heuristicsdeveloped by Morgenstern expanded the applicability ofthe MWT method using overlapping weights and defineconsistency to describe the compatibility of a pair ofmatched segments to the partially defined MSA [13]. Themain idea behind consistency based methods was toemploy a third sequence in the evaluation of any pair of\nsequences to increase the consistency all over the align-ment. TCoffee combined the progressive approaches with\nthe consistency based approach [14]. So far, M-Coffee\nremains the only package that allows multiple MSAs usingany selected method. M-Coffee turns them into a library\nwhich is used to construct an MSA that is consistent withthe original alignments. The resulting alignment is usuallyslightly more accurate than ones produced by any of indi-vidual alignment methods. This observation suggests thatthe current MSA aligners ha ve reached saturation point\nand can not be further improved without additional infor-mation about biological functions. This informationincludes functional data in the form of transcript structure,structural data (e.g., targetDB) and protein/DNA interac-tions (e.g., ChIp-Chip data). The template-based alignment\nhas been proposed to map extra available information tothe sequence information to guide the alignment. This\nextra information can be a 3D structure, a pr ofile, or any\nkind of the structure-function prediction. A structuralextension is provided through predicted structural tem-plates such as PDB homolog for proteins (3D coffee –\nEXPRESSO and PROMAL 3D packages do a BLAST\nagainst PDB database to retrieve this template) or RNAsecondary structure (T-Lara, MARNA and R-Coffee pack-\nages). Homolog extensions, on the other hand, use profiletemplates by replacing sequences with profiles ofhomologs that is built by methods like PSI-Blast. Homologtemplates are utilised in MSA tools such as PRALINE,PROMALS and TCoffee (version 6+ and psicoffee mode).Evidence suggests that template based MSA, especiallythe ones with structural exte nsions can be more accurate\nthan other methods that do not consider extra information,or consider homology extensions. However, the over-reli-ance on templates can bias the alignment to known knowl-edge [7].Helal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n3Simultaneous alignments do not suffer from problems\nwith local optima or bias. These methods are more robustagainst parameter changes. The quality of alignment\nincreases as more members of the same family are aligned\nwith sequences from outside the family [15]. However,these techniques remain computationally expensive. Oneof the successful techniques us ed to decrease the complex-\nity of the dynamic programming for multiple sequencealignment is the “s um-of-pairs” al gorithm. It reduces the\ncomputation steps to the alignment of every pair ofsequences (on the surfaces of the scoring hyper-cube), and\nthen sums the alignment scores to align all the sequenceson an internal diagonal that crosses all the dimensions pro-ducing the total alignment. This is known as the Carrilloand Lipman bounds, which have been observed to be over-estimated [16]. The limitation of this algorithm is that itcalculates a weighted sum of its projected pair-wise align-\nments. Simultaneous alignment tools such MSA [17],DCA [18], and linear programming formulation of MSA[12] can fit biological intui tion more closely. Simultaneous\nmethods either approximate the higher dimensional spaceinto two-dimensional spaces as shown in the Carrillo and\nLipman approaches, or require a high dimensional index-ing. For sequence searching (not MSA construction but\ncan be exploited to form MSA), indexing methods can beused such as the B+-tree indexing system [19]. Other\nindexing structures, such as R*-trees, X-trees and SR-\ntrees, perform well only with short sequences. Hash tablebased sequence search methods include FASTA, andBLAST work by constructing a hash-table on onesequence and insert all substrings of length l. Then the toolfinds all exactly matching substrings (seeds), and extendsin both directions of each s eed, and combines them to find\nbetter alignments. Suffix trees methods have been pro-posed to handle similar sequence search problems. How-ever, they handle mismatches inefficiently and consume a\nlot of memory space. Vector space indexing methods, such\nas VP-tree, partition the data space into spherical cuts by\nselecting random reference points from the data. MVP-treeis a variation of VP-tree that uses more than one point at\neach level. Unfortunately, vector-space methods remaininefficient for highly dimensional data. \nEmbedding-based indexing techniques like FastMap and\nMetricMap can be applied successfully only to low dimen-sional (less number of sequences) databases, because ofthe time consuming process of finding a mapping functionthat preserves the distances. Height balanced tree such as\nM-tree and Slim-tree (as an evolved M-tree that reduced\nthe amount of overlapping nodes) attempt to reduce theheight of the tree at the expense of flexibility in reducingthe overlapping nodes. DBM-tr ee is an unbalanced tree\nthat reduces the overlapping nodes in high-density regions.The work in [20] proposed a novel index structure, SEM-tree (Sequence Embedding Multiset tree) based on theSequence Dimensionality Reduction (SDR) method. TheSEM-tree levels represent a compression level withincreasing length of the multiset towards the leaves levelwhere the original sequences are stored. This compressedrepresentation of the sequences makes the sequence com-\nparison which is based on the nu mber of distin ct characters\nthat form the sequence set, instead of the length of the\nsequences. The recursive traver sal of the tree can be pre-\ndict whether the sub-tree is in cluded in the final result or\nnot and hence decide whether to continue or stop the recur-sion. The approximation of the compressed representationcan be bounded to avoid false negatives.\nIn all these methods care must be taken in choosing scor-\ning matrices and gap penalties. To summarize the prob-lems of existing methods: • Most of them assume minimum percent identity of \napproximately 40% for proteins and approximately 70% for DNA [21, 22]. The resu lting alignments are accepta-\nble for families of moderately diverged sequences. Oth-erwise, a much higher like lihood of errors in the \nalignment will be expected since these methods can eas-\nily run into local optima like any hill-climbing bottom-up methods. \n• The discussed global methods require the sequences to \nbe related over their whole length or at least most of it, with the exception of DiAlign [23]. In addition, these methods are sensitive to the order in which the sequences are input. This is due to the fact that they cal-\nculate a guide tree based on that order.\n• Additional methods like PRRP [11], Hidden Markov \nModels (HMMs) [24,25,26] and Simulated Annealing \n[27] have been applied to refine an MSA produced by another method. \n• In addition, these methods are sensitive to the order in \nwhich the sequences are input. A different ordering of \nsequences will produce differ ent alignments. This is due \nto the fact that they calcula te a guide tree based on that \norder. \n• Progressive methods depend on pair-wise alignments, \nwhich is less sensitive than simultaneous alignment. This is because pairs of already aligned subfamilies (or closely related sequences) are calculated firs t, and there \nis usually more than one optimal alignment of the pairs and the choice of one of them might not be the optimal for the other pair-wise alignments nor have the highest biological relevance. \n• The pair-wise alignments are dependent on the parame-\nters used in the calculations and the parameter changes will not be reflected in the resulting MSA optimal align-ment. The pair-wise alignmen ts can cause bias in the \npositioning of gaps [21] and statistical uncertainty in the produced conclusions [22]. \n• Template-based MSA methods assume some knowledge \nabout the outcome (e.g. using annotations and biological knowledge in the objective function, or adding homologs or profiles to th e sequences dataset). These \nmethods also presume conserved order of aligned resi-dues, with the ex ception of ABA [28], ProDA [29], TBA \n[30], and MAUVE [31]. Helal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n4 The advances in parallel and distributed processing ena-\nbled research into parallelising large and high dimensionalproblems. The main requirement for parallel processing isdata partitioning and depende ncy modelling. Performance\ncan be optimised by employin g more processing elements\nwhile reducing communication between them. Attempts toparallelize sequence comparison s have been so far limited\nto pair-wise alignments [32]. Simultaneous multiplesequence alignment methods can rely on high dimensionalindexing techniques where overlaps make its partitioning anon-uniform task. \n3. Definitions and Methodology\n3.1. Alignment of an tibiotic resistance \ndetermining regions\nRegions of similarity or dissimilarity between a set of\nsequences, obtained from pathogens with known resist-ance or susceptibility to antibiotics (class of quinolones inour case) were explored. Mech anisms of resistance to qui-\nnolones have been studied extensively in many differentbacterial species and are usually due to single point muta-\ntions in the target of these drugs, DNA gyrase. Resistance\nmutations most often occur within a stretch of 50 nucle-otides, the so called “quino lone-resistance determining\nregions” (QRDRs), which are lo cated in the genes for the\nA subunits of the enzyme gyrA gene [33]. The resistance\nmutations in gyrA codons 84 or 88 usually lead to the high-\nlevel in vitro resistance but other mutations can also infre-\nquently occur. \n3.2. Sources of data\nThe set of gyrA gene sequences were extracted from fol-\nlowing microbial genomic data available in the GenBank:Mycobacterium tuberculosis (NCBI Accession Number\nNC_000962, sequence length 2518bp); Mycobacterium\nkansasii (NCBI Accession Number Z_68207, sequence\nlength 1648bp); Staphylococcus aureus MSSA476 (NCBI\nAccession Number NC_002953, sequence length 2665bp);Mycoplasma pneumoniae (NCBI Accession Number\nNC_000912, sequence length 2443bp); Clostridium diffi-\ncile (NCBI Accession Number NC_009089, sequence\nlength 2521b); and Treponema pallidum (NCBI Accession\nNumber NC_010741, sequence length 2428bp). Genesequences of M.tuberculosis, M. kansasii and Staphylococ-\ncus aureus MSSA476 were grouped as quinolone suscepti-\nble. Gene sequences of Treponema pallidum, Clostridium\ndifficile and Mycoplasma pneumoniae were classified as\nresistant to quinolone thus potentially harbouring changesin the gyrA gene.\n3.3. MSA algorithms\nBased on the multidimensional optimal dynamic pro-\ngramming algorithm as a simu ltaneous alignment tech-nique, an innovative tensor (high dimensional space or\nhyper-cube) indexing scheme has been used as the founda-tion of the MSA tool used in this study. This tensor index-ing scheme has enabled a uniform partitioning of thescoring hyper-cube space to pa rallel processing elements\nas described in [34] and [35]. The partitioning of the scor-ing tensor keeps the communi cation to the minimum, by\nclustering the assignment to processors based on neigh-bourhood of partition indices. The resulting massively par-allel solution can employ as many processors as the inputdataset require. A further s earch space reduction technique\nwas developed to reduce the scoring space to the areawhere an optimal alignment is expected (around the hyper-\ndiagonal of the scoring space) [36]. While all methods inthe literature uses the Carrillo and Lipman bounds todecide how much to cut from the edges of the reflections\non the surface of the scoring hyper-cube to reduce the\nsearch space, this new techni que decides how far from the\nhyper-diagonal we need to scor e to reach an optimal align-\nment. The solution runs on computer clusters, multi-corearchitectures, and high perfor mance machines, due to the\nusage of Message Passing Interfaces (MPI) that hide thehardware architecture details. The new MSA method iscalled “mmDst”. \nThe MSA is organised in the following steps:\n1. Multiple sequence alignment of the first set of\nsequences (sensitive to an tibiotics) to derive a con-\nsensus sequence, or a profile of the known behaviour. \n2. Align the sensitive consensus to the highest resistant\nsequence “ Treponema pallidum” to identify major\ndifferences.\n3. Align a set of resistin g sequences and derive their\nconsensus sequence,\n4. Align the consensus of the sensitive sequences to the\nconsensus of the resisting sequences to identify the\nregions of similarity and dissimilarity (visually orcalculated from the scores) of both profiles.\nThe mmDst method was compared to existing MSA\nheuristic methods such as CLUSTAL W [37], MUSCLE\n[10], TCoffee [14], Kalign [38] and MAFFT [39]. As men-tioned in the introduction sec tion, these methods are based\non pair-wise alignments, which are proven to be less sensi-tive than simultaneous alignm ents [15]. The web portal of\nEMBL-EBI for different MSA methods was used [40] tocompare and evaluate the results. They all relied on theidentity matrix for scoring DNA sequences. Defaultparameters were mostly used in all methods, except wherethere was an interface to make them score as similar aspossible. CLUSTAL W used gap opening penalty = 15 and\ngap extension penalty = 6.66. MUSCLE used gap openingpenalty = 15 and gap extension = 1. MAFFT used gapopening = 1.53 and an extension gap penalty = 0.123. \n3.4. mplementation\nThe mmDst method was tested on small HPC machines\nand one SGI Altix cluster of maximum 64 nodes. Theprocessor scalability reduces the execution time as moreHelal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n5processors were employed to achieve the minimal commu-\nnication cost, and high data locality. The system wasimplemented on a SunFire X2200 with 2xAMD Opteronquad processors of 2.3 GHz, 512 Kb L2 cache and 2 MBL3 cache on each processor, and 8GB RAM. Thesequences were aligned on a reduced search space factor“Epsilon” equals 1, which re presented 0.21% of the search\nspace for the sensitive sequences and 0.19% of the resist-\ning sequences. The pair-wise al ignments of the consensus\nsequences were done in full search space. The score of one\ncell in the hyper-plane was based on the maximum valuesof the 2\nk-1 neighbours’ temporary scores. The latter was\ncalculated as the total pair wi se scores of all its corre-\nsponding residues on all dimensions (sequences) corre-sponding to a decremented inde x element from the current\ncell index to the neighbour index, plus multiplication ofthe gap score by the number of un-decremented index ele-ments. The following penalties were applied: gap opening= -4, gap extension = -2, mismatch score = -1, and matchscore = 1. \nThe Sum of Pairs Score is usually used to assess the per-\nformance of MSA methods. This score increases as theprogram succeeds in aligning mo re matching residues in\neach column in the final al ignment, with minimum gap\ninsertions all over, assuming statistical independencebetween columns [41]. Shannon entropy is a simple quan-titative measure of uncertainty in a data set. In the context\nof drug resistance as confer red from single mutations,\nknowledge of the frequencies of different amino acids in\nthe mutation position as drawn from resistant and sensitivepopulations, will enable us to guess the amino acidsresponsible for the resistance. This is because these amino\nacids were certain (low entropy) in the sensitive popula-tion, versus the uncertain (high entropy) in the populationwith high level resistance to quinolones [42]. To identifythe exact start and end of the regions of highest and lowest\ncolumn scores in the alignment, a simple method wasimplemented. The alignment was scanned for all regions\nof width = 2 * the window size used in the plots of theresults section. Using the sum of pairs scores generated in1, every region was given a score using the following aver-age function: Average Region score = sum(c\ni) / (Window Size)\nWhere ci is the column sum of pairs score using the\nidentity matrix for each column i within the region.\n4. Results\nThe mmDst algorithm successfully identified QRDRs\nand handled sequences of different length. The quality ofthe alignments produced by the different MSA tools is\nassessed by the sum-of-pair sc ore of the alignment (Table\n1) and the entropy of the info rmation retained in the align-\nment (Table 2). Both Table 1 and 2 measure the alignmentquality scores for the different alignments done in thisexperiment. The columns in both tables measure the scorefor the alignment of the quinolones sensitive sequencesalone, quinolones resistant sequences alone, the set of qui-nolones sensitive sequences and \"Treponema pallidum” asthe most quinolones resistant sequence, and the alignment\nof the consensus sequence of the first alignment and the\nsecond alignment respectively. The most accurate (highest\nscoring alignment) was achieved in the third column inTable 1 and 2, i.e. the alignment of the set of quinolonessensitive sequences and \"Treponema pallidum”. The high-est and lowest region score were determined ( Table 2:\nEntropy value for the aligments produced by the different\nmethods for the Sensitive Sequences Alignment, ResistantSequences Alignment, Sens itive Sequences Consensus\nAlignment with the most resi stant sequence of Treponema\npallidum, and Sensitive Sequences Consensus and Resist-ant Sequences Consensus Alig nment.3) for the alignments\nof the sensitive consensus sequence with \"Treponema pal-lidum\", and for the alignments of the antibiotic sensitiveconsensus sequence with re sistant consensus sequence.\nThe gyrA gene of intrinsically quinolone-resistant\nTreponema pallidum demonstrated sign ificant dissimilar-\nity from gyrA genes sequences obtained from quinolone\nsusceptible organisms of Mycobacteria (Figure 1). Helal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n6 \n Table 1: Sum-of-Pairs Scores for the alignments produc ed by the different methods for the Sensitive\n Sequences Alignment, Resistant Sequences Alignment, Sensitive Sequences Consensus Alignment \n with the most resisting sequence \"Treponema pallidum”, and Sensitive Sequences Consensus and \n Resistant Sequences Consensus Alignment.\n Table 2: Entropy value for the aligments produced by the different methods for the Sensitive Sequences\n Alignment, Resistant Seq uences Alignment, Sensitive Sequences Consensus Alignment with the most\n resistant sequence of Treponema pallid um, and Sensitive Sequences Consensus and Resistant\n Sequences Consensus Alignment.Sensitive Seq Resistant Seq Sen & TP Sen & Res Cons\nmmDst 339 2231 849 1582\nMUSCLE 439 3216 640 1123\nTCoffee 443 2881 520 1025\nCLUSTAL W 222 1966 478 1469\nKalign -1593 -716 -285 1389\nMAFFT -3647 -4712 -1670 -2114\nSensitive \nSequencesResistant \nSequencesSensitive \nsequences & \nsequence of \nTreponema \npallidumSensitive & \nResistant Consen-\nsus Alignment\nmmDst 23869.74 27932.28 15362.36 15430.20\nMUSCLE 26855.33 25144.80 16815.42 17264.06\nTCoffee 27246.99 25682.06 17355.43 17797.34\nCLUSTAL W 28362.00 28240.50 17753.33 18836.40\nKalign 33336.24 34849.35 21156.91 22011.64\nMAFFT 37834.49 40707.34 26597.46 37938.70Helal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n7 Table 3: Highest and Lowest (maximum and minimum Sum-of-Pairs scores respectively) Regions (as \n identified in the “From” base pair number “To” base pair numbe r) of Similarity or Dissimilarity in the\n alignment of the sensitive sequence s consensus sequence and the \"Treponema pallidum\" sequence as\n per alignment method in the left hand side columns, and the sensitiv e sequences consensus sequence\n and resisting sequences consensus sequence alignment.\nThe similarity regions plots shown in Figure 1: Similar-\nity Regions Plot (averaged on 100 bp on the x-axis as rela-tive residues positions) of the alignment (measured by theSP score on the y-axis) of the consensus sequence of the\nsensitive sequences with the most resisting sequence\"Treponema pallidum\" using the six different methods: a)mmDst, b) MUSCLE, c) TCoffee, d) CLUSTAL W, e)Kalign, f) MAFFT.1 are generated by plotcon algorithmaveraged on a window size of 100 base pairs. The differ-ence alignment methods used sh ow different areas of simi-larity (regions where the y- axis score is higher) and\ndissimilarity (regions where the y-axis score is lower),\naccording to the SP score of the columns corresponding to\nthe 100 base pairs averaged on the x-axis.Sensitive consensus \nsequence & the \n\"Treponema pallidum\" \nAlignmentSensitive consensus sequence \n& the resisting consensus \nsequence alignment\nScore From To Score From To\nmmDstHighest 0.64 151 351 0.80 272 472\nLowest 0.07 2167 2367 0.33 1567 1767\nMUSCLEHighest 1.03 450 650 1.11 356 556\nLowest -0.83 2375 2575 -0.89 2668 2868\nTCoffeeHighest 1.06 233 433 1.15 430 630\nLowest -0.98 2495 2695 -0.36 2737 2937\nCLUSTAL WHighest 0.98 233 433 1.05 292 492\nLowest -0.25 2176 2376 0.18 2589 2789\nKalignHighest 0.54 135 335 0.90 3265 3465\nLowest -0.54 3253 3453 -0.17 0 200\nMAFFTHighest 0.52 101 301 0.58 3915 4115\nLowest -1.72 2654 2854 -2.00 427 627Helal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n8Figure 1: Similarity Regions Plot (averaged on 100 bp on t he x-axis as relative residues positions) of the \nalignment (measured by the SP score on the y-axis) of the consensus sequence of the sensitive sequences \nwith the most resisting sequence \"Treponema pallidum\" using the six di fferent meth ods: a) mmDst, b) \nMUSCLE, c) TCoffee, d) CLUSTAL W, e) Kalign, f) MAFFT.\nTable 1 and 2 show that the proposed method “mmDst”\nscore came third after TCoff ee and MUSCLE in the first\ntwo cases (columns 1 and 2), where similar sequenceswere aligned. MUSCLE, TCoffee and CLUSTAL W areprogressive methods based on pair-wise alignments andbuilding a guide tree based on an objective function. Thesemethods work well with sequences of assumed similarityof 90% or higher.\nHowever, in the third alignment case (third column in\nTable 1 and 2) where the consensus sequence of the align-ment of the quinolones sensitive sequences were alignedwith the most antibiotic resistant sequence which is\n“Treponema pallidum ”, mmDst score came second after\nMUSCLE. In the fourth case (fourth column in Table 1 and2), which is the alignment of the consensus sequence of theset of sensitive sequences w ith the consensus sequence of\nthe set of resisting sequences, mmDst scored the highestover all other methods. These findings demonstrate thatmmDst scores better when aligning sequences of large dis-similarity and can identify highly dissimilar regions alongthe full length of the input sequences.\n5. Discussion\n The direct comparison of six MSA algorithms high-\nlighted significant challenges in comparative genomics of\npathogens. The majority of high-quality algorithms arecomputationally expensive to be implemented in routinediagnostic laboratories. Furthermore, existing methods aresensitive to the order of sequence inputs order as a differ-ent ordering of sequences generates different alignments.\nProgressive methods rely on pair-wise alignments, which\nis less sensitive than simultaneous alignment. This phe-nomenon can be explained by th e fact that pairs of already\naligned subfamilies (or closely related sequences) are cal-culated first, and there is usually more than one optimalalignment of the pairs and the choice of one of them mightbe neither optimal for the other pair-wise alignments norhas the highest biological relevance. The pair-wise align-ments are also dependent on the parameters used in the cal-culations and the parameters changes are not reflected inthe resulting MSA optimal alignment. The pair-wise align-ments can be biased because of the positioning of gaps\n[21] or statistical uncertainty [22]. \n Interestingly, all programs aligned better sequences of\nmedium length and long sequences than short DNAsequences. The only excepti on was CLUSTAL W algo-\nrithm that improved traditional progressive methods. Thisphenomenon can be explained by the usage of an alterna-tive Neighbouring-Joining algorithm for a guide tree con-struction, sequence weighting, as well as by position-specific gap-penalties. CLUSTAL W offers the choice ofresidue comparison matrix depending on the degree ofidentity of the sequences. MUSCLE aligned 5,000sequences of average length 350 in 7 minutes on a desktopcomputer, requiring less time than all other tested methods,including MAFFT. MUSCLE and TCoffee produced, onaverage, the most accurate ali gnments, with 6% more posi-\ntions correctly aligned than CLUSTAL W. It calculated theevolutionary dist ance between each pair of sequences.\nThen the method employs re sulting distance matrix to\nHelal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n9cluster the sequences using UPGMA giving a binary tree.\nThe tree is then used to cons truct a progressive alignment\nby aligning profiles of the tw o sub-trees at each internal\nnode. TCoffee allowed the co mbination of a collection of\nmultiple/pair-wise, global or local alignments into a singlemodel. It is based on a ‘greedy’ progressive method thatallows better use of informati on in the early stages, to rec-\ntify the problem with progressive methods of having errorshappening early in the alignment and not being able to rec-tify it later. It also estimates the level of consistency ofeach position within the new alig nment with the rest of the\nalignments. Kalign applied the same progressive methodwith the difference in the di stance calculations which are\nbased on the Wu-Manber appr oximate string-matching\nalgorithm. MAFFT is a multip le sequence alignment based\non Fast Fourier transform. It of fers different levels of sen-\nsitivity. The new method “mmD st” scored better for more\ndivergent sequences because it employs an innovative\nsimultaneous alignment scoring recurrence. \nComputer-assisted therapy is an attractive way to reduce\nthe complexity of prescribi ng antimicrobial combinations\n[3]. It highlights the need for databases that can be widelyshared, and allow correlation of quality-controlled data\nfrom genotypic resistance assays and treatment regimenswith short- and long-term cl inical outcomes. Differences\nin antimicrobial sensitivity reflect variation in amino acidcomposition of resistant microbes but, simply countingmutations enough to detect most functional differences,which affect treatment outcomes. The data linkagesbetween laboratory and clinical databases will unlock thefull utility of microbial profiles [2,3].\n6. Conclusion\nIn summary, a growing am ount of bacterial genomic\ndata strengthened and streamlined the study of pathogensand offered new type of data for clinicians. This new para-\ndigm of clinical data anal ysis has placed significant\ndemands on the health informatics and bioinformatics sup-port including the development of new algorithms forcomparative genomics and d ynamic programming to sup-\nport high-throughput data handling in biomedicine. Thenew method “mmDst” performs better for more divergentsequences because it employs a simultaneous alignment\nscoring recurrence, which ef fectively approximated the\nscore for edge missing cell scores that fall outside the scor-ing region [36]. With the ne wly added feature of search\nspace reduction, mmDst can scale better with longersequences. However, mmDst may not scale well withincreased number of sequences, as heuristics methods do,because of the computationa l complexity of the high\ndimensional scoring recurrence. Further employment ofparallel/distributed hard ware architectures can be\nemployed, by further reducing the memory consumptionof “mmDst” and other less computationally demandingscoring functions, such as Sum-of-Pairs and viterbi. The comparative experiments conducted in this study\ncontrasted properties of MSA algorithms and highlighted\ntheir capacity for the rapid identification of genomicregions potentially responsible for the drug resistance.These methods may assist in the assessment of both muta-\ntion patterns and mutation frequency in clinically signifi-cant microbial genomes. Aligni ng the profiles of both\nfamilies revealed a better visu al identification of the simi-\nlar and dissimilar regions, rather than the alignment of onesequence representative of one family to the consensus of\nthe other. Alignment methods that are capable of automat-ically comparing diverged sequences can provide addi-\ntional insights about genes responsible for specific clinicalphenotypes. \nAcknowledgements\nSupport from The University of Sydney grant to use the\nSunFire HPC is gratefully acknowledged. \nReferences\n1. Demuth A, Aharonowitz Y , Bachmann TT, Blum-Oehler G,\nBuchrieser C, Covacci A, Dobr indt U, Emödy L, van der Ende\nA, Ewbank J, Fernández LA, Fros ch M, García-Del Portillo F,\nGilmore MS, Glaser P, Goebel W, Hasnain SE, Heesemann J,\nIslam K, Korhonen T, Maiden M, Meyer TF, Montecucco C,Oswald E, Parkhill J, Pucciare lli MG, Ron E, Svanborg C, Uhlin\nBE, Wai SN, Wehland J, Hacker J.. Pathogenomic s: An updated\nEuropean research agenda. Infe ct Genet Evol 2008; 8(3):386-93.\n2. Bellazzi R, Zupan B. Predictive data mining in clinical medi-\ncine: Current issues and guidelines. Int J Med Inform 2008;\n77:81-97.\n3. Sintchenko V , Iredell JR, Gilb ert GL. Pathogen profiling for\ndisease management and contro l. Nature Rev Microbiol 2007;\n5:464-70.\n4. Haney SA, Alksne LE, Dunm an PM, Murphy E, Projan SJ.\nGenomics in anti-infective drug discovery – getting to endgame.\nCurr Pharm Dec 2002;8(3):1099-118.\n5. Wang L, Jiang T. On the co mplexity of multiple sequence\nalignment. J Comp Biol 1994; 1(4):337-48.\n6. Just W. Computational comple xity of multiple sequence align-\nment with SP-Score. J Co mp Biol 2001; 8(6):615-23.\n7. Kemena C. Upcoming challeng es for multiple sequence align-\nment methods in the high-thr oughput era. Bioinformatics 2009;\n25(19):2455-65.\n8. Legendre L. Numerical Ec ology. Elsevier ISBN 978-0444-\n89250-8 1998:319-21.\n9. Gascuel O, Steel M. Neighbor -Joining revealed. J Mol Biol\nEvol 2006; 23 (11): 1997-2000.\n10. Edgar RC. MUSCLE: multiple sequence alignment with high\naccuracy and high throughput. Nucl Acids Res 2004;32(5):1792-\n97.Helal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n1011. Gotoh O. Significa nt improvement in accuracy of multiple\nprotein sequence alignments by ite rative refineme nt as assessed\nby reference to structural ali gnments. J Mol Biol 1996; 264(4),\n823-38.\n12. Kececioglu J. The Maximum We ight Trace Problem in Multi-\nple Sequence Alignment. Procee dings of the 4th Symposium on\nCombinatorial Pattern Matching. 1993; Padova, Italy: Springer-\nVerlag Lecture Notes in Co mputer Science; 1993:106-19.\n13. Morgenstern B, et al. Mu ltiple DNA and protein sequence\nbased on segment-to-segment comp arison. Proc. Natl Acad Sci\n1996;93:12098-103.\n14. O'Sullivan O, Suhre K, Aber gel C, Higgins DG, Notredame\nC. 3DCoffee: Combining protein sequences and st ructures within\nmultiple sequence al ignments. J Mol Biol 2004;340:385-95. \n15. Perrey SW, Stoye J, Moulton V , Dress AWM. On simultane-\nous versus iterative multiple Sequence Alignment. Bielefeld,\nGermany: Forschungsschwerpunkt Mathematisierung, Univer-\nsity of Bielefeld; 1997. \n16. Lipman D, Carrillo H. The multiple sequence alignment in\nbiology. SIAM J App Math 1988; 48(5): 1073-82.\n17. Lipman DJ, Altschul SF, Ke cecioglu JD. A tool for multiple\nsequence alignment. Proc Na tl Acad Sci 1989;86(12):4412-5.\n18. Stoye. J, Moulton V , Dress AWM. DCA: an efficient imple-\nmentation of the divide-and-co nquer approach to simultaneous\nmultiple sequence al ignment. Bioinforma tics 1997;13(6):625-6.\n19. Song G, Cui B, Zheng B, Xie K, Yang D. Accelerating\nsequence searching: dimensiona lity reduction method. J Knowl\nInf Syst 2009; 20(3):301-22.\n20. Song G, Cui B, Zheng B, Xie K, Yang D, Squeezing Long\nSequence Data for Efficient Similarity Search, Lecture Notes in\nComputer Science - Progress in WWW Research and Develop-\nment, vol. V olume 4976/2008;438-49.\n21. Golubchik T, Wise MJ, Eastea l S, Jermiin LS. Mind the gaps:\nevidence of bias in estimates of multiple sequence alignments.\nMol Biol Evol 2007;24(11): 2433-42.\n22. Wong KM, Suchard MA, Huel senbeck JP. Alignment uncer-\ntainty and genomic analysis. Science 2008;319(5862): 473-6.\n23. Subram AR, Kaufmann M, Morgenstern B. DIALIGN-TX:\ngreedy and progressive approach es for segment-based multiple\nsequence alignment. Algor for Mol Biol 2008:3(6).\n24. Hughey R, Krogh A. SAM: Sequence Alignment and Mode-\nling Software System. University of California, Santa Cruz. CA.\n1995. (UCSC-CRL-95-7).\n25. Pei J, Grishin NV . MUMMAL S: Multiple sequence align-\nment improved by using Hidde n Markov Models with local\nstructural information. Nucl Acids Res 2006;34(16):4364-74.\n26. Eddy, S. HMMER: A pr ofile Hidden Markov Modeling\npackage. http://hmmer.janelia.org \n27. Kim J, Pramanik S, Chung MJ. Multiple sequence alignment \n28. Raphael B, Zhi, D, Tang, H, et al. A novel method for multi-\nple alignment of sequences with repeated and shuffled elements.Genome Res 2004; 14:2336-46.29. Phuong TM, Do, CB, Edgar, RC, et al. Multiple alignment of\nprotein sequences with repeats and rearrangements. Nucl Acids\nRes 2006; 34:5932-42.\n30. Blanchette M, Kent WJ, Riemer C, Elnitski L, Smit AFA,\nRoskin KM, Baertsch R, Rosenbl oom K, Clawson H, Green ED,\nHaussler D, Miller W. Ali gning Multiple Genomic Sequences\nWith the Threaded Blocks et Aligner. Genome Res 2004;\n14(4);708-15\n31. Darling ACE, Mau B, Blattn er FR, Perna NT. Mauve: multi-\nple alignment of conserved ge nomic sequence wi th rearrange-\nments. Genome Res 2004: 14: 1394-403.\n32. Driga A. Parallel FASTLSA: A Parallel Algorithm for Paral-\nlel Sequence Alignment. Edm onton, Alberta, Canada. 2002.\n33. Friedberg EC, Wagner R, Radman M, Specialized DNA\npolymerases, cellular survival, and the genesis of mutations. Sci-\nence 2002;296:1627-\n34. Helal M, Mullin LM, Gaeta B, El-Gindy H. Multiple\nsequence alignment using massive ly parallel mathematics of\narrays. In: Proceedings of the International Conference on High\nPerformance Computing, Netw orking and Communication Sys-\ntems (HPCNCS- 07). Orlando, FL. USA, 2007. PP. 120-7.7).\nOrlando, FL. USA: [s.n.]. 2007;120-127.\n35. Helal M, El-Gi ndy H, Mullin LM, Ga eta B. Pa rallelizing\noptimal multiple sequence a lignment by dynamic programming.\nIn: Proceedings of the Internat ional Symposium on Advances in\nParallel and Distributed Co mputing Techniques (APDCT-08)\nheld in conjunction with 2008 IE EE International Symposium on\nParallel and Distributed Processi ng with Applications (ISPA-08),\nSydney, Australia, December 10-12 2008; 120-7.\n36. Helal M, Mullin L, Potter J, Sintchenko V . Search space\nreduction technique for distributed multiple sequence alignment.\nIn Sixth IFIP International Conf erence on Network and Parallel\nComputing (NPC 2009), Gold Co ast, Queensland, Australia.,\n2009, 19-21 October.\n37. Thompson JD, Higgins DG, Gibson TJ. CLUSTAL W:\nImproving the sensitivity of progre ssive multiple sequence align-\nment through sequence weighting, position specific gap penalties\nand weight matrix choice . Nucleic Acids Research\n1994;.22(22):4673-80.\n38. Lassmann T, Sonnhammer ELL. Kalign, Kalignvu and\nMumsa: web servers for multiple sequence alignment. Nucleic\nAcids Res 2006;34: W596-W599.\n39. Kazutaka K, Hiroyuki T. Improved accuracy of multiple\nncRNA alignment by incorporating structural information into a\nMAFFT-based framework. BM C Bioinformatics 2008;9:212.\n40. EBI Tools for Sequence Analys is, Sequence Translation, Val-\nidation, Alignments, Gene Pr ediction. EMBL-EBI web site,\n1980. (www.ebi.ac.uk/ Tools/sequence. html). Accessed: 3 June\n2010.\n41. Pevsner J. Bioinformatics and functional Genomics. John\nWiley, New York, 2003.\n42. Los Alamos National web si te Laboratory. HIV Sequence\ndatabase. Shannon Entropy Readme File. http://\nwww.hiv.lanl.gov/conten t/sequence/ENTROPY/\nentropy_readme.html Helal et al. | electronic Journal of Health In formatics Vol 6, No 1 (2011): e10\n11Correspondence\nManal Helal\nCentre for Infectious Diseases and Microbiology\nUniversity of Sydney \nSydney NSW, Australia\nmhelal@usyd.edu.au" }, { "title": "0801.3323v1.Abnormal_Resistance_Switching_Behaviors_of_NiO_Thin_Films__Possible_Occurrence_of_Simultaneous_Formation_and_Rupture_of_Conducting_Channels.pdf", "content": " 1 Abnormal Resistance Switching Behaviors of NiO Thin Films: Possi ble \nOccurrence of Simultaneous Formation and Rupture of Conducting Channel s \n \n \nChunli Liu, S. C. Chae, S. H. Chang, S. B. Lee, and T. W. Noh 1 \nReCOE & FPRD, Department of Physics and Astronomy, Seoul National Unive rsity, \nSeoul 151-747, Korea \n \nJ. S. Lee, and B. Kahng \nDepartment of Physics and Astronomy, Seoul National University, Seoul 151-747, Korea \n \nD.-W. Kim \nDepartment of Applied Physics, Hanyang University, Ansan, Gyeonggi-Do 426-791, \nKorea \n \nC. U. Jung \nDepartment of Physics, Hankuk University of Foreign Studies, Yongin, Gye onggi-do \n449-791, Korea \n \nS. Seo and Seung-Eon Ahn \nSamsung Advanced Institute of Technology, Suwon 440-600, Korea \n \nWe report the detailed current-voltage (I-V) characteristics of resi stance switching in 2 NiO thin films. In unipolar resistance switching, it is commonly believed tha t \nconducting filaments will rupture when NiO changes from a low resistance to a hi gh \nresistance state. However, we found that this resistance switching can som etimes show \nabnormal behavior during voltage- and current-driven I-V measurements. We used the \nrandom circuit breaker network model to explain how abnormal switching behaviors \ncould occur. We found that this resistance change can occur via a series of avalanche \nprocesses, where conducting filaments could be formed as well as ruptured. \n \n1) Corresponding author. Electronic mail: twnoh@snu.a c.kr 3 There has been a great deal of effort to develop new types of nonvolatile memory.1–3 \nThere have been many reports that resistance values in several binary trans ition metal \noxides 4–7 and perovskite oxides 8–12 could be switched between a high resistance (HR) \nand a low resistance (LR) state by an external electrical voltage. Res istance switching \nphenomena have attracted renewed attention due to their potential for creating high-\ndensity resistance random access memory (RRAM) devices. 13–18 \nAmong these resistance switching materials, NiO has been studied extensive ly because \nof its high HR/LR ratio and its ease of integration with the available semiconduc tor \nprocess for creating high-density RRAM devices. 13–15 NiO thin films normally show a \nunipolar resistance switching characteristic, where the resistance can be switched for \ntwo values of applied voltage with the same polarity. The unipolar resistance swi tching \nin NiO has commonly been explained by the formation and rupture of metallic \nconducting filaments.15, 16 Specifically, the SET process, which corresponds to the \nchange from the HR to the LR state, can be explained in terms of the formation of \nconducting filaments, while the RESET process, which is the change from the L R to the \nHR state, can be explained by the rupture of conducting filaments. \nRecently, we proposed the random circuit breaker (RCB) network model to explain \nunipolar resistance switching behavior. In this model, we proposed a switching medium \ncomposed of circuit breakers that can be switched between bistable resistanc e states \ndepending on the voltage applied to each component. We demonstrated that the RCB \nnetwork model could successfully explain most experimental features of unipolar \nresistance switching. 18 \nIn this letter, we report abnormal resistance switching behavior during the R ESET 4 processes of NiO capacitors, which cannot be explained simply by the rupture of \nconducting filaments alone. We observed this anomalous behavior in both voltage- and \ncurrent-driven measurements. We performed computer simulations based on the RCB \nnetwork model to explain these intriguing data and discovered that our model can \nexplain the observed abnormal switching behaviors. Our detailed analysis indicat ed that \nthe RESET process can occur via a series of avalanche processes, during which \nconducting filaments could be formed as well as ruptured. \nWe fabricated polycrystalline NiO thin films with a thickness of 100 nm on \nPt/Ti/SiO 2/Si substrates using DC magnetron reactive sputtering. Details of the sa mple \npreparation have been reported elsewhere. 13 We measured the electrical properties of the \nPt/NiO/Pt capacitors using an HP/Agilent 4155C Semiconductor Parameter A nalyzer \n(Agilent Technologies, Santa Clara, CA). We established a maximum curr ent value for \nthe SET process during voltage-driven measurements. This maximum current l imit, \nwhich we call the current compliance, was to prevent complete dielectric breakdow n \nduring current-voltage (I-V) measurements. For current-driven measurem ents, we used \nvoltage compliance in the RESET process. \nWe observed several types of abnormal resistance switching behavior during the RESET \nprocess. Figure 1 shows the resistance switching behaviors of our NiO capac itors under \nDC voltage-driven I-V sweeps. The solid (red) and dashed (black) lines corre spond to \nthe RESET and SET processes, respectively. In most cases, resistance sw itching during \nthe RESET process occurred rather sharply, as shown in Fig. 1(a). However, we \nsometimes observed a multilevel switching behavior with intermediate stat es between \nLR and HR states, as shown in Fig. 1(b). Quite rarely, we observed an even more 5 abnormal resistance switching behavior, where the switching current could become \nlarger than the onset value, denoted by “ s” in Fig. 1(c). These types of abnormal \nbehavior could not be explained simply by the rupture of conducting filaments \noccurring during the RESET process. Such abnormal switching behaviors could \nrepresent a serious disadvantage for practical applications of RRAM. \nWe used the RCB network model 18 to explain the abnormal switching behaviors. Figure \n2(a) shows a schematic diagram of the RCB network composed of bistable circuit \nbreakers. The resistance value of each circuit breaker will be either rl for the on-state \n(i.e. , “connected”) or rh for the off-state (“disconnected”). We assume that the state of \neach circuit breaker can be switched depending on its biased voltage, ∆v, and values of \nthreshold voltages, voff and von . As shown schematically in Fig. 2(b), a circuit breaker \nthat is initially in the off-state will be switched to on-state when ∆v > von . Conversely, as \nshown in Fig. 2(c), a circuit breaker that is initially in the on-state will be switched to \nthe off-state when ∆v > voff . In the LR state, there will be a percolating cluster of on-\nstate circuit breakers; however, such a cluster will not exist in the HR sta te. Note that ∆v \nof each circuit breaker will be determined by the overall applied voltage and sta tus of all \nthe other circuit breakers in the network. \nWe used two-dimensional square lattices of 150×30 breakers for our simulations, wi th \nrh/rl = 1000 and von /voff = 9.4. We randomly set 0.5% of the circuit breakers to the on-\nstate in the pristine network. After increasing the external voltage by a given voltage \nstep, we calculated ∆v for each circuit breaker and checked the switching conditions \nshown in Figs. 2(b) and (c). If switching occurred in at least one circuit brea ker, we \nreevaluated the ∆v distribution and checked the switching conditions again, repeating 6 this iterative process until the network reached a stable state. Then, we incr eased the \nexternal voltage by another voltage step and repeated the calculations until the total \ncurrent through the network reached its compliance value. The resulting config uration \nshould correspond to the LR state. The details of our simulations have been reported \nelsewhere. 18 \nThe percolating network for the LR state and the resulting I-V curve for the RESET \nprocess were dependent on the details of the pristine state and the compliance cu rrent \nvalue. Figures 3(a)–(c) show three snapshots of the RCB network close to a percolating \ncluster of on-state circuit breakers in such an LR state. Although all the clusters were \ngenerated with the same percentage of on-state breakers in the pristine st ate, the details \nof the resulting percolating cluster depended very much on the pattern of their random \nselection. After a percolating cluster was formed, we began to increase t he applied \nvoltage from zero again. The I-V curves in Figs. 3(d)–(f) correspond to the initi al LR \nstates in Figs. 3(a)–(c). Note that these simulated I-V curves are quit e similar to the \nexperimental I-V curves in Figs. 1(a)–(c). This agreement demonstra ted that our RCB \nnetwork model can explain the experimentally observed abnormal switching behavior s \nquite well. \nWe examined the regions near the percolating cluster more closely to gain a be tter \nunderstanding of this behavior. As the I-V curve in Fig. 3(f) was the most co mplicated \nand most intriguing, we studied the details of the RCB network for the values of V \nmarked with the numbers 1–5 in Fig. 3(f). Figure 4 shows detailed snapshots of the \nportion of the RCB network enclosed by the thick solid (black) box in Fig. 3(c). Note \nthat no configuration changes were observed in other parts of the network during this 7 simulation. Solid (black) and dashed (blue) lines are used in Fig. 4 to indicate regions \nwhere rupture and formation of conducting filaments occur, respectively, to clari fy the \nprogressive changes in the RCB network. Although this simulation result corres ponds to \na RESET process, we found that rupture and formation of conducting filaments could \noccur simultaneously. The switching of at least one circuit breaker could bring about a \nsignificant change in ∆v distribution, which would lead to an avalanche of switchings in \nother nearby circuit breakers. The close agreement between Figs. 1(c) and 3(f) indicates \nthat rupture and formation of conducting filaments may not be exclusive processes . \nWe also observed similar abnormal RESET switching behavior in current-driven \nswitching measurements. Note that in current-driven I-V sweeps, the voltage a cross the \ncapacitor is determined by the values of the applied current and the capacitor r esistance. \nFigure 5(a) shows a typical I-V curve in current-driven measurements wi th a voltage \ncompliance ( VCOMP ) of 1.0 V . With a small current, the network is in the LR state, and so \nthe slope of the I-V curve is large. After the RESET process, the network is in the HR \nstate, and so its slope has a much smaller value. When VCOMP was increased to 2.0 V , we \nobserved the abnormal RESET switching shown in Fig. 5(b), which exhibits several \nresistance value changes. Note that, after the first change, the res istance became lower \nthan that of the original LR state while it was still undergoing the RESET pr ocess. \nWhen VCOMP was increased further to 2.5 V , we observed a series of abnormal \nswitchings before the capacitor experienced a hard-breakdown, as shown in Fig . 5(c). \nKim et al. reported a similar series of abnormal switchings in current-driven \nmeasurements for the SET process. 11 \nWe found that the RCB network model can also explain these abnormal switching \nbehaviors in the current-driven I-V measurements. Figures 5(d)–(f) show I-V curve 8 simulations for current-driven resistance switching with increasing val ues of VCOMP . \nNote that these simulation results agreed quite closely with the experime ntal results. We \nalso studied the details of snapshots for the abnormal switchings, and found that rupture \nand formation of conducting filaments could also occur simultaneously during current-\ndriven measurements. \nIn summary, we observed abnormal resistance switching behavior during the RESE T \nprocess in NiO thin film capacitors, a phenomenon that can pose a serious obstacle for \npractical applications of RRAM. We found that the RCB network model can explain \nhow such abnormal resistance switching can occur. The success of the RCB model \nsuggests that it will be possible to use this percolation-type of model to find way s of \nsuppressing abnormal resistance switching. \n \nThis work was supported financially by the Creative Research Initiatives ( Functionally \nIntegrated Oxide Heterostructure) of the Korean Science and Engineerin g Foundation \n(KOSEF). 9 References \n1. R. Waser, and M. Aono, Nat. Mater. 6, 833 (2007). \n2. M. H. R. Lankhorst, B. W. S. M. M. Ketelaars, and R. A. M. Wolters, Nat. Mater. 4, \n347 (2005). \n3. B. H. Park, B. S. Kang, S. D. Bu, T. W. Noh, J. Lee, and W. Jo, Nature 401 , 682 \n(1999). \n4. G. Dearnaley, A. M. Stoneham, and D. V . Morgan, Rep. Prog. Phys. 33 , 1129 (1970). \n5. J. F. Gibbons, and W. E. Beadle, Solid-State Electron. 7, 785 (1964). \n6. B. J. Choi, S. Choi, K. M. Kim, Y . C. Shin, C. S. Hwang, S.-Y . Hwang, S.-S. Cho, S. \nPark, and S.-K. Hong, Appl. Phys. Lett. 89 , 012906 (2006). \n7. S. B. Lee, S. C. Chae, S. H. Chang, C. Liu, C. U. Jung, S. Seo, and D.-W. Kim, J. \nKorean Phys. Soc. 51 , s96 (2007). \n8. S. Q. Liu, N. J. Wu, and A. Ignatiev, Appl. Phys. Lett. 76 , 2749 (2000). \n9. Y . Watanabe, J. G. Bednorz, A. Bietsch, C. Gerber, D. Widmer, A. Beck, and S. J. \nWind, Appl. Phys. Lett. 78 , 3738 (2001). \n10. A. Baikalov, Y . Q. Wang, B. Shen, B. Lorenz, S. Tsui, Y . Y . Sun, Y . Y . Xue, and C. \nW. Chu, Appl. Phys. Lett. 83 , 957 (2003). \n11. A. Sawa, T. Fujii, M. Kawasaki, and Y . Tokura, Appl. Phys. Lett. 85 , 4073 (2004). 10 12. K. Szot, W. Speier, G. Bihlmayer, and R. Waser, Nat. Mater. 5, 312 (2006). \n13. S. Seo, M. J. Lee, D. H. Seo, E. J. Jeoung, D. S. Suh, Y . S. Joung, I. K. Yoo, I. R. \nHwang, S. H. Kim, I. S. Byun, J. S. Kim, J. S. Choi, and B. H. Park, Appl. Phys. Lett. \n85 , 5655 (2004). \n14. M.-J. Lee, S. Seo, D. C. Kim, S. E. Ahn, D. H. Seo, I. K. Yoo, I. G. Baek, D. S. Kim, \nI. S. Byun, S. H. Kim, I. R. Hwang, J. S. Kim, S. H. Jeon, and B. H. Park, Adv. Mater. \n19 , 73 (2007). \n15. D. C. Kim, S. Seo, S. E. Ahn, D. S. Suh, M. J. Lee, B. H. Park, I. K. Yoo, I. G. Baek, \nH. J. Kim, E. K. Yim, J. E. Lee, S. O. Park, H. S. Kim, U. I. Chung, J. T. Moon, and B. I. \nRyu, Appl. Phys. Lett. 88 , 202102 (2006). \n16. K. Jung, H. Seo, Y . Kim, H. Im, J. Hong, J.-W. Park, and J.-K. Lee, Appl. Phys. Lett. \n90 , 052104 (2007). \n17. H. Shima, F. Takano, H. Akinaga, Y . Tamai, I. H. Inoue, and H. Takagi, Appl. Phys. \nLett. 91 , 012901 (2007). \n18. S. C. Chae, J. S. Lee, S. Kim, S. B. Lee, S. H. Chang, C. Liu, B. Kahng, H. Shin, \nD.-W. Kim, C. U. Jung, S. Seo, M.-J. Lee, and T. W. Noh, Adv. Mater., in press. 11 Figure captions \nFig. 1. (color online) I-V characteristics of NiO capacitors during SET and RESET \nprocesses for DC voltage-driven I-V sweeps. The dashed (black) and solid (red) lines \nrepresent the SET and RESET processes, respectively. (a) Typical resis tance switching. \n(b) Abnormal multilevel resistance switching with an intermediate st ate. (c) Abnormal \nresistance switching with large resistance fluctuations during the RE SET process. “ s” \nindicates the onset of the RESET process where the resistance value begins to change. \n \nFig. 2. (color online) (a) Schematic diagram of the random circuit breaker netw ork \nmodel for a two-dimensional bond percolation. Each bond represents one circui t breaker. \nThe red and green colors represent on- and off-states, respectively. The uppe r and lower \nblack bars represent top and bottom electrodes. (b) Change in the circuit breaker’s sta te \nfrom off to on. (c) Change in the circuit breaker’s state from on to off. \n \nFig. 3 (color online) Simulation results for voltage-driven RESET processes using the \nRCB network model. All the simulations were performed in 150× 30 square lattices of \ncircuit breakers with 0.5% of the circuit breakers randomly turned on in the pristi ne \nstate. (a), (b), (c) Snapshots of the RCB network near a percolating cluster of on-state \ncircuit breakers in the LR state. Note that the thick (red) and thin (green) li nes \ncorrespond to on- and off-state circuit breakers, respectively. (d), (e), (f) V oltage-driven \nI-V sweep results for the configurations shown in (a), (b), and (c), respectivel y. \n \nFig. 4. (color online) Snapshots of circuit breaker network configuration at each volt age, \nlabeled in the I-V curve in Fig. 3(f). In each figure, the regions where conducting 12 filaments are formed and ruptured are marked by the dashed (blue) and solid (blac k) \nlines, respectively. \n \nFig. 5. (a), (b), (c) Experimental I-V curves for RESET processes under c urrent-driven \nI-V sweeps with VCOMP = 1.0, 2.0, and 2.5 V , respectively. (d), (e), (f) Simulated I-V \ncurves with different compliance voltages values of 2, 6, and 10, respectively. Note t hat \nVCOMP values in the simulation are unitless. \n 13 Figure 1 \n0 1 204812 \nVoltage (V) Current (mA) \n0 1 2\n \n0 1 2(a) (b) (c) \nRESET \nSET s\n 14 Figure 2 \n(b) (a) \nVvon rlrh\nvoff rlrhΔv\nΔvΔv(c) \n 15 Figure 3 \n0.0 0.5 1.0 0.0 0.1 0.2 \n0.0 0.5 1.0 0.0 0.1 0.2 0.3 \n0.0 0.5 1.0 0.00 0.05 0.10 \n \n \n543\n21\nVoltage (arb. units) Current (arb. units) (a) \n(b) \n(c) (d) \n(e) \n(f) \n 16 Figure 4 \n3\n4 51 2\n 17 Figure 5 \n0 1 2024\n0.0 0.5 1.0 010 20 30 0.0 0.5 1.0 04812 Current (mA) \n \nVoltage (V) \n \n \n0.0 1.0 2.0 0.00 0.03 0.06 \n0 3 60.00 0.03 0.06 0.09 \n0.0 0.5 0.0 0.3 0.6 \n \n Currrent (arb. units) \nVoltage (arb. units) \n \n(f) (d) (a) \n(b) \n(c) (e) \n " }, { "title": "1806.02629v2.A_Timing_RPC_with_low_resistive_ceramic_electrodes.pdf", "content": "P/r.sc/e.sc/p.sc/a.sc/r.sc/e.sc/d.sc /f.sc/o.sc/r.sc /s.sc/u.sc/b.sc/m.sc/i.sc/s.sc/s.sc/i.sc/o.sc/n.sc /t.sc/o.sc JINST\nXIV/t.sc/h.scW/o.sc/r.sc/k.sc/s.sc/h.sc/o.sc/p.sc /o.sc/n.sc R/e.sc/s.sc/i.sc/s.sc/t.sc/i.sc/v.sc/e.sc P/l.sc/a.sc/t.sc/e.sc C/h.sc/a.sc/m.sc/b.sc/e.sc/r.sc/s.sc /a.sc/n.sc/d.sc /r.sc/e.sc/l.sc/a.sc/t.sc/e.sc/d.sc /d.sc/e.sc/t.sc/e.sc/c.sc/t.sc/o.sc/r.sc/s.sc\n/one.taboldstyle/nine.taboldstyle. - /two.taboldstyle/three.taboldstyle. F/e.sc/b.sc. /two.taboldstyle/zero.taboldstyle/one.taboldstyle/eight.taboldstyle\nM/e.sc/x.sc/i.sc/c.sc/o.sc, P/u.sc/e.sc/r.sc/t.sc/o.sc V/a.sc/l.sc/l.sc/a.sc/r.sc/t.sc/a.sc\nA Timing RPC with low resistive ceramic electrodes\nR. Sultanov,aA. Akindinov,aR. Beyer,bJ. Dreyer,bX. Fan,bR. Greifenhagen,bB. Kämpfer,b\nR. Kotte,bA. Laso Garcia,bD. Malkevich,aL. Naumann,b;1V. Plotnikov,aM. Prokudin,aS.\nShirinkin,aand D. Stach.bon behalf of CBM-TOF collaboration\naInstitute for Theoretical and Experimental Physics, Moscow, Russia\nbHelmholtz-Zentrum Dresden-Rossendorf, Dresden, Germany\nE-mail: L.Naumann@hzdr.de\nA/b.sc/s.sc/t.sc/r.sc/a.sc/c.sc/t.sc :ForprecisestarttimedeterminationaBeamFragmentationT 0Counter(BFTC)isunder\ndevelopmentfortheTime-of-FlightWalloftheCompressedBaryonicMatterSpectrometer(CBM)\nat the Facility for Antiproton and Ion Research (FAIR) at Darmstadt/Germany. This detector will\nbe located around the beam pipe, covering the front area of the Projectile Spectator Detector. The\nfluxes at this region are expected to exceed 105cm\u00002s\u00001. Resistive plate chambers (RPC) with\nceramic composite electrodes could be use because of their high rate capabilities and radiation\nhardness of material. Efficiency \u001597%, time resolution \u001490ps and rate capability \u0015105cm\u00002s\u00001\nwere confirmed during many tests with high beam fluxes of relativistic electrons. We confirm the\nstability of these characteristics with low resistive Si 3N4/SiC floating electrodes for a prototype\nof eight small RPCs, where each of them contains six gas gaps. The active RPC size amounts\n20\u000220mm2producedonbasisofAl 3O2andSi 3N4/SiCceramics. Recenttestresultsobtainedwith\nrelativisticelectronsatthelinearacceleratorELBEoftheHelmholtz-ZentrumDresden-Rossendorf\nwith new PADI-10 Front-end electronic will be presented.\nK/e.sc/y.sc/w.sc/o.sc/r.sc/d.sc/s.sc: RPC, ceramic composite electrodes, high rate capability, signal cross-talk\n1Corresponding author.arXiv:1806.02629v2 [physics.ins-det] 22 May 2019Contents\n1 Introduction 1\n2 Instrumentation 1\n3 Results 3\n4 Conclusion 5\n1 Introduction\nWithin the framework of the Facility for Antiproton and Ion Research, which is currently under\nconstruction at Darmstadt/Germany, a determined effort is being made to implement the CBM\nspectrometer [1]. Important prerequisites of high energy heavy ion experiments are the start-time\nand the reaction-plane determination. For the CBM Time-of-Flight Wall the use of resistive plate\nchambers (RPC) for the Beam Fragmentation T 0Counter (BFTC) with low resistive radiation\nhard ceramics electrodes and small chess-board like single cells is under consideration [2, 3].\nThis detector should cover the inner solid angle range of the spectrometer with an area of about\n120\u0002120cm2in front of the Projectile Spectator Detector. It is planned to arrange approximately\n4000RPCcellsof20 \u000220mm2sizearoundthebeamtubewithacentralholeof40 \u000240cm2todetect\nthearrivaltimeandthepositionofthechargedrelativisticbeamfragmentsandhence,todetermine\nthereactiontimeandreactionplaneofanevent. Duetotheexpectedhighfluxes,thedetectorisfixed\nintermsofbothefficiencyandtimeresolution. Thesmallsizeofthedetectorcellsismandatoryto\nminimizetheoccupancyinasinglecellguaranteeingadoublehitprobabilityoflessthan2% [4].\nAlso the crosstalk between adjacent detector cells should not exceed 2%. Tests with the BFTC-\nminimodule of 8 RPC cells have been performed [3, 5] with high fluxes of electrons at the ELBE\nacceleratorduringtwobeam-timesin2017inordertoadopttheBFTC-prototypeconstructionand\nsignal readout scheme for operation with the PADI ASIC [6]. RPCs with electrode areas from\n20\u000220mm2upto200 \u0002200mm2havebeenassembledandtestedwithelectronsandprotonsunder\nhigh irradiation conditions [7, 8]. The low-resistive electrodes have been exposed with neutrons\nto estimate the maximum of non-ionizing radiation doses without a modification of the ceramics\nproperties [9, 10].\n2 Instrumentation\nThe BFTC-minimodule represents a gas volume with a support structure for 8 RPCs inside, which\nconsists of a plastic (POM) frame with assembled RPCs on it. To omit geometrically acceptance\nlosses,theRPCsaresortedmeandering. EachquadraticRPCwith6gasgapsof250 \u0016mconsistsof\nastackofthreeseparatecountercells [3,5]. Thecountergasmixtureconsistsoftwocomponents,\n– 1 –R134a(90%) and SF 6(10%) where the detector volume has been exchanged twice per hour. The\ncentral electrodes at floating potential are made of low resistive Si 3N4/SiC ceramic composite,\nwhileallanodesandcathodesaremetallicelectrodesonahighresistiveAl 2O3backing. Toreduce\nthe dark current of the detector, all electrode edges are grooved with Rogowski shapes [11]. The\nRPCs are fixed on a PCB board inside the detector box which serves the following functions: high\nvoltagedistributionforall8RPCsfromasingleinputandprimaryreadoutandconvertingtheRPC\nsignal from single ended to differential mode (100 \n) for signal transmission to the PADI-10. The\nsignal amplification amounts to 1.5 and shaping of the signal is performed in the readout scheme,\nso a typical output pulse has no overshoot and its length amounts to 5.5ns at the base level. This\nensures a readout without pileup at high counting rates, expected at the BFTC region [4]. The\ncurrent design of the board assures that the high voltage lines are placed in the inner layer of the\nPCB, tominimizes thecross-talks via theHV line. Theboard with theupper coverof the gastight\nbox and with assembled RPCs is shown in figure 1.\nFigure 1. Top - The PCB readout board with HV distribution (HV capacitors) and preamplifier/shaper\ncircuits fixed on the upper cover of the detector box; Bottom - RPCs of the prototype inside the box. On the\nphotofourofeightRPCs(whitequadratwithblackbelt)areshown. FourRPCsarelocatedonthebackside.\nAll RPCs are sorted meandering along a chain.\nThe detector was tested with a high flux of single relativistic electrons of energy \u001530MeV\n– 2 –with a dedicated test facility at ELBE with a scintillating counter telescope and additional trigger\nand timing information from the accelerator [7, 8, 12, 13]. As readout electronics for the RPC\nsignalsPADI(versions6and10atfirstandsecondbeam-time,correspondingly),ASICandVFTX\nTDC were used, while a CAEN TDC was used for the trigger scintillators. A trigger logic was\nrealizedinCAENFPGAmoduleV1495. SincetheVFTXTDCswereworkingwithexternalclock,\nthe accelerator RF signal was fed into the second VFTX TDC.\n3 Results\nThe arrival time of the RPC signal is determined as difference between leading edge of the RPC\nsignal and the reference signal. Characteristic time spectra for the leading and trailing edge\ndistributions and for the amplitude dependend Time-over-Threshold (ToT) behavior are shown in\nfigure 2 for the RPC cell #4 at 92kV/cm. The leading edge distribution shows a steep rise and\nthe trailing edge distribution a steep fall of the time signal, while the ToT spectra exhibits a double\npeak structure. The correlation between ToT and timing allows for a walk correction of the timing\ndistribution. The optimal value of the signal amplitude threshold at the PADI ASIC input was\ndetermined by a noise scan and amounts 180mV.\nFigure 2. Spectra from top-left to bottom-right: leading edge distribution, trailing edge distribution, Time-\nover-Threshold (ToT) distribution, ToT-to-time correlation.\nThe detector efficiency in dependence on the high voltage studied with the PADI-10 FEE is\nshowninfigure3andcomparedwithformermeasurements. OnecanseethatbothsetupswithPADI\nread-out do not exhibit a working plateau, reaching at maximum 89% registration efficiency. In\npreviousmeasurementswithMAXIM3760preamplifiersawiderefficiencyplateauwithamaximum\nvalue of 97% has been obtained.\nFor cross-talk probability determination the BFTC-minimodule cells were placed one-by-one\nin the beam center with a narrow trigger while the signals were read out from all eight RPC cells.\nA maximum cross-talk probability of 1.2% has been obtained for all other seven channels. This\n– 3 –Figure 3. RPCefficiencyasfunctionofthefieldstrengthforthreeRPCdetectorsperformedwithtworeadout\nsystems: squares-MAXIM3760+CAENTDC,triangles-PADI-10. Thecorrespondingbulkresistivitiesof\nthe ceramic plates on floating potential are given in the legend.\nFigure 4. Selected time spectra for the central RPC cells #3 - 6 of the BFTC-minimodule. In each time\nspectrumthenumberofentriesandthecellefficiencyareshownwhenthebeamhitsthecellwiththehighest\nefficiency in the horizontal line (from cells #3 in the upper line to cells #6 in the lower line).\nmeasurement is affected by the fact, that the beam diameter of 5cm (FWHM) is larger than the\ncell size of 2cm. Thus, there is a chance that a soft electron, after series of rescatterings, hits both\nneighboringchannelsandstillpassesthetriggercondition. Inaselectednumberoftimespectraof\nRPC cells located in the center of the BFTC-minimodule. In figure 4 a selected number of time\nspectra are shown for RPC cells located in the center of the BFTC-minimodule. The comparision\n– 4 –of the efficiencies in the spectra of horizontal rows shows, that the suppression of the cross-talk\nbetween the cell under beam exposure and the neighboring cells is clearly evident.\nAtypicaltimeresolutionoftheRPCasfunctionoftheappliedelectricfieldisshowninfigure5.\nAftercalibrationoftheVFTXTDCtheRPCtimewascorrectedfortime-walkeffectandfittedwith\na Gaussian. The start time resolution of 80ps and electronic jitter of 90ps the RF signal used as\nreference were quadratically subtracted from the width of the Gaussian fit.\nFigure 5. Timeresolutionofcell#3(bulkresistivity3.8 \u0001109\n\u0001cm)asfunctionoftheappliedelectricfield.\n4 Conclusion\nThe BFTC-minimodule of the current design of the inner board demonstrated a stable operation\ntogetherwiththePADI-10preamplifier: noexcitationofthereadoutchainorrefractedsignalsinthe\nRPCstimespectra’swereobserved,theToTspectraindiscretewithpropershapeand,thecross-talk\nprobability is very low. This means that the design can be fixed and used for future modules, as\nwell as for the (already started) development of the module-prototype of size 20 \u000220cm2for a test\nrunwithheavyionbeamattheupgradedSIS18atGSI/FAIR.Themostprobablereasonforthelow\nregistrationefficiencyisrelatedtotheshortsignalswhicharenotcorrectlyprocessedbytheVFTX\nTDC.Tocheckwhetherthisisthecase,anexternalsignalstretcherandotherpreamplifierswillbe\ntested under high rates as well as with cosmic rays.\nReferences\n[1] P. Senger et al., The compressed baryonic matter experiment ,J. of Phys. G 28(2002) 186\n[2] I. Deppner et al., The CBM Time-of-Flight wall - a conceptual design ,JINST 9(2014) C 10014\n[3] A. Akindinov et al., Radiation-hard ceramic Resistive Plate Chambers for forward TOF and T0\nsystems,NIM in Phys A. 845(2017) 203\n– 5 –[4] R. Sultanov et al., CBM Progress Report (2013) 82\n[5] A.Akindinovetal., Radiation-hardceramicRPCdevelopment ,J.Phys.: Conf.Ser. 798(2017)012136\n[6] M. Ciobanu et al., PADI, an Ultrafast Preamplifier - Discriminator ASIC for Time-of-Flight\nMeasurements ,IEEE Transactions on Nuclear Science 61(2)(2014) 1015\n[7] L. Naumann et al., High-rate timing RPC with ceramics electrodes ,NIM in Phys A. 635(2011) 113\n[8] A. Laso Garcia et al., High-rate timing resistive plate chambers with ceramic electrodes ,NIM in Phys\nA.818(2016) 45\n[9] A. Laso Garcia et al., Aging effects on low-resistive high-rate ceramics RPCs ,CBM Progress Report\n2012(2013) 74\n[10] A. Arefiev et al., First results on irradiation of ceramic parallel plate chambers with gammas and\nneutrons,NIM in Phys A. 373(1996) 43\n[11] R. Sultanov et al., Progress in ceramic RPCs for the Beam Fragmentation T0 Counter ,CBM Progress\nReport 2015 (2016) 99\n[12] F. Gabriel et al., The Rossendorf radiation source ELBE and its FEL projects ,NIM in Phys B.\n161-163(2000) 1143\n[13] L. Naumann et al., Anordnung und Verfahren zur Erzeugung einzelner relativistischer Elektronen ,\nDE102008054676A1 (2010)\n– 6 –" }, { "title": "1702.02557v1.Hall_field_induced_resistance_oscillations_in_MgZnO_ZnO_heterostructures.pdf", "content": "arXiv:1702.02557v1 [cond-mat.mes-hall] 8 Feb 2017Hall field-induced resistance oscillations in MgZnO/ZnO he terostructures\nQ. Shi,1M. A. Zudov,1,∗J. Falson,2,3Y. Kozuka,4A. Tsukazaki,5,6M. Kawasaki,4,3K. von Klitzing,2and J. Smet2\n1School of Physics and Astronomy, University of Minnesota, M inneapolis, Minnesota 55455, USA\n2Max-Planck-Institute for Solid State Research, Heisenber gstrasse 1, D-70569 Stuttgart, Germany\n3RIKEN Center for Emergent Matter Science (CEMS), Wako 351-0 198, Japan\n4Department of Applied Physics and Quantum-Phase Electroni cs Center (QPEC),\nThe University of Tokyo, Tokyo 113-8656, Japan\n5Institute for Materials Research, Tohoku University, Send ai 980-8577, Japan\n6PRESTO, Japan Science and Technology Agency (JST), Tokyo 10 2-0075, Japan\nWe report on nonlinear magnetotransport in a two-dimension al electron gas hosted in a\nMgZnO/ZnO heterostructure. Upon application of a direct cu rrent, we observe pronounced Hall\nfield-inducedresistance oscillations (HIRO)which are wel l known from experimentson high-mobility\nGaAs/AlGaAs quantum wells. The unique sensitivity of HIRO t o the short-range component of the\ndisorder potential allows us to unambiguously establish th at the mobility of our MgZnO/ZnO het-\nerostructure is limited by impurities residing within or ne ar the 2D channel. Demonstration that\nHIRO can be realized in a system with a much lower mobility, mu ch higher density, and much\nlarger effective mass than in previously studied systems, hi ghlights remarkable universality of the\nphenomenon and its great promise to be used in studies of a wid e variety of emerging 2D materials.\nAssessing the nature and magnitude of the disorder\npresent in high-quality two-dimensional electron systems\n(2DESs) presents a challenging yet necessary experimen-\ntal task. When cooled to a low temperature Tand ex-\nposed to a magnetic field B, these systems are widely\nknown to divulge a rich array of quantum phenomena\nwhich display both quantitative and qualitative depen-\ndencies on the underlying disorder. Unfortunately, stan-\ndard magnetotransport measurements in isolation give\nlimited insight into this disorder as not all carrier scat-\ntering events are reflected equally or separably in the\nmeasured resistance [1]. However, a better glimpse of\nkey characteristics of the disorder potential may of-\nten be gained from non-equilibrium transport phenom-\nena, such as microwave-induced resistance oscillations\n(MIRO) [2, 3] and Hall field-induced resistance oscilla-\ntions (HIRO) [4–6]. Both phenomena exploit the com-\nmensurability of the energy spacing between the centers\nof disorder-broadened Landau levels and either the pho-\nton energy of the incident radiation (MIRO) or the Hall\nvoltage drop across the cyclotron orbit under applied\ndirect current (HIRO). Importantly, the amplitudes of\nthese oscillations and their B-dependencies contain in-\nformation on specific scattering types [7–10].\nMIRO, appearing when a 2DES is exposed to mi-\ncrowave radiation of frequency ω= 2πfand a weak\nB-field, are controlled by ω/ωc, whereωc=eB/m⋆is\nthe cyclotron frequency of an electron with the effec-\ntive mass m⋆. To date, MIRO have been observed in\nGaAs/AlGaAs [2, 11], Ge/SiGe [12], and MgZnO/ZnO\n[13] heterostructures. Usually [14], MIRO are explained\nin terms of the displacement [15–18] and the inelastic\n[7, 19] contributions. The former originates from the\nradiation-induced modification of scattering off impu-\nrities and carries important information about correla-\ntion properties of the disorder potential. The latter ac-counts for the radiation-induced changes to the distri-\nbution function and is controlled by the ratio of the\ntransport and electron-electron scattering rates. As a\nresult, the relative importance of these contributions de-\npends on many parameters and their unequivocal dis-\nentanglement is a challenging experimental feat that re-\nmains to be accomplished [20]. Moreover, the inelastic\ncontribution can completely mask the displacement con-\ntribution in high-density and low-mobility 2DESs, such\nastheMgZnO/ZnOsamplesusedinarecentMIROstudy\n[13], making it virtually impossible to extract correlation\nproperties of the disorder potential from microwave pho-\ntoresistance.\nAnother prominent non-equilibrium phenomenon,\nHIRO, emerges when a sufficiently strong direct current\nIis sent through a 2DES placed in a varying B-field\n[4–6]. HIRO appear as 1 /B-periodic oscillations in the\ndifferential resistance rand originate solely from the dis-\nplacement contribution from the electron backscattering\noff short-range (“sharp”) disorder [8, 21]. Theoretically,\nthe oscillatory correction to ris given by [8]\nδr\nR0≈16\nπτ\nτπλ2cos2πǫj, πǫj≫1,(1)\nwhereR0is the low-temperature, linear-response resis-\ntance at B= 0,τis the disorder-limited transport scat-\ntering time, τπis the backscattering time, λ=e−π/ωcτq,\nτqis the quantum lifetime, ǫj= 2eERc//planckover2pi1ωc,E=ρHI/w\nis the Hall field ( wis the sample width, ρHis the Hall\nresistivity), and Rcis the cyclotron radius.\nFor the exploration of disorder characteristics HIRO\nare unique because, in contrast to MIRO which are also\nsensitive to the radiation intensity and inelastic relax-\nation, the HIRO amplitude is controlled solely by the\nbackscattering rate τ−1\nshand the quantum scattering rate\nτ−1\nq, entering λ. The former characterizes only the2\n/g21/g17/g27\n/g21/g17/g23\n/g21\n/g20/g17/g25 /g85/g3/g11/g78 W/g12\n/g16/g23 /g16/g21 /g19 /g21 /g23\n/g37/g3/g11/g55/g12/g44/g3/g32/g3/g19/g17/g25/g3/g80/g36/g19/g17/g27/g3/g80/g36/g20+\n/g21/g14\n/g20-/g22/g14/g21\n/g20\n/g19/g85/g19/g3/g15/g3/g53/g19/g3/g11/g78 W/g12\n/g19/g17/g27/g19/g17/g23/g19\n/g44/g3/g11/g80/g36/g12/g53/g19/g3/g32/g3/g20/g17/g19/g27/g3/g14/g3/g19/g17/g24/g21 /g3/g44/g3/g85/g19\n/g53/g19\nFIG. 1. (Color online) Differential magnetoresistance r(B)\nmeasured at different Ifrom 0.6 to 0.8 mA, in steps of 0.05\nmA. The traces are notvertically offset. Inset: Zero-field\ndifferential resistance r0(dashed line) and total resistance R0\n(solid line) as a function of I. AtI >0.05 mA, R0(I) is\nwell described by R0(I) =R0(0) +aI, withR0(0)≈1.1 kΩ,\na≈0.52 kΩ/mA.\nshort-range (“sharp”) component of the disorder poten-\ntial while the latter also accounts for scattering off the\nlong-range (“smooth”) disorder component. To date,\nHIRO have been studied in modulation-doped systems\nbased on either GaAs/AlGaAs [4–6, 22–27] or, more re-\ncently, on Ge/SiGe [28] heterostructures. Both of the\nstudied systems are characterized by very high mobil-\nity (µ∼106−107cm2/Vs), low effective mass ( m⋆≈\n0.06−0.09m0), and moderate carrier density (typically\nne∼1011cm−2).\nIn this Rapid Communication we report on the first\nobservation and study of HIRO in a 2DES hosted in a\nMgZnO/ZnO heterostructure, a system which is distinct\nfrom both GaAs/AlGaAs and Ge/SiGe. More specif-\nically, the 2DES in our MgZnO/ZnO heterostructures\nis characterized by a much lower mobility ( µ∼104\ncm2/Vs), amuchlargereffectivemass( m⋆≈0.3m0), and\nmuch higher carrier density ( ne∼1012cm−2). Despite\nthese differences, our experiments reveal well-developed\nHIRO demonstrating that neither low effective mass nor\nhigh mobility of previously studied systems are essentialfor HIRO detection. This finding highlights remarkable\nuniversality of the effect and its great promise to be re-\nalized in other 2D systems allowing their characteriza-\ntion. Taking our MgZnO/ZnO system as an example,\nwe demonstrate that the analysis of the B-dependence of\nthe HIRO amplitude allows us to unambiguously estab-\nlish that its mobility is limited by short-range disorder\noriginating from impurities at or near the interface.\nOur sample was fabricated from a Mg 0.15Zn0.85O/ZnO\nheterostructure grown using liquid ozone-based molecu-\nlar beam epitaxy [29–31]. A Hall bar mesa with a width\nof about 0.15 mm and a distance between voltage probes\nof about 0.8 mm was defined by scratching the wafer\nwith a tungsten needle. Electrical contacts were made\nby soldered indium. At low temperature, our 2DES has\na carrier density ne≈2.0×1012cm−2and a mobility\nµ≈2.3×104cm2/Vs. The differential magnetoresis-\ntancerwas measured at a fixed coolant temperature\nT≈1.35 K using a standard four-terminal lock-in de-\ntection scheme at various direct currents up to 1 mA.\nIn the main panel of Fig.1(a) we present ras a func-\ntion ofBrecorded at different Ifrom 0.6 to 0.8 mA, in\nsteps of 0.05 mA. Despite a much lower mobility of our\nsample compared to previously studied systems in which\nHIRO we observed to date, the data readily reveal well-\ndeveloped HIRO which persist up to the third order (cf.\n1+,2+,3+)and expand to higher Bwith increasing I. It\nis important to note that, in contrast to GaAs/AlGaAs\nand Ge/SiGe in which HIRO typically occur at B∼0.1\nT, HIRO in our ZnO sample can be extended to fields be-\nyond 5 T (at I≥1 mA). We notice that in view of high\ncarrier density, HIRO are still observed in the regime of\nhigh filling factors (at B= 5 T we estimate ν≈17).\nAccording to Eq.(1), positions of the n-th HIRO max-\nimum (B+\nn) and minimum ( B−\nn) can be described by\nB+\nn≈/radicalbigg\n8π\nnem⋆\ne2j\nnandB−\nn≈/radicalbigg\n8π\nnem⋆\ne2j\nn+1/2,(2)\nwherej=I/wisthe currentdensity. InFig.2wepresent\nB+\n1(circles), B−\n1(squares), and B+\n2(triangles) as a func-\ntion ofIand observe linear dependencies, in accord with\nEq.(2). For high carrier densities ( ne/greaterorsimilar1012cm−2), as\nin our sample, both Shubnikov-de Haas oscillations [32]\nand MIRO [13] yield the effective mass close to the band\nmassm⋆≈0.3m0. Using this value and the obtained lin-\near dependencies, we estimate the effective width of our\nHall bar to be w≈0.09 mm, in reasonable agreement\nwith the estimated scratch-defined width [33].\nFurtherexaminationofFig.1revealsthatthezero-field\ndifferential resistance r0increases with Iand that, con-\ncurrently, the HIRO onset moves to higher B. These\nobservations suggest that both the transport and the\nquantum lifetime decrease with I. The likely cause of\nthese findings is the current-induced increase of the elec-\ntron temperature due to Joule heating. In the inset of3\n/g23\n/g22\n/g21\n/g20\n/g19/g37/g3/g11/g55/g12\n/g20/g19/g17/g27/g19/g17/g25/g19/g17/g23/g19/g17/g21/g19\n/g44/g3/g11/g80/g36/g12/g20/g14\n/g20-\n/g21/g14\nFIG. 2. (Color online) Magnetic fields at the oscillation ex-\ntrema,B+\n1(circles), B−\n1(squares), and B+\n2(triangles) as a\nfunction of I. Lines are fits to the data.\nFig.1 we present the differential resistance r0(dashed\nline) and the total resistance R0[34] (solid line) as a\nfunction of I. The total resistance can be described well\nbyR0=R0(0)+aI,R0(0)≈1.1 kΩ,a≈0.52 kΩ/mA,\nindicating that the transport scattering time τdecreases\nby about one third at the maximum current I= 1 mA.\nThe quantum lifetime and its dependence on Ican\nalso be extracted. In view of a rather small number of\nobserved oscillations, we opt for a direct fit of the experi-\nmental traces with the theoretical expression, as opposed\nto the conventional Dingle analysis [6, 24, 26, 27]. More\nspecifically, we examine the experimentally obtained rel-\native oscillatory correction to the differential resistance\nδr/R0(0)≡(r−r0)/R0(0). This quantity is shown in\nthe main panel of Fig.3 (solid lines) as a function of ǫj\nfor the same currents as in Fig.1. The traces, offset for\nclarity by 0.25, exhibit the same period and the same\nphase, showing that our analysis is self-consistent. The\nfirst-order minima and the second-order maxima occur\nclose toǫj= 1.5 andǫj= 2, respectively, while the fun-\ndamental HIRO maxima appear at ǫjsomewhat lower\nthan unity. This deviation is anticipated given the ap-\nproximate nature of Eq.(1) applicable only in the limit\nofπǫj≫1. We therefore chose to fit our experimental\ndata with the full HIRO expression [8],\nδr\nR0=−2τ\nτshλ2/parenleftBig\nζ/bracketleftbig\nJ2\n0(ζ)/bracketrightbig′′/parenrightBig′\n, (3)\nwhereJ0is the Bessel function and prime denotes the\nderivative over ζ=πǫj.\nSinceλ2= exp(−2πǫj/ωjτq), the only fitting param-\neters are τ−1\nqandτ/τsh. We first notice that the fits/g21\n/g20\n/g19d/g85/g18/g53/g19/g3/g11/g19/g12\n/g22 /g21 /g20\ne/g77/g44/g3/g32/g3/g19/g17/g25/g3/g80/g36/g19/g17/g27/g3/g80/g36/g19/g17/g27\n/g19/g17/g23\n/g19/g20/g18t/g84/g3/g11/g20/g18/g83/g86/g12\n/g19/g17/g27 /g19/g17/g23/g19\n/g3/g44/g3/g21/g3/g11/g80/g36/g21/g12\nFIG. 3. (Color online) Measured δr/R0(0) (solid lines) and\nfits to the data with Eq.(3) and τ/τsh= 1 (dotted lines)\nas a function of ǫjforI= 0.6 to 0.8 mA, in steps of 0.05\nmA. Traces are offset by 0.25 for clarity. Inset: Quantum\nscattering rate τ−1\nqas a function of I2. Solid line is drawn at\nτ−1q=τ−1q(0)+bI2, withτq(0)≈2.4 ps,b≈0.51 ps−1/mA2.\nconsistently yield τ/τsh≈1.0 (with an accuracy of a few\npercent) for all I, unambiguously signaling the preva-\nlence of sharp-disorder (large-angle) scattering in our\nMgZnO/ZnO heterostructure. This finding is consistent\nwith the close values of τqandτobtained in Ref.32,\nwhich suggested a less significant role of smooth-disorder\n(small-angle) scattering than in traditional, remotely-\ndoped 2DES. We therefore conclude that the electron\nmobility in our system is limited by impurities at or near\nthe interface. The most likely source of this scattering is\nthe alloy disorder in Mg xZn1−xO.\nSince the ratio τ/τshis expected to be the same for all\nI, we chose to fix its value at τ/τsh= 1 and then per-\nform single-parameter fits to obtain quantum scattering\nrate. The obtained fits to the data are included in Fig.3\nas dotted lines, demonstrating excellent overlap with the\nexperimental traces [35]. The quantum scattering rate\nτ−1\nq, extractedfromthe fits, isshowninthe inset ofFig.3\nas a function of I2. As illustrated by solid line, it can be\ndescribed well by τ−1\nq=τ−1\nq(0)+bI2, withτq(0)≈2.4 ps\nandb≈0.51 ps−1/mA2. This observed increase with I\nis likely caused by enhanced electron-electron scattering4\n[24, 36] as the electron temperature increases. The ob-\ntained value of τq(0) is in good agreementwith the values\nextracted from Shubnikov-de Haas oscillations [32] and\nMIRO [13] measured in similar samples.\nIn summary, we have observed and investigated Hall\nfield-induced resistance oscillations in a MgZnO/ZnO\nheterostructure, a recently developed 2DES. By exploit-\ning the direct sensitivity of HIRO to the sharp com-\nponent of the disorder potential, we identified large-\nangle scattering off impurities within or near the inter-\nface as the dominant source of scattering [37]. Since our\nMgZnO/ZnO sample has very different parameters than\nconventional high-mobility modulation-doped 2DESs in\nwhich HIRO have been observed so far, our findings\ndemonstrate that HIRO is a powerful experimental tool\nto assess disorder characteristics across a wide variety\nof 2D systems. In particular, we establish that neither\nlow effective mass nor high mobility are prerequisites for\nreliable HIRO detection.\nWe thank I. A. Dmitriev for discussions and comments\non the manuscript, P. Herlinger for assistance with the\nmicroscope, and A. Zudova for assistance with data ac-\nquisition. This work was supported, in part, by the\nUS Department of Energy, Office of Basic Energy Sci-\nences, under Grant No. DE-SC002567 (University of\nMinnesota) and by Grant-in-Aids for Scientific Research\n(S) No. 24226002 from MEXT, Japan (University of\nTokyo).\n∗Corresponding author: zudov@physics.umn.edu\n[1] I. A. Dmitriev, A. D. Mirlin, D. G. Polyakov, and M. A.\nZudov, Rev. Mod. Phys. 84, 1709 (2012).\n[2] M. A. Zudov, I. V. Ponomarev, A. L. Efros, R. R. Du,\nJ. A. Simmons, and J. L. Reno, Phys. Rev.Lett. 86, 3614\n(2001).\n[3] P. D. Ye, L. W. Engel, D. C. Tsui, J. A. Simmons, J. R.\nWendt, G. A. Vawter, and J. L. Reno, Appl. Phys. Lett.\n79, 2193 (2001).\n[4] C. L. Yang, J. Zhang, R. R. Du, J. A. Simmons, and J. L.\nReno, Phys. Rev. Lett. 89, 076801 (2002).\n[5] A. A. Bykov, J. Zhang, S. Vitkalov, A. K. Kalagin, and\nA. K. Bakarov, Phys. Rev. B 72, 245307 (2005).\n[6] W. Zhang, H.-S. Chiang, M. A. Zudov, L. N. Pfeiffer,\nand K. W. West, Phys. Rev. B 75, 041304(R) (2007).\n[7] I. A. Dmitriev, M. G. Vavilov, I. L. Aleiner, A. D. Mirlin,\nand D. G. Polyakov, Phys. Rev. B 71, 115316 (2005).\n[8] M. G. Vavilov, I. L. Aleiner, and L. I. Glazman, Phys.\nRev. B76, 115331 (2007).\n[9] M. Khodas and M. G. Vavilov, Phys. Rev. B 78, 245319\n(2008).\n[10] I. A. Dmitriev, M. Khodas, A. D. Mirlin, D. G. Polyakov,\nand M. G. Vavilov, Phys. Rev. B 80, 165327 (2009).\n[11] MIRO minima can develop into zero-resistance states\n[38–40]. Microwave-induced magneto-conductance oscil-\nlations and zero-conductance states [41] have been also\nrealized in a nondegenerate 2D system, electrons on liq-\nuid helium [42–44].\n[12] M. A. Zudov, O. A. Mironov, Q. A. Ebner, P. D. Martin,Q. Shi, and D. R. Leadley, Phys. Rev. B 89, 125401\n(2014).\n[13] D. F. K¨ archer, A. V. Shchepetilnikov, Y. A. Nefyodov,\nJ. Falson, I. A. Dmitriev, Y. Kozuka, D. Maryenko,\nA. Tsukazaki, S. I. Dorozhkin, I. V. Kukushkin, et al.,\nPhys. Rev. B 93, 041410 (2016).\n[14] MIRO were also discussed in contexts of contact/edge\nphenomena [45, 46], collective modes [47], and classical\nmemory effects [48, 49].\n[15] V. I. Ryzhii, Sov. Phys. Solid State 11, 2078 (1970).\n[16] A. C. Durst, S. Sachdev, N. Read, and S. M. Girvin,\nPhys. Rev. Lett. 91, 086803 (2003).\n[17] X. L. Lei and S. Y. Liu, Phys. Rev. Lett. 91, 226805\n(2003).\n[18] M. G. Vavilov and I. L. Aleiner, Phys. Rev. B 69, 035303\n(2004).\n[19] S. I. Dorozhkin, JETP Lett. 77, 577 (2003).\n[20] Inprinciple, itmightbepossible toseparate displace ment\nand inelastic contributions employing the T-dependence\nof the latter. However, the T-dependence of microwave\nphotoresistance remains poorly understood, see e.g.\nRef.50.Additionalchallenge istheseparation ofdisplace -\nment contributions due to sharp and smooth disorder.\n[21] X. L. Lei, Appl. Phys. Lett. 90, 132119 (2007).\n[22] W. Zhang, M. A. Zudov, L. N. Pfeiffer, and K. W. West,\nPhys. Rev. Lett. 98, 106804 (2007).\n[23] W. Zhang, M. A. Zudov, L. N. Pfeiffer, and K. W. West,\nPhys. Rev. Lett. 100, 036805 (2008).\n[24] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest, Phys. Rev. B 79, 161308(R) (2009).\n[25] A. T. Hatke, H.-S. Chiang, M. A. Zudov, L. N. Pfeiffer,\nand K. W. West, Phys. Rev. B 82, 041304(R) (2010).\n[26] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest, Phys. Rev. B 83, 081301(R) (2011).\n[27] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest, Phys. Rev. B 86, 081307(R) (2012).\n[28] Q. Shi, Q. A. Ebner, and M. A. Zudov, Phys. Rev. B 90,\n161301(R) (2014).\n[29] J. Falson, D. Maryenko, Y. Kozuka, A. Tsukazaki, and\nM. Kawasaki, Appl. Phys. Express 4, 091101 (2011).\n[30] J. Falson, Y. Kozuka, M. Uchida, J. H. Smet, T. Arima,\nA. Tsukazaki, and M. Kawasaki, Scientific Reports 6,\n26598 (2016).\n[31] We notice that the carrier-inducing mechanism in our\nsample relies not on a remote doping, as in earlier studied\nGaAs and Ge-based systems, but on a difference in po-\nlarization fields of a capping Mg xZn1−xO and the under-\nlying ZnO epilayer. As a consequence, the ZnO/MgZnO\nheterostructure isvoidofintentionallyionized impuriti es.\n[32] J. Falson, Y. Kozuka, J. H. Smet, T. Arima,\nA. Tsukazaki, and M. Kawasaki, Appl. Phys. Lett. 107,\n082102 (2015).\n[33] Using R0≈1.1 kΩ,w= 0.09 mm, and distance between\npotential probes ≈0.8 mm, one obtains µ≈2.4×104\ncm2/vs, in good agreement with µ≈2.3×104cm2/vs\nobtained in Ref.32.\n[34]R0(I) =V(I)/I=I−1/integraltextI\n0r0(I′)dI′.\n[35] This is quite remarkable since Eq.(3) (as well as Eq.(1) )\nwas derived assuming ωcτ≫1 andωcτq/lessorsimilar1. In typ-\nical GaAs/AlGaAs samples τ≫τqand both of these\nconditions can be simultaneously satisfied. Situation is\nmarkedly different in our ZnO sample where τq(0)∼\nτ(0)≈4 ps and the above conditions are only marginally\nmet.5\n[36] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest, Phys. Rev. Lett. 102, 066804 (2009).\n[37] This finding is further corroborated by similar values o f\ntransport and quantum lifetimes obtained in earlier stud-\nies [13, 32].\n[38] R. G. Mani, J. H. Smet, K. von Klitzing, V. Narayana-\nmurti, W. B. Johnson, and V. Umansky, Nature 420, 646\n(2002).\n[39] M. A. Zudov, R. R. Du, L. N. Pfeiffer, and K. W. West,\nPhys. Rev. Lett. 90, 046807 (2003).\n[40] A. V. Andreev, I. L. Aleiner, and A. J. Millis, Phys. Rev.\nLett.91, 056803 (2003).\n[41] C. L. Yang, M. A. Zudov, T. A. Knuuttila, R. R. Du,\nL. N. Pfeiffer, and K. W. West, Phys. Rev. Lett. 91,\n096803 (2003).\n[42] D. Konstantinov and K. Kono, Phys. Rev. Lett. 103,\n266808 (2009).[43] D. Konstantinov and K. Kono, Phys. Rev. Lett. 105,\n226801 (2010).\n[44] R. Yamashiro, L. V. Abdurakhimov, A. O. Badrutdinov,\nY. P. Monarkha, and D. Konstantinov, Phys. Rev. Lett.\n115, 256802 (2015).\n[45] A. D. Chepelianskii and D. L. Shepelyansky, Phys. Rev.\nB80, 241308 (2009).\n[46] S. A. Mikhailov, Phys. Rev. B 83, 155303 (2011).\n[47] V. A. Volkov and A. A. Zabolotnykh, Phys. Rev. B 89,\n121410 (2014).\n[48] I. A. Dmitriev, A. D. Mirlin, and D. G. Polyakov, Phys.\nRev. B70, 165305 (2004).\n[49] Y. M. Beltukov and M. I. Dyakonov, Phys. Rev. Lett.\n116, 176801 (2016).\n[50] Q. Shi, S. A. Studenikin, M. A. Zudov, K. W. Baldwin,\nL. N. Pfeiffer, and K. W. West, Phys. Rev. B 93, 121305\n(2016)." }, { "title": "2310.06317v1.The_black_hole_to_black_hole_phase_transition_probed_by_the_D3_D7_model_fermionic_spectral_functions.pdf", "content": "The black hole to black hole phase transition probed\nby the D3-D7 model fermionic spectral functions\nXian-Hui Gea∗, Shuta Ishigakia†, Sang-Jin Sinb‡, Taewon Yukb§,\naDepartment of Physics, Shanghai University, Shanghai 200444, China\nbDepartment of Physics, Hanyang University, Seoul 04763, Korea\nAbstract\nWe consider the D3-D7 model and analyze the phase transition from the\nblack-hole phase to another black-hole phase using the spectral function of a\nprobe fermion on D7 in the presence of the finite density and temperature. From\nthe fermionic spectral functions, we study the temperature dependence of the de-\ncay rate and we observe a jump in it at the critical temperature that corresponds\nto the first order phase transition. We found that if we assume that the Drude\nmodel works in this case so that the resistivity is proportional to the fermion\ndecay rate, the jump matches the resistivity data in a heavy fermion material.\n∗E-mail: gexh@shu.edu.cn\n†E-mail: shutaishigaki@shu.edu.cn\n‡E-mail: sangjin.sin@gmail.com\n§E-mail: tae1yuk@gmail.com\n1arXiv:2310.06317v1 [hep-th] 10 Oct 2023Contents\n1 Introduction 2\n2 A review: D3-D7 model with finite density 3\n2.1 Background solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3\n3 Probing by a fermionic field 8\n3.1 Spectral function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11\n3.2 Smeared Fermi surface . . . . . . . . . . . . . . . . . . . . . . . . . . . 11\n3.3 T-dependence of the decay rate . . . . . . . . . . . . . . . . . . . . . . 12\n4 Discussions 14\nA The free energy and phase transition points 15\nB A fermionic field living in the worldvolume 16\n1 Introduction\nShortly after the AdS/CFT correspondence [1, 2, 3] was established, the method has\nbeen applied to investigate quantum chromodynamics (QCD) and condensed matter\nphysics of strongly correlated systems widely. One of the benefits of the holographic\nmethod is that it allows us to study strongly coupled quantum many particles systems\neasily even for the system with finite temperature and finite density effects.\nThe D3-D7 model [4] is one of the top-down models that has been used widely\nfor such purpose. The background Schwarzschild-AdS 5×S5spacetime generated from\nthe D3 branes and the probe D7-brane play roles of the thermal reservoir and charged\nparticles system, respectively. The solutions and behavior of the probe brane in the\nblack hole spacetime, i.e., finite temperature cases, were studied by refs. [5, 6, 7, 8]. The\nsystem has intricate dependence on the parameters exhibiting the phase transitions.\nOne of the solutions that the probe brane falls into the black hole horizon, called black\nhole embedding, is interpreted as a deconfinement phase of the quarks or metallic phase\nof the electrons. The authors of refs. [5, 6] found that there are two different phases of\nthe brane embeddings where D7 brane touches the black hole horizon and as the density\nincreases there is a jump in the position of horizon touching point. The brane in a\nphase named BH-I phase bends sharper than the brane in another phase named BH-II\nphase. The difference of these phases should have a physical interpretation from the\nviewpoint of the boundary field theory. Since the black-hole touching configurations\nshould be related to the metallic phase, the phase transition should be related to the\nmetal to metal phase transition. Therefore we can expect that certain phase transition\nin spectrum or transport property. However, the details of the the physical meaning\nof the two phases has been completely obscure.\n2Although the spectral functions of bosonic fluctuations in this model were studied\nin refs. [9, 10, 11, 12], the fermionic spectral functions are more interesting quantity be-\ncause they can be directly measured by the angle resolved photo-emission spectroscopy\n(ARPES) experiments. In this work, therefore, we consider a probe fermion field liv-\ning on D7 model and compute the fermionic spectral function. The fermion spectral\nfunctions for the bottom up model were already studied extensively [13, 14, 15, 16, 17,\n18, 19]. However, the fermion spectral function in fundamental representation with\ntop down model has not been studied much less. The most natural candidate of the\nfermionic field in the D7 brane is the fermionic degree of freedom of the superpartner\ncorresponding to the mesino fluctuations [20, 21, 22, 23]. This, however, is not suitable\nfor our purpose because it uses adjoint representation. In this study, we consider a\ntoy model with a fermionic field ψto utilize the D7’s induced metric. Our fermionic\nfield is coupled to the bulk U(1) gauge fields as in the bottom-up models, e.g., [13, 14].\nFor a given embedding, we obtain the fermionic spectral functions by solving the Dirac\nequation. Because we consider the system in the black hole geometry, the Fermi surface\nis always smeared. We can locate the smeared Fermi surface by the pole or singularity\nposition of the spectral function and the density of state has a Drude-like peak at zero\nfrequency with a finite width. We study the behavior of the decay width of the fermion\nfor various temperatures. The width exhibits a universal behavior of holographic mod-\nels at high enough temperature. In a specific range of the parameters, it has a jump\nin the temperature associated with the first order phase transition of the background\nD3-D7 system. We believe that this is universal for all other brane models.\nThis paper is organized as follows. We present a review of the D3-D7 model with\nfinite density in section 2. In section 3, we consider a toy model of the fermionic field\nprobing the background D3-D7 brane system, and we study the spectral functions of the\ndual operator. We also study the width of the spectral functions and its temperature\ndependence. We discuss and conclude in section 4.\n2 A review: D3-D7 model with finite density\n2.1 Background solutions\nWe briefly review the D3-D7 model [4] with finite baryon density and temperature\nfollowing [5, 6] where the authors showed phase transitions from a black hole phase to\nanother black hole phase. The action of the D7 probe brane is given by the following\nDirac-Born-Inferd action\nSDBI[X, A] =−τ7Z\nd8ξp\n−det[hab+ (2πα′)Fab], (1)\nwhere τ7is the tension of the D7-brane and habis the induced metric given by\nhab=∂XM\n∂ξa∂XN\n∂ξbgMN. (2)\n3ξaandXMare the coordinates of worldvolume and 10-dimensional bulk, respectively.\ngMNis the metric of the background 10-dimensional spacetime. We set the background\nspacetime to Schwarzschild-AdS 5×S5spacetime. In isotropic coordinates, the metric\ncan be written as\nds2=w2\nL2\u0014\n−f1(w)2\nf2(w)dt2+f2(w) d⃗ x2\u0015\n+L2\nw2\u0000\ndρ2+ρ2dΩ32+ dw52+ dw62\u0001\n, (3)\nwhere f1(w) = 1 −w4\nh/w−4,f2(w) = 1 + w4\nh/w−4,w2=ρ2+w2\n5+w2\n6, and dΩ 32is\nthe line element of the unit 3 sphere.1The Hawking temperature Tis related to the\nlocation of the horizon whbywh=πT/√\n2. For convenience, we use the following\nmetric\nds2=L2\nu2\u0014\n−f(u)2\n˜f(u)dt2+˜f(u) dx2\u0015\n+L2du2\nu2+L2\u0000\ndθ2+ sin2θdφ2+ cos2θdΩ32\u0001\n,(4)\nwhere f(u) = 1−u4/u4\nh,˜f(u) = 1 + u4/u4\nh.uandware related by u= 1/w.w5, w6\nandρare related to θ, φanduby\nw5=u−1sinθcosφ, w 6=u−1sinθsinφ, ρ =u−1cosθ, (5)\nrespectively. The other nonzero supergravity field is a Ramond-Ramond five-form flux\nF(5)=−4\nL4u5f(u)˜f(u) dt∧dx∧dy∧dz∧dw+ 4L4vol(S5), (6)\nwhere vol(S5) is the volume form of the unit 5 sphere. It satisfies the self-dual constraint\nin the type IIB supergravity: ∗F(5)=F(5).\nWe choose the worldvolume coordinates as ξa= (t, ⃗ x, u, Ω3), then the transverse\ndirections are θandφ. Since φcan be set to zero by virtue of a symmetry, θ(u)\ndescribes an embedding of the probe brane. The induced metric is given by\nhabdξadξb=1\nu2\u0014\n−f(u)2\n˜f(u)dt2+˜f(u) dx2\u0015\n+\u00121\nu2+θ′(u)2\u0013\ndu2+ cos2θdΩ32.(7)\nWe set L= 1 for simplicity. Fabis the field strength of the worldvolume U(1) gauge\nfields Aa. We consider an ansatz for the gauge fields as Aadξa=At(u) dtso the non-\nzero components of the field strength are only FtuandFut. Writing SDBI=R\nL, the\nLagrangian density is given by\nL=−Ncos3θh3/2\nxxp\n|htt|huu−A′\nt(u)2, (8)\nwhere N=τ7(2π2). A constant of motion dforAtis given by\nd=1\nN∂L\n∂A′\nt(u). (9)\n1The radial coordinate wcan be written as w2=P6\ni=1wiandρ2=P4\ni=1wi. The coordinate wis\nrelated to the Schwarzschild coordinate rbyw2=r2\n2+1\n2p\nr4−r4\nh.\n4dis related to the charge density in the boundary theory. Solving the equation of\nmotion, we can write\nA′\nt(u) =−duf(u)s\n1 +u2θ′(u)2\n˜f(u)(d2u6+˜f(u)3cos6θ(u)). (10)\nA chemical potential µis obtained by\nµ=Z0\nuhA′\nt(u) du=At(0)−At(uh). (11)\nWe have set At(uh) = 0.\nWe perform the Legendre transformation to eliminate A′\nt(u) from the Lagrangian\ndensity (8):\n˜L ≡ L − A′\nt(u)∂L\n∂A′\nt(u)=−Np\n|htt|huu(d2+h3\nxxcos6θ). (12)\nThe Euler-Lagrange equation of ˜Lgives us the equation of motion for θ(u):\n∂\n∂u\n−s\n|htt|Ξ\nhuuθ′(u)\n−3 cos5θsinθh3\nxxr\n|htt|huu\nΞ= 0,Ξ≡d2+h3\nxxcos6θ.(13)\nWe can solve the equation of motion from u=uhwith a regular condition.2For\nregular solutions, θ′(uh) = 0 must be satisfied at u=uh. The family of solutions is\nparameterized by θ(uh) and d. The range of θ(uh) is 0 ≤θ(uh)< π/ 2.θ(u) has an\nasymptotic expansion of\nθ(u) =mqu+θ2u2+···, (14)\natu= 0. mqandθ2are related to the quark mass and the quark condensate in\nthe boundary theory, respectively. (See eq. (38).) In the isotropic coordinates, mqis\nthe separation distance between the probe D7-brane and the D3-branes at the AdS\nboundary: w5(u= 0) = mq.\nSince the system has a scaling symmetry, we should consider only scale invariants.\nBy taking mqas a scale, we define scale invariant temperature, chemical potential and\ndensity by\n˜T≡T\nmq,˜µ≡µ\nmq,˜d≡d\nm3\nq, (15)\nrespectively. We also define scaled isotropic coordinates by ˜ w5=w5/mq, ˜w6=w6/mq\nand ˜ρ=ρ/m qfor fixed mq. By definition, ˜ w5(u= 0) is always one.\nBy solving eq. (13), we obtain relation between ˜dand ˜µfor several ˜T, as we show\nin the top-panel of figure 1. The results agree with those obtained in ref. [6]. In the\n2In the case of T= 0, the analytic solutions for the embedding function and the gauge field are\nfound in ref. [24].\n5�\n������� ����� ����� ����� ����� ���������������������������������\n�������\n�������\n�������\n�������\n�������\n�������\n�������\n�\n��\n��� ��� ��� ��� ��� ��� ��� ������������������������Figure 1: (top) Relation between the density and chemical potential for various\ntemperatures. (bottom) Brane embeddings corresponding to I and II in the top-panel.\n6range of 0 .34341 <˜T < 0.34468, ˜ µbecomes a multivalued function of ˜d, and hence\nthere are multiple solutions for given ˜dat˜T. In the bottom panel of figure 1, we show\nthe corresponding embeddings labeled by I and II at ˜d= 0.002 and ˜T= 0.34385.3We\nrefer the upper solution and the lower solution in the bottom panel of figure 1 as BH-I\nand II embedding, respectively. The first order phase transition occur in such a case.\nThe transition points are determined from the free energy or the Maxwell construction\nas we discuss in Appendix A. At ˜T= 0.34341, the system has the second order phase\ntransition when ˜d= 0.0039385. At a temperature out of the above range, there is a\ncrossover.\nThe phase structures are summarized as phase diagrams in figure 2. The phase\nstructure changes depending on whether ˜dor ˜µis treated as a controlling parameter,\nin other words, considering a canonical ensemble or a grand canonical ensemble, re-\nspectively. We show the 1st order transition line as the black curve, and the 2nd order\nphase transition line as the black dashed line. In the canonical ensemble, the range of\nthe phase transition are 0 .34341 <˜T < 0.34468 and 0 <˜d <˜dc= 0.0039385. In this\ncase, the embeddings are always given by the BH embeddings but it is divided by the\n1st order phase transition line in ˜Tfor˜d <˜dc. We call the low- and high-temperature\nregime in ˜d <˜dcas BH-I and BH-II phase, respectively. The BH-I and II embedding\nshown in the bottom panel of figure 1 belong to BH-I and II phase, respectively. In\nthe grand canonical ensemble, there are brane solutions without touching the black\nhole horizon called Minkowski embeddings. The Minkowski embeddings realizes with\nvanishing density. We do not focus on such solutions in this study.\n����� ����� ����� ����� ����� ����� ����� ��������������������������������������������������\nBH-IBH-II\n(Minkowski embeddings)(BH embeddings)\n���� ���� ���� ���� �����������������������������\nFigure 2: Phase diagrams of the probe brane. (left) Canonical ensemble, i.e., ˜dis\na controlling parameter. The black line is the 1st order phase transition line. The\nendpoint at ˜d=˜dc≈0.004 indicates the 2nd order phase transition endpoint. For ˜d <\n˜dc, we call the low- and high-temperature regime as BH-I and BH-II phase, respectively.\n(right) Grand canonical ensemble, i.e., ˜ µis a controlling parameter. The black line is\nthe 1st order phase transition line. The dashed line denotes the 2nd order phase\ntransition line.\n3Since the solution at the middle point of the ˜ µ–˜dcurve will be unstable, we do not focus on it in\nthis paper.\n73 Probing by a fermionic field\nIn this section, we consider dynamics of spinor field probing the background D7-brane’s\nworldvolume. As a first step of the study of the fermionic spectral function in the D3-\nD7 model, we consider the fermion’s action governed by the five dimensional part of the\ninduced metric (7) ignoring the 3-sphere part of induced metric to avoid the technical\ncomplication. This should not change the essential features since the shape of the brane\nis already encoded in 5 dimensional model and extra factors of cos θin the measure\nshould not change the qualitative features of the theory. We also present discussion of\nthe other formulation and the problem arising there in Appendix B.\nWe now consider the following simplified model:\nSspinor =iZ\nd5xp\n−dethµν¯ψ(γµDµ−m)ψ+Sbou, (16)\nwhere hµνis the five-dimensional part of the induced metric, that is,\nhµνdxµdxν=1\nu2\u0014\n−f(u)2\n˜f(u)dt2+˜f(u) dx2\u0015\n+\u00121\nu2+θ′(u)2\u0013\ndu2. (17)\nDµ=∇µ−iqAµis a gauge covariant derivative and Sbdyis the boundary action which\nwill be specified later. Notice that, the covariant derivative is also defined with respect\nto the 5 dimensional metric hµν. Using the spin connection with respect to hµν, it can\nbe written as\n∇µ=∂µ+1\n8ωµνρ[γν, γρ], (18)\nwhere γµdenotes gamma matrices in the curved spacetime and γµare gamma matrices\nin the tangent space that will be defined as follows: It can be written as γµ=eµµγµ,\nwhere eµµis the inverse matrix of vielbein eµµwhich satisfies eµµeννηµν=hµν, and γµis\nthe gamma matrices in the tangent space. The gamma matrices in the five-dimensional\nspacetime can be chosen as\nγ0=σ1⊗iσ2, γ1=σ1⊗σ1, γ2=σ1⊗σ3, γ3=σ2⊗I2, γu=σ3⊗I2,(19)\nwhere σ1, σ2, σ3are the Pauli matrices. Then, ψ(xµ) is written as a four-components\nspinor field.\nThe equation of motion is obtained as the following Dirac equation\n(γµDµ−m)ψ(t, ⃗ x, u ) = 0 . (20)\nSubstituting the five dimensional metric, we can write\nγµDµ=eν\nµγµ(∂µ−iqAµ) +1\n4eu\nuγu∂uln(−det(hµν)huu). (21)\nConsidering the following transformation\nψ(xµ) = (−det(hµν)huu)−1/4ϕ(xµ), (22)\n8we obtain the following equation\nh\neν\nµγ¯µ(∂ν−iqAν)−mi\nϕ(xµ) = 0 . (23)\nWe decompose the four-components spinor field ψ, as follows,\nψ(xµ) =ψ+(t, ⃗ x, u ) +ψ−(t, ⃗ x, u ), (24)\nwhere ψ±are projected by ψ±=P±ψwith P±= (1±γu)/2. According to ref. [15],\nthe asymptotic behaviors of the spinors are written as\nψ+=ψ(0)\n+u∆−+ψ(1)\n+u1+∆ ++···, ψ −=ψ(0)\n−u∆++ψ(1)\n−u1+∆−···, (25)\nwhere ∆ ±= 2±m.ψ(0)\n±andψ(1)\n±are related to the source and fermionic operator\nwith the scaling dimension of ∆ +, respectively. To obtain retarded responses, we also\nimpose the ingoing-wave boundary condition at the black hole horizon. In order to\ncompute the Green’s function, we need to fix the boundary action. We employ\nSbdy= lim\nu→εi\n2Z\nd4x√\n−hhuu¯ψψ, (26)\nwhere εis a small positive cutoff ε, and ¯ψ+=ψ†γ0.4This choice of the boundary term\nis known as the standard quantization [25]. The retarded Green’s function is obtained\nby\nGR(k) =iSγ0=2m+ 1\nk2(γ·k)Tγ0, (27)\nwhere SandTare defined by\nψ(1)\n−=Sψ(0)\n+, ψ(1)\n+=Tψ(0)\n−. (28)\nThe Green’s function has a scaling dimension of −2m. We can also derive the flow\nequation for GR(k, u) from the Dirac equation, as those in ref. [19]. In the following\nsection, we compute the result by solving the flow equation.\nFor later convenience, we define spectral function by\nA(ω,|⃗k|) =−Im tr GR(ω,⃗k). (29)\nSince the system is isotropic, A(ω, k) depends only on k≡ |⃗k|. We also define a scaled\nspectral function\n˜A(˜ω,˜k) =m2m\nq×A(mq˜ω, m q˜k). (30)\n9��� ��� ��� ��� ��� ��� ��� ������������������������\n��� ��� ��� ��� ��� ��� ��� ������������������������Figure 3: (top) Spectral functions ˜A(˜ω,˜k) for two setups. We set m= 0.2. (bottom)\nBrane embeddings corresponding to the top panels, respectively. The black circle shows\nthe location of the black hole horizon.\n103.1 Spectral function\nWe show the spectral functions of the two embeddings with ˜ µ= 0.5 in figure 3. The\nleft panel of figure 3 corresponds to a solution in the BH-I phase, and the right panel\nof figure 3 corresponds to a solution in the crossover region in figure 2. The spectral\nfunction of the left panel of figure 3 is similar to those obtained in ref. [26]. In both\ncases, the Dirac points are shifted by µ. It is also similar to the results of refs. [13, 26].\nAsTincreases, the peaks of the spectral function are smeared.\nIt is considered that the Fermi level is located at ω= 0. The intersection between\nthe peaks and the horizontal axis is considered as the Fermi surface but it is smeared\nat finite temperatures. In the following, we define the Fermi momentum of the smeared\nFermi surface, and the width of the Drude-like peak.\n3.2 Smeared Fermi surface\nAt finite temperatures the Fermi surface is smeared, so we can no longer define sharp\nFermi momentum kF. However, we can still define an analogous of kFfrom the ’pole’ of\nthe retarded Green’s function there.5In normal isotropic metals, kFsatisfies E(kF) =µ\nwhere E(k) denotes the dispersion relation. The spectral function should have a delta\nfunction peak at the Fermi momentum:\nA(ω= 0,⃗k)∝δ(k−kF). (31)\nWe have assumed ω= 0 is the Fermi level. It implies that the Green’s function has a\npole structure of\ntrGR(ω, k) =Z\nω−k+kF+i0++···, (32)\nwhere Zis a constant, and the ellipsis denotes contributions from the other poles and\nthe regular part.\nAt finite temperatures, the spectral function is smeared. The pole, then, must be\nlocated on the lower half complex ω-plane with a finite distance from the real axis. We\nassume the pole structure of the Green’s function as\ntrGR(ω, k) =ZΓ\nω−k+k′\nF+iΓ/2+···, (33)\n4A similar boundary action was employed in [22] for the top-down model.\n5Another possible definition of the smeared Fermi momentum is using local maximum of the\nspectral function. It will be expressed by\n{k′\nF}= argkmaxA(ω= 0, k).\nIt determines only k′\nF. The width will be measured from the peak. However, we do not use this\ndefinition of the smeared Fermi surface in this study.\n11where Γ is the width, i.e., the decay constant. ZΓis a constant residue. k′\nFis also a\npositive real constant which can be understood as a center of smeared Fermi momen-\ntum. Taking the inverse of tr GRand evaluating it at ( ω, k F) = (−iΓ/2, k′\nF), we obtain\nthe equation\n1\ntrGR(ω=−iΓ/2, k=k′\nF)= 0. (34)\nWe can determine k′\nFand Γ by solving this complex valued equation. In the following,\nwe will study the behavior of Γ by using eq. (34).\n3.3 T-dependence of the decay rate\nFrom eq. (34), we compute Γ for various temperatures. We define the scaled width\nby˜Γ≡Γ/mq. Figure 4 shows the width Γ as functions of the temperature Tfor\nvarious values of scaled density and chemical potential, ˜dand ˜µ. In both cases, we\nfind that Γ is linear in Tat high temperatures. For sufficiently low density, the curves\nhave small multivalued region around T= 0.35mqcorresponding to the multivalued\nresults shown in figure 1(a). When Tis taken to sufficiently small, Γ depends on Tas\nΓ≈γe−α/Twith a positive constant αnear zero temperature. These behaviors along\nthe temperature may appear in various holographic models, e.g., see ref. [17].\nThe figure 4(c) shows an enlarged view of figure 4(a) around the phase transition\npoint for ˜d= 0.001. The dotted vertical dashed line shows the transition point at\nT=Tc= 0.34440. In adiabatic measurements, it is anticipated that the results will\nexhibit a discontinuity between points B and C, with the intermediate S-shaped branch\nbeing omitted. We show the brane embeddings for the points labeled by A to D in\nfigure 4(d). The points A, B belong to the BH-I phase, and C, D belong to the BH-II\nphase.\nWe point out that our result showing the first order transition from a black hole\nphase to another black hole phase exhibits a qualitative similarity to the experimental\nmeasurement of the resistivity in an anti-ferromagnetic Kondo compound [28, 27] some\nof which is captured in figure 4(b) showing the experimental result of ref. [27]. The\nKondo compound also exhibit a drop of the first order phase transition in the resistivity\nand the data certainly suggests that there is a first order phase transition from a strange\nmetal to another strange metal with different slope although at the present time the\nmicroscopic reason for such transition is not known.\nWe have to make a few remarks: First, our model of the probe fermion is a sim-\nplified model where extra dimension of 3 sphere is neglected. The treatment of the\nextra-dimensions in the brane should be improved in the future.6Second, our result\n(figure 4(a)) is plotted for the width but the experimental result (figure 4(b)) is for the\nresistivity. In the Drude picture, the resistivity is proportional to the Drude width. In\nour model, the resistivity (or conductivity) computed by the well-known method, e.g.,\nthe membrane paradigm, does not agree with the behavior of the width. This feature\n6We present discussion for other formulation and its problem in Appendix B.\n12���\n����\n�����\n�����\n��� ��� ��� ��� ��� ���������������(a) D3-D7\n (b) experimental data\n����\n����� ����� ����� ����� ����� ����� �����������������\n(c) Width ˜Γ vs temperature ˜Tfor˜d= 0.001.\n�\n�\n��\n��� ��� ��� ��� ��� ������������������������ (d) Probe-brane’s embeddings\nFigure 4: (a)Γ vs Tfor various densities . Low density shows first order transition.\n(b) The black line is the experimental data with vanishing magnetic fields B= 0\nto be compared with figure(a). In (c), the vertical dashed line denotes the critical\ntemperature where the first order phase transition occurs. The points labeled by A\nto D correspond to the embeddings in the right panel, respectively. In (d), the gray\ncircles denote the black hole horizon at each temperatures. The embeddings B and C\nhave a common temperature ˜T=˜Tc. The figure(b) is from [27].\n13of the transport is actually common to all holographic model: while the resistivity\nlinear in Tis universal for all strange metal with strong correlation, the holographic\ncalculation shows such behavior comes only for some fine tuned model in extreme low\ntemperature phase, which is not the property of the strange metals. Perhaps the present\nunderstanding of holographic calculation of the conductivity involves some conceptual\nmisunderstanding. This is a serious and challenging problem to the holography. We\nfollow the point of ref. [17] where it was pointed out that instead of relying on the\nstandard scheme of calculating conductivity, if we assume the Drude picture even in\nthe case of the strange metal, then we can understand the universality of the strange\nmetal. In fact, this is the assumption of all SYK model also as well as in the discussion\nof the so called ‘plankian dissipation’. We hope that our finding of similarity of the\ndropping in fermion width and that of transport shed some light on this matter.\n4 Discussions\nIn this paper, we study the fermionic spectral function in the D3-D7 model by consider-\ning the toy model of the probe spinor field. From the spectral function, we investigate\nthe behavior of the width for varying the temperature. We find that the width also\nshows the dropping behavior corresponding to the first order phase transition between\nthe BH-I and BH-II phase. We mentioned the transport data related to the fermion\nspectral function based on the Drude model. It is partially related to the puzzle in\nthe holography: while the transport coefficients calculated with holographic method\nare too sensitive to the details of the background, those in the metallic phase of real\ncondensed matter with strong correlations are universal which exhibit the linear in T\nresistivity. At this moment, it is not clear what is missing point in the general method\nof the holographic calculation of the transport. Therefore, in the meantime, we should\nfind a bypass track like the method we adopted: use the fermion width with the Drude\npicture. For some reasons, the fermion width is shown to be universal.[19] We also\npoint out, as shown in figure 4, the similarity between our result and the experimental\nresult of the resistivity in some kinds of Kondo compound [28, 27].\nThe first order phase transition is one of the characteristic behaviors in the brane\nmodels. While the holographic superconductors exhibit only the second order phase\ntransition, the brane models often show the first order phase transition. One of the our\nmotivation was to understand the physical meaning of the first order phase transition\nbetween the two black hole phases using the probe fermion. Indeed, in the measurement\nof the Kondo compounds [28, 27], such a feature was observed in the temperature\ndependence of the resistivity as shown in section 3. We found the similar behavior\nin the width of the fermionic spectral function in our model. We expect that there\nis a common mechanism existing for the first order transition between two dissipative\nphases both in the D3-D7 model and those Kondo compounds. As far as we know, the\nphysical meaning of the low temperature phase and the first order phase transition is\nstill not understood even in the context of the Kondo compounds. It would be very\n14interesting if we can reveal the above point by further investigation.\nAcknowledgments\nWe thank the APCTP for the hospitality during the focus program, where part of this\nwork was discussed. This work is partly supported by NSFC, China (No. 12275166,\nNo. 12147158). SJS and TY are supported by National Research Foundation of Korea\ngrant No. NRF-2021R1A2B5B02002603, NRF-2022H1D3A3A01077468 and RS-2023-\n00218998 of the Basic research Laboratory support program.\nA The free energy and phase transition points\nIn this section, we discuss the free energy of the probe brane and the phase transitions.\nThe phase transition points can be determined from the thermodynamics of the probe\nbrane. We interpret the on-shell action of eq. (12) as a Helmholtz free energy [6]:\nF0(d) =Zϵ\nuh˜L(d) du (35)\nSince this integral is still divergent, we have to regularize it. According to [29] which\nis equivalent to the procedure in [5, 6], the counterterms are given by\nL1=1\n4N√−γ, L 2=−1\n2N√−γθ(ϵ)2, L f=N5\n12√−γθ(ϵ)4, (36)\nwhere γis the induced metric at z=ϵnear the AdS boundary and√−γ=ϵ−4.\nSubstituting θ(u) =θ0u+θ2u2+···, we obtain\nL1=N\n41\nϵ4, L 2=−N\u00121\n2θ2\n0\nϵ2+θ0θ2\u0013\n, L f=N5\n12θ4\n0. (37)\nThe coefficients θ0andθ2are related to the quark mass mqand the quark condensate\ncby\nθ0=mq, θ 2=c+1\n6m3\nq, (38)\nrespectively. We write\nLct=L1+L2+Lf=N\u00141\n4ϵ4−m2\nq\n2ϵ2−mq\u0012\nc+1\n6m3\nq\u0013\n+5\n12m4\nq\u0015\n=NZϵ\nuh\u0014\n−1\nu5+m2\nq\nu3\u0015\ndu+N\u00121\n4u4\nh−m2\nq\n2u2\nh\u0013\n+N\u0012m4\nq\n4−mqc\u0013\n.(39)\n15Then, the regularized free energy can be computed by\nF=Zϵ\nuh˜Ldu+Lct=Zϵ\nuh\u0014\n˜L+N\u0012\n−1\nu5+m2\nq\nu3\u0013\u0015\ndu+N\u00121\n4u4\nh−m2\nq\n2u2\nh+m4\nq\n4−mqc\u0013\n.\n(40)\nIn the multivalued region, F(d) has swallowtail structure as a function of d, as shown\nin figure 5. In such cases, the intersection of the two branch of F(d) is considered as a\nphase transition point.\nThe free energy is a thermodynamic potential in the grand canonical ensemble. In\nthis case, dis treated as a controlling parameter. On the other hand, we can also\nconsider the canonical ensemble setup when we treat µas a controlling parameter. In\nthe canonical ensemble, the thermodynamic potential is given by the grand potential\nΩ(µ) =F−µd. (41)\nFigure 6 shows Ω /T4as a function of ˜ µat˜T= 0.343737. Note that there is the branch\nof the Minkowski embedding with vanishing density but finite µ. Considering both of\nthe Minkowski and BH embeddings, we can find swallowtail structure in Ω( µ). The\nintersection point of the swallowtail in Ω( µ) is the 1st order phase transition point.\nAt low temperatures, the multivalued region of ˜das a function of ˜ µdisappears. Then,\n˜dgoes zero at finite ˜ µwithout the multivaluedness. It means the 2nd order phase\ntransition from the BH embedding to the Minkowski embedding.\nThe phase diagrams of the grand canonical and the canonical ensemble setups are\nshown in figure 2.\n����� ����� ����� ����� �����-�����-�����-�����-�����-�����-�����\n����� ����� ����� ����� �����������������������������������\nFigure 5: (left) Free energy vs density at ˜T= 0.343737. The dotted lines shows the\n1st order phase transition point at ˜d≈0.003. (right) ˜ µvs˜d. The vertical dotted line\nshows the phase transition point.\nB A fermionic field living in the worldvolume\nIn this section, we consider a fermionic field living in the eight dimensional worldvolume\nof the D7-brane. In this formulation, we encounter the problem of the unitary bound\nfor the fermionic operator.\n16����� ����� ����� ����� �����-�����-�����-�����-�����\n����� ����� ����� ����� �������������������������Figure 6: (left) Grand potential vs chemical potential at ˜T= 0.343737. The dotted\nline shows the 1st order phase transition point at ˜ µ≈0.018. The red and blue curve\nshow results in the BH and the Minkowski embedding, respectively. (right) ˜dvs ˜µ.\nThe vertical dotted line shows the phase transition point.\nThe model is\nS′\nspinor =iZ\nd8ξp\n−dethab¯ΨΓaDaΨ +S′\nbdy, (42)\nwhere habdenotes the 8-dimensional induced metric of the D7-brane’s worldvolume\n(7),S′\nbdyis a boundary term. For simplicity, we consider no mass term for the eight\ndimensional theory. The gauge covariant derivative is given by\nDa=∇a−iqAa=∂a+1\n4ωaαβΓαβ−iqAa, (43)\nwhere qis a charge of the fermionic field, and we will set q= 1 in this study. α, β\ndenote indices for the locally flat coordinates of the worldvolume coordinates. ωaαβis a\nspin connection of hab. Γαare gamma matrices, and Γaare also defined by Γa=eaαΓα\nwith the inverse of the vielbeins eaαsatisfying eaαebβηαβ=hab. Γα1α2···αpare defined\nby Γα1α2···αp= Γ[α1Γα2···Γαp],for 1 < p≤8. The field equation derived by the action\nis the following 8 dimensional Dirac equation\nΓaDaΨ = 0 . (44)\nHere, we need to consider the Clifford algebra in the 8 dimensional worldvolume of\nthe D7-brane. The dimension of the irreducible representation of the Clifford algebra\nis given by 2⌊D/2⌋for a spacetime dimension D, and hence we need 28/2= 16 dimen-\nsional representation of the gamma matrices. According to Ref. [23], we choose the 8\ndimensional gamma matrices as\nΓµ=I2⊗σ1⊗γµ,Γi=γi⊗σ2⊗I4, (45)\nwhere µ, νdenotes 0 ,1,2,3, uandi, jare for 3-sphere coordinates, and σ1, σ2, σ3are\nthe Pauli matrices. We emphasized that it is component in the tangent space by the\n17notation of Γα= Γα. We need 4 and 2 dimensional representation for the AdS 5part\nand 3-sphere part of the gamma matrices, respectively. γµandγisatisfy\n{γµ, γν}= 2ηµν,\b\nγi, γj\t\n= 2δij, (46)\nthen Γαalso satisfy the Clifford algebra\b\nΓα,Γβ\t\n= 2ηαβ. We choose γµas (19) and\nγi=σifor 3-sphere part.\nNow, we employ the following ansatz\nΨ(xµ) =χ(Ω3)⊗ζ⊗ψ(xµ), (47)\nwhere χis a two-component spinor field of the 3 sphere, ζis a constant two-component\nspinor and ψis a four-component spinor field of the AdS 5-part. By using the metric\n(7), we can write the covariant Dirac operator as\nΓa∇a= Γµ∂µ+1\n4eu\nuΓu∂uln\u0010\n−detˆhhuu\u0011\n+ Γi∇i, (48)\nwhere the second term comes from the spin connection term, and det ˆh≡deth/detgS3\nwith a metric of the unit 3 sphere gS3. The last term is a covariant derivative for the\n3-sphere part. Note that det ˆhdoes not depend on the 3-sphere coordinates. The\nkinetic term of the Dirac equation becomes\nΓaDaΨ =χ⊗σ1ζ⊗/Dψ+1\ncosθ/∇S3χ⊗σ2ζ⊗ψ, (49)\nwhere\n/D=eν\nµγµ(∂µ−iqAµ) +1\n4eu\nuγu∂uln\u0010\n−detˆhhuu\u0011\n, (50)\nand/∇S3is a covariant Dirac operator in the unit 3 sphere. χ(Ω3) should be set to the\neigenspinors χ±\nlin the unit 3 sphere satisfying\n/∇S3χ±\nl=iα±\nlχ±\nl, (51)\nwhere α±\nl=±(l+ 3/2) [30].7It is orthonormalized by\nZ\nS3vol(S3)(χs\nl)†χs′\nl′=δss′δll′, (52)\nwhere vol(S3) is a volume form of the unit 3 sphere. In the boundary theory, lis\ninterpreted as a quantum number of the isospin charge.\nThe Dirac equation becomes\nχ±\nl⊗\u0014\nσ1ζ⊗/Dψ+iσ2ζ⊗α±\nl\ncosθψ\u0015\n= 0. (53)\n7Since the eigenvalues are nonzero, we can not ignore the contribution from the 3-sphere part.\n18Now, we set ζ=ζ↑which satisfy σ1ζ↑=ζ↓andσ2ζ↑=−iζ↓where ζ↑= (1,0)Tand\nζ↓= (0,1)T. Dropping the extra extra-dimensional part of the spinor, we obtain the\nfive-dimensional Dirac equation as\n\u0014\n/D+α±\nl\ncosθ\u0015\nψ= 0. (54)\nSince cos θ(u) = 1 at u= 0, ml=−α±\nlcan be read as a five-dimensional bulk mass of\ntheψ. It is related with the scaling dimension of fermionic operator by\n∆l\n±=d\n2±ml= 2±\u0012\nl+3\n2\u0013\n, (55)\nwhere d= 4 in our case. On the other hand, the scaling dimension of the fermionic\noperator is restricted by the unitary bound. It was pointed out that the violation of\nthe unitary bound of the fermionic operator leads instability by ref. [31]. To ensure\nthe unitary bound, the bulk mass of the fermionic field must satisfies |m| ≤1/2. In\nthe current case, however, the smallest absolute mass is |m0|= 3/2 which violates the\nunitary bound. This bulk mass is Kaluza-Klein mass arising from the compactification\ninS3. It naturally arises and cannot be ignored if we begin from the theory with a ten\ndimensional spacetime. In the case of the mesino fluctuations, there is also another\nmass contribution in the top-down fermionic action. The bulk mass of the mesino\nfluctuation for each top-down model were summarized as table 1 in ref. [22]. The\nviolation of the unitary bound might be cured by the presence of the finite isospin\ncharge. We leave the above problem as an open question.\nReferences\n[1] J. M. Maldacena, “The Large N limit of superconformal field theories and\nsupergravity,” Adv. Theor. Math. Phys. 2(1998) 231–252,\narXiv:hep-th/9711200 .\n[2] S. S. Gubser, I. R. Klebanov, and A. M. Polyakov, “Gauge theory correlators\nfrom noncritical string theory,” Phys. Lett. B 428(1998) 105–114,\narXiv:hep-th/9802109 .\n[3] E. Witten, “Anti-de Sitter space, thermal phase transition, and confinement in\ngauge theories,” Adv. Theor. Math. Phys. 2(1998) 505–532,\narXiv:hep-th/9803131 .\n[4] A. Karch and E. Katz, “Adding flavor to AdS / CFT,” JHEP 06(2002) 043,\narXiv:hep-th/0205236 .\n[5] S. Nakamura, Y. Seo, S.-J. Sin, and K. P. Yogendran, “A New Phase at Finite\nQuark Density from AdS/CFT,” J. Korean Phys. Soc. 52(2008) 1734–1739,\narXiv:hep-th/0611021 .\n19[6] S. Nakamura, Y. Seo, S.-J. Sin, and K. P. Yogendran, “Baryon-charge Chemical\nPotential in AdS/CFT,” Prog. Theor. Phys. 120(2008) 51–76,\narXiv:0708.2818 [hep-th] .\n[7] D. Mateos, R. C. Myers, and R. M. Thomson, “Holographic phase transitions\nwith fundamental matter,” Phys. Rev. Lett. 97(2006) 091601,\narXiv:hep-th/0605046 .\n[8] D. Mateos, R. C. Myers, and R. M. Thomson, “Thermodynamics of the brane,”\nJHEP 05(2007) 067, arXiv:hep-th/0701132 .\n[9] M. Kruczenski, D. Mateos, R. C. Myers, and D. J. Winters, “Meson spectroscopy\nin AdS / CFT with flavor,” JHEP 07(2003) 049, arXiv:hep-th/0304032 .\n[10] J. Erdmenger, M. Kaminski, and F. Rust, “Holographic vector mesons from\nspectral functions at finite baryon or isospin density,” Phys. Rev. D 77(2008)\n046005, arXiv:0710.0334 [hep-th] .\n[11] R. C. Myers, A. O. Starinets, and R. M. Thomson, “Holographic spectral\nfunctions and diffusion constants for fundamental matter,” JHEP 11(2007) 091,\narXiv:0706.0162 [hep-th] .\n[12] J. Mas, J. P. Shock, J. Tarrio, and D. Zoakos, “Holographic Spectral Functions\nat Finite Baryon Density,” JHEP 09(2008) 009, arXiv:0805.2601 [hep-th] .\n[13] H. Liu, J. McGreevy, and D. Vegh, “Non-Fermi liquids from holography,” Phys.\nRev. D 83(2011) 065029, arXiv:0903.2477 [hep-th] .\n[14] T. Faulkner, H. Liu, J. McGreevy, and D. Vegh, “Emergent quantum criticality,\nFermi surfaces, and AdS(2),” Phys. Rev. D 83(2011) 125002, arXiv:0907.2694\n[hep-th] .\n[15] N. Iqbal and H. Liu, “Real-time response in AdS/CFT with application to\nspinors,” Fortsch. Phys. 57(2009) 367–384, arXiv:0903.2596 [hep-th] .\n[16] T. Faulkner, G. T. Horowitz, J. McGreevy, M. M. Roberts, and D. Vegh,\n“Photoemission ’experiments’ on holographic superconductors,” JHEP 03(2010)\n121, arXiv:0911.3402 [hep-th] .\n[17] E. Oh, T. Yuk, and S.-J. Sin, “The emergence of strange metal and topological\nliquid near quantum critical point in a solvable model,” JHEP 11(2021) 207,\narXiv:2103.08166 [hep-th] .\n[18] E. Oh, Y. Seo, T. Yuk, and S.-J. Sin, “Ginzberg-Landau-Wilson theory for Flat\nband, Fermi-arc and surface states of strongly correlated systems,” JHEP 01\n(2021) 053, arXiv:2007.12188 [hep-th] .\n20[19] T. Yuk and S.-J. Sin, “Flow equation and fermion gap in the holographic\nsuperconductors,” JHEP 02(2023) 121, arXiv:2208.03132 [hep-th] .\n[20] L. Martucci, J. Rosseel, D. Van den Bleeken, and A. Van Proeyen, “Dirac\nactions for D-branes on backgrounds with fluxes,” Class. Quant. Grav. 22(2005)\n2745–2764, arXiv:hep-th/0504041 .\n[21] I. Kirsch, “Spectroscopy of fermionic operators in AdS/CFT,” JHEP 09(2006)\n052, arXiv:hep-th/0607205 .\n[22] M. Ammon, J. Erdmenger, M. Kaminski, and A. O’Bannon, “Fermionic\nOperator Mixing in Holographic p-wave Superfluids,” JHEP 05(2010) 053,\narXiv:1003.1134 [hep-th] .\n[23] R. Abt, J. Erdmenger, N. Evans, and K. S. Rigatos, “Light composite fermions\nfrom holography,” JHEP 11(2019) 160, arXiv:1907.09489 [hep-th] .\n[24] A. Karch and A. O’Bannon, “Holographic thermodynamics at finite baryon\ndensity: Some exact results,” JHEP 11(2007) 074, arXiv:0709.0570 [hep-th] .\n[25] J. N. Laia and D. Tong, “A Holographic Flat Band,” JHEP 11(2011) 125,\narXiv:1108.1381 [hep-th] .\n[26] M. Cubrovic, J. Zaanen, and K. Schalm, “String Theory, Quantum Phase\nTransitions and the Emergent Fermi-Liquid,” Science 325(2009) 439–444,\narXiv:0904.1993 [hep-th] .\n[27] H. Wang, T. B. Park, S. Shin, H. Jang, E. D. Bauer, and T. Park,\n“Field-induced multiple quantum phase transitions in the antiferromagnetic\nkondo-lattice compound cerhal 4si2,”Phys. Rev. B 105(Apr, 2022) 165110.\nhttps://link.aps.org/doi/10.1103/PhysRevB.105.165110 .\n[28] A. Maurya, R. Kulkarni, A. Thamizhavel, D. Paudyal, and S. K. Dhar, “Kondo\nlattice and antiferromagnetic behavior in quaternary cetal4si2 (t = rh, ir) single\ncrystals,” Journal of the Physical Society of Japan 85no. 3, (Mar, 2016) 034720.\nhttps://doi.org/10.7566%2Fjpsj.85.034720 .\n[29] A. Karch, A. O’Bannon, and K. Skenderis, “Holographic renormalization of\nprobe D-branes in AdS/CFT,” JHEP 04(2006) 015, arXiv:hep-th/0512125 .\n[30] R. Camporesi and A. Higuchi, “On the Eigen functions of the Dirac operator on\nspheres and real hyperbolic spaces,” J. Geom. Phys. 20(1996) 1–18,\narXiv:gr-qc/9505009 .\n[31] G. Song, Y. Seo, and S.-J. Sin, “Unitarity bound violation in holography and the\nInstability toward the Charge Density Wave,” Int. J. Mod. Phys. A 35no. 22,\n(2020) 2050128, arXiv:1810.03312 [hep-th] .\n21" }, { "title": "1011.1450v1.Interplay_between_the_electrical_transport_properties_of_GeMn_thin_films_and_Ge_substrates.pdf", "content": "arXiv:1011.1450v1 [cond-mat.other] 5 Nov 2010Interplay between the electrical transport properties of G eMn thin films and Ge\nsubstrates\nN. Sircar,1S. Ahlers,1C. Majer,1G. Abstreiter,1and D. Bougeard1,2\n1Walter Schottky Institut, Technische Universit¨ at M¨ unch en,\nAm Coulombwall 4, D-85748 Garching, Germany\n2Institut f¨ ur Experimentelle und Angewandte Physik,\nUniversit¨ at Regensburg, D-93040 Regensburg, Germany\nWe present evidence that electrical transport studies of ep itaxial p-type GeMn thin films fabri-\ncated on high resistivity Ge substrates are severely influen ced by parallel conduction through the\nsubstrate, related to the large intrinsic conductivity of G e due to its small bandgap. Anomalous\nHall measurements and large magneto resistance effects are c ompletely understood by taking a dom-\ninating substrate contribution as well as the measurement g eometry into account. It is shown that\nsubstrate conduction persists also for well conducting, de generate, p-type thin films, giving rise to\nan effective two-layer conduction scheme. Using n-type Ge su bstrates, parallel conduction through\nthe substrate can be reduced for the p-type epi-layers, as a c onsequence of the emerging pn-interface\njunction. GeMn thin films fabricated on these substrates exh ibit a negligible magneto resistance\neffect. Our study underlines the importance of a thorough cha racterization and understanding of\npossible substrate contributions for electrical transpor t studies of GeMn thin films.\nPACS numbers: 73.50.-h, 75.50.Pp, 75.47.-m, 73.61.-r\nKeywords: magnetic semiconductors, germanium manganese, magneto transport, magneto resistance\nI. INTRODUCTION\nIn the past years the emerging field of spintronics has\nled to the search for novel materials exhibiting ferromag-\nnetic and semiconducting properties at the same time,\nsince such ferromagnetic semiconductors would allow the\nintegration of new application schemes into established\nsemiconductor technologies. GeMn seems to be a very\npromising candidate in the class of ferromagnetic semi-\nconductors for its compatibility with the mainstream Sil-\nicontechnology. Recentworksconsistentlydemonstrated\nthe possibility of preparing GeMn thin films by molecu-\nlar beam epitaxy (MBE) without secondary phase sep-\naration, but with the strong tendency to the formation\nof Mn rich nanometer sized clusters.1–4These clusters\nexhibit a Curie temperature about or even above room-\ntemperature (RT) which would be important for device\napplications. In an early work it was claimed that mag-\nnetic properties may be controlled through electric gat-\ning, suggestingthat chargecarriersmediate the magnetic\nexchange interactions.5Therefore the electrical proper-\nties in an external magnetic field, i.e. the magneto re-\nsistance (MR) and the anomalous Hall effect (AHE) are\nconsidered an important fingerprint of a magnetic semi-\nconductor. However,in the samedegreeasthere is acon-\nsistent picture of the nanostructure of GeMn thin films,\ntheir fingerprintin magnetotransportmeasurementsstill\nlacks such a coherent description in literature. For exam-\nple, MR effects reaching from several thousand percent\nbeing positive2to a few percent being negative4,6,7have\nbeen reported. Similarly, Hall effect measurementssome-\ntimes yield a large contribution of the AHE on the one\nhand,6,8but also a diminishing contribution washed out\nby the ordinary Hall effect on the other.9In many cases\nthe interpretation of these results interestingly does notcorrelate with the sample magnetization, particularly re-\ngarding its saturation and hysteresis effects, which are\noften absent in transport measurements. Recently it was\npointed out by Zhou et al.9that part of these reports\nmay be understood in a regime of parallel conduction of\ntwo charge carrier types, owing to the role of Mn as a\ndeep two-level acceptor in Ge, without being related to\nthe magnetic nature of GeMn thin films in itself.\nIn this study, we would like to highlight the possibil-\nity that the peculiar transport properties observed in\nsome GeMn transport studies might not be caused by\nthe alloying of Ge with Mn at all, but by parallel con-\nduction through the employed substrates. While high\npurity substrates of semiconductors like Si or GaAs ex-\nhibit RT resistivities greater than 103Ωcm, this is not\nthe case for Ge substrates. Since intrinsic conduction\nin Ge already becomes important around RT due to its\nsmall bandgap, RT resistivities are intrinsically limited\naround 50Ωcm.10This upper limit is already reached\nwith impurity concentrationsaslow as 1013cm−3.11Sub-\nstrateswith higherpurity arecommerciallynotavailable.\nWe will show that the electrical properties of epitaxial\nGeMn thin films fabricated by solid-source MBE on such\nhigh purity Ge substrates can severely be influenced by\nparallel conduction through the substrate. To further-\nmore demonstrate the effects of parallel conduction, we\nstudied a system of non-magnetic, degenerately doped\nGe:B epitaxial layers grown on these high purity Ge sub-\nstrates. Some of these results have a remarkable resem-\nblance to previously published data on magnetic GeMn\nthin films,9,12although our Ge:B films do not show any\nsign of magnetism other than common diamagnetism.\nWe will givea two-layermodel accountingfor the parallel\nconduction through the substrate, which sufficiently well\ndescribes the experimental magneto transport results in2\nFigure 1. (a)Scaled schematic of samples with Hall bar mesa.\nThe principle setup for measuring the longitudinal ( Vxx) and\nHall (Vxy) voltage as well as total current( I) is also indicated.\n(b)Geometry of samples where the van der Pauw method has\nbeen employed.\nthose types of thin films.\nII. EXPERIMENTAL\nThe investigated samples were fabricated with solid-\nsource MBE under ultra high vacuum conditions at a\nbase pressure of 5 ×10−11mbar. We used high resistiv-\nity Ge(001) substrates with a RT resistivity larger than\n40Ωcm and thickness of approximately 500 µm. These\nsubstratesare specified to exhibit n-type conduction, due\nto Antimonyimpurities dissolvedintothe Ge crystaldur-\ning its fabrication. However, we would like to note that\nthe vendorsspecificationofthisn-type conductionisonly\ntrue about RT. In fact, the substrate undergoes a tran-\nsition to p-type conduction below RT. This indicates the\npresence of a majority of residual acceptor-like impuri-\nties. Hence, the substrate may suffer from considerable\nauto compensation. At RT, the conduction behavior is\ndominated by intrinsic charge carriers, primarily by elec-\ntrons due to their smaller effective mass, and is therefore\nn-type.\nPriortogrowthofall thin filmsa80nm thick, undoped\nGe buffer layer was deposited. The GeMn sample was\ngrownbyco-depositionofMnandGeataGegrowthrate\nofrGe= 0.08˚As−1and at constant substrate tempera-\ntureTS= 60◦C to avoid the formation of intermetallic\nsecondary phases. The film thickness amounts to 200nm\nwith a total Mn concentration of 5%. A thorough char-\nacterization of the structural and magnetic properties of\nthis sample may be found elsewhere.1\nFor comparison, we also fabricated a non-magnetic p-\ntype Ge thin film using a Boron effusion cell. This sam-\nple was fabricated at rGe= 0.3˚As−1andTS= 360◦C\nwith a thickness of 200nm. The B concentration of\n5×1019cm−3was chosen to be well above the insulator-\nto-metal transition.13\nFor transport measurements an approximately 450nm\ndeep Hall bar mesa was defined by standard lithography\nmethods and wet-chemical etching. Geometrical details\nare iven in Figure 1(a). The longitudinal and Hall resis-\ntance,RandRxy, were determined by applying a cur-/s51/s48/s48 /s55/s53 /s52/s48 /s51/s48 /s50/s48 /s49/s53 /s49/s48 /s55\n/s48 /s49/s48/s48 /s50/s48/s48 /s51/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52/s49/s48/s53/s49/s48/s54\n/s32/s71/s101/s77/s110\n/s32/s71/s101/s32/s115/s117/s98/s115/s116/s114/s97/s116/s101\n/s32/s32/s83/s97/s109/s112/s108/s101/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32 /s82 /s32/s40 /s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s53/s48/s48 /s49/s48/s48/s48 /s49/s53/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52/s49/s48/s53/s49/s48/s54/s32/s82 /s32/s40 /s41\n/s32/s73/s110/s118/s101/s114/s115/s101/s32/s116/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s49/s47/s101/s86/s41/s126/s49/s48/s46/s57/s109 /s101/s86/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41\nFigure 2. Sample resistance versus temperature for the GeMn\nsample (green) and the Ge substrate reference (blue). Inset :\nSame data as function of inverse temperature. A straight lin e\n(grey) corresponding to an activation energy of 10 .9meV can\nbe fitted to the extrinsic freeze-out.\nrentIalong the Hall bar and measuring the longitudinal\nand transversal voltages, VxxandVxy, in a standard,\nquasi-DC lock-in setup using an additional 1TΩ input\nimpedance voltage amplifier before the lock-in. As will\nbe introduced in section IIIC, some samples were alsoin-\nvestigated via the van der Pauw method in the geometry\nshow in Fig. 1(b).\nTemperature dependent resistance measurements\nwithout applied magnetic field were performed with a\nheatable sample stick inserted in a liquid Helium de-\nwar. Field dependent measurements were performed in a\nvariabletemperaturemagnet-cryostat,withthemagnetic\nfield applied perpendicular to the sample surface.\nIII. RESULTS AND DISCUSSION\nA. Non-degenerate GeMn on high resistivity Ge\nsubstrates\nFigure2depicts the sample resistance of the bare high\nresistivity Ge substrate sample as a function of tem-\nperature. We can identify the three distinct regions\nwell known for non-degenerate semiconductors, i.e. the\nfreeze-out of extrinsic charge carriers, the extrinsic and\nthe onset of the intrinsic range.\nAlso shown in Fig. 2is the resistance measurement of\nthe GeMn thin film grown on the high resistivity Ge sub-\nstrate. When comparing the two samples, we notice that\ntheresistanceofbothsamplesisofthesameorderofmag-\nnitude and has a very similar temperature dependence.\nThis becomes more evident in an Arrhenius plot of the\nresistance depicted in the inset of Fig. 2. Both curves\nexhibit the same linear slope in the extrinsic freeze-out\nregime, which correspondsto a thermal activationenergy3\nFigure 3. (a)Transversal MR for various temperatures for\nthe GeMn sample. (b)MR for the bare high resistivity Ge\nsubstrate. (c)Tangent of the Hall angle for (left) the GeMn\nsample and (right) the Ge substrate. The color code is the\nsame for all panels.\nofEA= 10.9meV for the dopant impurities.14This is\nin good agreement with the activation energy of shallow\nimpurities in Ge. It does not correspond with the acti-\nvation energy of Mn in the Ge, which is expected to be\na two-level deep band gap acceptor with EA= 160meV\nand 370meV, respectively.15It rather seems that in both\nsamples the residual impurities dissolved in the Ge sub-\nstrate dominate the measurements.\nFigure3(a)shows the MR effect of the GeMn sam-\nple versus external magnetic field Bfor various temper-\natures, calculated according to the convention\nMR[%] =R(B)−R(0)\nR(0)·100. (1)\nThe MR effect is positive and exhibits a parabolic-like\ndependence for weak fields tending towards a linear de-\npendence at higher fields, without any signs of satura-\ntion. For higher temperatures the MR effect gradually\ndecreases in its magnitude. Similar results on the MR\neffect in GeMn have already been reported.2The orbital MR of the semi-classical Boltzmann trans-\nport theory can not be responsible for the positive MR\neffect depicted in Fig. 3(a), since the order of magnitude\noftheMRistoolarge. Infact, anorbitalMReffectwould\nalso not explain the non-saturating character of the ob-\nserved MR at large fields.16A connection of the MR to\nthe magnetic nature of the GeMn epi-layer can be ruled\nout for the same reason, as its magnetization saturatesat\nfields about 2T.1Jametet al.2proposed the occurrence\nof a geometrically enhanced MR effect17to account for\nthe large magnitude and the linear increase at high fields\nof the MR in their GeMn sample, stemming from the\npresence of highly conducting Mn-rich inclusions. Such\nan inhomogeneous semiconductor can indeed exhibit ex-\ntremely large, non-saturating MR.18–20However, we ob-\ntain essentially equal results for the magnitude as well as\nfield and temperature dependence of the MR of the bare\nGe substrate, as shown in Fig. 3(b).\nThe left panel in Figure 3(c)depicts the tangent of the\nHall angle of the GeMn sample, defined as ρxy/ρxxwith\nρxyandρxxbeing the Hall and longitudinal resistivities,\nrespectively. The Hall angle gives a more direct estimate\nof possible magnetization induced contributions to the\nordinary Hall effect than the common Hall curve. The\nHall angle increases steeply with field, tending towards\na saturation at higher fields. Similar results were found\nby other groups for the GeMn material system and were\neither attributed to a magnetization induced AHE2or\nrelated to the multiple Mn acceptor energystates leading\nto an effective two-band like conduction.9Our undoped,\nnon-magnetic Ge substrate exhibits the same Hall angle\nbehavior as can be seen in the right panel of Fig. 3(c).\nThe data presented on the GeMn thin film in Fig. 2\nand Fig. 3show a strong similarity to the underlying\nsubstrate. This suggests that neither the inhomogene-\nity, magnetic nature nor the presence of Mn acceptors\nin the GeMn thin film leads to the observed transport\nproperties. We conclude that the transport properties\nof the GeMn sample do emerge from parallel conduction\nthrough the substrate.\nThis dominating contribution of the substrate can be\nunderstood, when one considers the system of an epi-\ntaxially fabricated GeMn thin film on top of the high\nresistivity Ge substrate as two parallel conducting resis-\ntors. For an independent determination of the transport\npropertiesofthe epi-layerwithout contributionsfrom the\nsubstrate layer, the resistance of the GeMn epi-layer has\nto be at least a factor of ten smaller than that of the\nsubstrate. A comparison of the thicknesses of these two\nlayers implicates that the epi-layer resistivity then has\nto be smaller by a factor of 104than the resistivity of\nthe Ge substrate. Considering the RT value of the sub-\nstrateresistivityofabout 40Ωcm this in turn meansthat\nthe GeMn epi-layer resistivity has to be in the 10−3Ωcm\nregime. For the present GeMn epitaxial layer, having a\nhole density around 1019cm−3(cf. section IIID), but\nnevertheless being non-degenerate, that would demand\nRT mobilities in the order of a few 102cm2V−1s−1.4\n/s48 /s49/s48/s48 /s50/s48/s48 /s51/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52/s49/s48/s53/s49/s48/s54\n/s32/s71/s101/s58/s66\n/s32/s71/s101/s32/s115/s117/s98/s115/s116/s114/s97/s116/s101/s83/s97/s109/s112/s108/s101/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32 /s82 /s32/s40 /s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s50/s48 /s52/s48/s49/s48/s49/s48/s48/s32\n/s32\nFigure 4. Sample resistance as function oftemperature for t he\nGe:B sample with a doping concentration of 5 ×1019cm−3.\nThe reference measurement of the substrate is also depicted .\nThe inset shows a close-up for small temperatures, indicati ng\nthe metallic conductance of the Ge:B sample, when parallel\nconduction through the substrate ceases.\nHowever, since GeMn thin films exhibit a very inhomo-\ngeneous nanostructure,1–4such a high mobility can not\nbe expected. In fact, mobilities of that order of magni-\ntude are only reached in conventional p-type doped Ge\nwith similar hole concentrations, when the dopants are\nhomogeneously diluted in the host matrix.21In essence,\nbecause of the low conductivity of non-degenerate GeMn\nthin films, one can not determine the transport proper-\nties of the GeMn epi-layer in a straightforward manner,\nwhen it is fabricated on high resistivity Ge substrates.\nB. Degenerate epitaxial p-type Ge on high\nresistivity Ge substrates\nWe now would like to address whether an electri-\ncal transport characterization of degenerately doped, p-\ntype GeMn thin films on high resistivity Ge substrates,\ni.e. thin films with carrier concentrations clearly above\n1019cm−3, is feasible. In order to separate phenomena\nrelated to the magnetization or nanostructure from those\nrelated to parasitic conduction through the substrate,\nwe explored degenerately doped, non-magnetic Ge:B epi-\nlayers as a model system. Since B opposed to Mn, is not\na deep, but a shallow acceptorin Ge, a doping concentra-\ntion of 5 ×1019cm−3lies well above the Mott insulator-\nto-metal transition, and is therefore sufficiently large to\ndeliver thin films with degenerate, metallic-like conduc-\ntion properties. Figure 4shows the temperature depen-\ndence of the resistance of the Ge:B sample. Interestingly,\nonly at temperatures below approximately10K the mea-\nsurement reflects the metallic character of the epi-layer,\nas the resistance enters a constant value regime. Above\nthis temperature the curve quickly traces the measure-\nment of the Ge substrate, which is also depicted for a/s48/s49/s48/s48/s50/s48/s48/s51/s48/s48/s97\n/s83/s97/s109/s112/s108/s101/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32 /s82/s32 /s40 /s41\n/s98\n/s48 /s51 /s54 /s57 /s49/s50/s48/s49/s48/s50/s48\n/s32/s32/s52/s46/s50/s75/s32/s32/s32 /s32/s32/s32/s51/s48/s75\n/s32/s32/s32/s32/s32/s57/s75/s32/s32/s32 /s32/s32/s32/s52/s48/s75\n/s32/s32/s32/s49/s48/s75/s32/s32/s32 /s32/s32/s32/s52/s55/s75\n/s32/s32/s32/s49/s52/s75/s32/s32/s32 /s32/s32/s32/s53/s48/s75\n/s32/s32/s32/s49/s53/s75/s32/s32/s32 /s32/s32/s32/s56/s48/s75\n/s32/s32/s32/s50/s48/s75/s32/s32/s32 /s32/s32/s32/s57/s48/s75\n/s32/s32/s32/s50/s51/s75/s32/s32/s32 /s32/s49/s53/s48/s75/s72/s97/s108/s108/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32 /s82\n/s120/s121 /s32/s40 /s41\n/s77/s97/s103/s110/s101/s116/s105/s99/s32/s102/s105/s101/s108/s100/s32/s40/s84/s41\nFigure 5. (a)Sample resistance versus magnetic field of the\nGe:B sample. (b)Hall resistance of the Ge:B sample. The\ndip ofRat zero field and the peak of Rxyat low fields mark\nthe onset of parallel conduction through the substrate at 9K .\nThe color code is the same in both panels.\ncomparison.\nThe magnetic field dependence of the longitudinal re-\nsistance of the Ge:B sample is depicted in Figure 5(a)for\ndifferent temperatures. At temperatures below 9K, the\nresistance shows little field dependence, yielding a MR\neffect which does not exceed 3% at 4 .2K and 7T. At\napproximately 9K we observe the onset of the decrease\nof the zero field resistance with increasing temperature,\nas already depicted in Fig. 4. However, the resistance\nnow rises quickly with increasing magnetic field, hence\ngiving an increased MR effect. Eventually at high fields\nthe resistance tends to saturate at the 4 .2K value. With\nincreasing measurement temperature this saturation is\nshifted towards higher fields, while at the highest tem-\nperatures full saturation is not reached anymore within\nthe investigated field range.\nThe Hall effect of the Ge:B sample is shown in\nFig.5(b). Below 9K we observe a linear Hall effect. At\ntemperaturesof 9K and abovethe field dependence dras-\ntically changes: For small field values we first observe a\nstrong increase of the Hall slope. Upon increasing the\nfield, the Halleffect showsapeak-likemaximumandthen\napproaches the Hall curve measured for 4 .2K asymptot-\nically.\nThe transport behavior of the Ge:B film, depicted in5\nFig.4and Fig. 5is not in line with the metallic char-\nacter of the epi-layer. We can rather identify two dis-\ntinct temperature regimes with different properties be-\nlow and above 9K. Similar results for the Hall effect and\nfield dependence of longitudinal resistivity which can be\nseparated into two temperature regimes were found for\nthe GeMn material systems in degenerate thin films pre-\npared by ion implantation.12They were interpreted in\nterms of a two-band like conduction scheme, account-\ning for possible electronic ground and excited states of\nMn in Ge.9In contrast, our results in the two distinct\nregimesarenaturallyexplainedbyassumingparallelcon-\nduction through the substrate: Below 9K parallel con-\nduction is not present, since the substrate resistance gets\nverylarge,whereastheresistanceofthe metallicepi-layer\ndoes not change. Assuming now that conduction only\ntakesplace in the 200nm thick Ge:B epi-layer,we can ex-\ntractaholedensityof1 .54×1019cm−3, infairagreement\nwith the nominal concentration value. Furthermore the\ncarrier mobility amounts to the relatively small value of\nµ= 310cm2V−1s−1. Due to the general proportionality\nbetween the orbital MR and carrier mobility, the small\nMR effect would therefore also be in line with conduc-\ntion through the metallic Ge:B epi-layer. The transport\nmeasurementsoftheGe:Bsamplecanundoubtedlybeat-\ntributed solely to the Ge:B epi-layer in the temperature\nregime below 9K. For temperatures above 9K we needto include substrate contributions for an interpretation\nof the magneto transport data. At low fields, conduc-\ntion will mostly take place in the substrate because of its\nsmaller resistance. At large fields, conduction through\nthe substrate will quickly cease, because its MR gets\nlarger. Then most of the current flows through the epi-\nlayer. Thus, in the Hall as well as the MR measurement,\nwe probe the substrate properties at small magnetic field\nand the Ge:B epi-layer properties at high field, leading\nto the described peaking and saturation effects. The de-\ncreasing tendency of saturation at high magnetic field\nwith increasing measurement temperature comes from\nthe weakening of MR of the Ge substrate. The Ge:B\nsample thus has to be regarded as a system of two con-\nducting layers with different galvanomagnetic responses.\nThis phenomenological interpretation is supported by\na description of the magneto transport data with a two-\nlayer conduction model. It is based on the assumption\nthat each conducting layer can be described by its indi-\nvidual resistivity tensor, which reduces to a 2 ×2 matrix\nin the case where the magnetic field is normal to the\nplane of carrier motion. Since the two layers are not\nequally thick, in the following expressions we will give\nsheet resistivities rather than bulk resistivities to main-\ntain generality.22The resulting components of the sheet\nresistivity tensor of the combined two-layer system have\nthen the form\nρxx=ρyy=ρ1,xx(ρ2,xx2+ρ2,xy2)+ρ2,xx(ρ1,xx2+ρ1,xy2)\n(ρ1,xx+ρ2,xx)2+(ρ1,xy+ρ2,xy)2\nρxy=−ρyx=ρ1,xy(ρ2,xx2+ρ2,xy2)+ρ2,xy(ρ1,xx2+ρ1,xy2)\n(ρ1,xx+ρ2,xx)2+(ρ1,xy+ρ2,xy)2. (2)\nThe quantities with subscripts 1 and 2 correspond to the\ntensor components of the Ge:B epi-layer and the Ge sub-\nstrate layer, respectively. We restrict ourselves to a semi-\nempirical application of the above equations for a com-\nputation of the Hall and MR effect of the Ge:B sample\nfor different temperatures. The parameters ρ1/2,xxand\nρ1/2,xyentering this computation are taken from mea-\nsurements: The contributions ρ1,xxandρ1,xyof Ge:B\nepi-layer correspond to the 4 .2K measurement curves of\nthe Ge:B sample as were shown in Fig. 5(a)and(b). We\nassume they do not vary with increasing temperature,\nwhich is justified by the metallic character of this epi-\nlayer (see also section IIID) therefore using them for all\ntemperatures we investigate. The contributions of the\nGe substrate, ρ2,xxandρ2,xy, are taken from the mea-\nsurements depicted in Fig. 3(b)and(c)for each corre-\nsponding temperature.\nFigure6showsintheleft andrightpanelstheresultsof\nthe computation of ρxxandρxy, respectively, compared\nto the measured values for 15K, 20K and 50K. We also\nincluded the ρ1/2,xxandρ1/2,xycontributionsoftheGe:Bepi-layer and the substrate in the plot. There is a good\nagreement between the two-layer conduction model and\nthe measurements of the Ge:B sample for both, the ρxx\nandρxycomponent. In particular the low field domi-\nnation of the Ge substrate layer as well as the satura-\ntion for high field at the Ge:B epi-layer contribution can\nbe reproduced well by Eqs. ( 2). Thus, the model qual-\nitatively demonstrates that parallel conduction through\nthe substrate is also present in a sample with a degen-\nerate, metallic, well conducting epi-layer in the extrinsic\nrange of the underlying substrate. For the small quanti-\ntative differences between the computed and the actual\nexperimental results, a major reason can be made out.\nThe model Eqs. ( 2) strictly apply for a two-layer system,\nwherebothlayershavethesamein-planegeometry. Since\nthe Hall bar mesa, however, does not define such a ge-\nometry for the substrate conduction channel, differences\nbetween theory and experiment will occur.\nFromtheresultsonthedegenerateGe:Breferencesam-\nple we infer that in the case of metallic GeMn thin films\ndeposited on the high resistivity substrate intrinsic prop-6\n/s48/s50/s53/s53/s48/s55/s53\n/s48/s50/s53/s53/s48/s55/s53\n/s48 /s50 /s52 /s54/s48/s50/s53/s53/s48/s55/s53\n/s48 /s50 /s52 /s54/s32/s84/s61/s49/s53/s75/s83/s104/s101/s101/s116/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32\n/s120 /s120 /s32/s40 /s41\n/s32/s99/s111/s109/s112/s117/s116/s97/s116/s105/s111/s110\n/s32/s109/s101/s97/s115/s117/s114/s101/s109/s101/s110/s116\n/s32/s71/s101/s32/s115/s117/s98/s115/s116/s114/s97/s116/s101\n/s32/s71/s101/s58/s66/s32/s101/s112/s105/s45/s108/s97/s121/s101/s114\n/s32/s84/s61/s49/s53/s75/s72/s97/s108/s108/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32\n/s120 /s121 /s32/s40 /s41\n/s32/s84/s61/s50/s48/s75/s83/s104/s101/s101/s116/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32\n/s120 /s120 /s32/s40 /s41\n/s32/s84/s61/s50/s48/s75/s72/s97/s108/s108/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32\n/s120 /s121 /s32/s40 /s41\n/s84/s61/s53/s48/s75/s83/s104/s101/s101/s116/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32\n/s120 /s120 /s32/s40 /s41\n/s77 /s97/s103/s110/s101/s116/s105/s99/s32/s102/s105/s101/s108/s100/s32/s40/s84/s41\n/s72/s97/s108/s108/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32\n/s120 /s121 /s32/s40 /s41\n/s77 /s97/s103/s110/s101/s116/s105/s99/s32/s102/s105/s101/s108/s100/s32/s40/s84/s41/s84/s61/s53/s48/s75\nFigure 6. Sheet (left) and Hall resistance (right) versus ma g-\nnetic field for different temperatures of the Ge:B sample af-\nfected by parallel conduction. Shown is the measurement, th e\ncomputation according to Eqs. ( 2) and the individual contri-\nbutions of the substrate and metallic epi-layer.\nerties of the GeMn epi-layer may be directly derived in\nthe freeze-out temperature regime of the substrate. For\nhigher temperatures, however,care must be taken to sep-\naratetheintrinsicpropertiesofGeMnfromtheaforemen-\ntioned effects arising due to the two-layer conduction.\nC. Influence of the sample geometry on MR\nmeasurements\nIt was previously shown in Fig. 3(b)that the high re-\nsistivity substrate of very pure Ge exhibits an extremely\nlargeMR effect up to 50000%. However, reports ofmag-\nnetotransportpropertiesofhighpurityGeshowthatthis\nlarge effect is not expected.23,24To study the MR effect\nofthe high resistivitysubstratefurther, we fabricatedad-\nditional samples using a van der Pauw (vdP) geometry,\nas depicted in the schematic of Fig. 1(b). Interestingly,\nthe MR effect of the vdP sample presented in Figure 7\nis now more than twenty times smaller than for the cor-/s48 /s50 /s52 /s54/s48/s49/s48/s48/s48/s50/s48/s48/s48\n/s48 /s50 /s52 /s54/s48/s49/s48/s48/s50/s48/s48/s51/s48/s48/s77/s97/s103/s110/s101/s116/s111/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32 /s77/s82 /s32/s40/s37/s41/s32/s50/s48/s75\n/s32/s51/s48/s75\n/s32/s52/s48/s75\n/s32/s53/s48/s75\n/s77 /s97/s103/s110/s101/s116/s105/s99/s32/s102/s105/s101/s108/s100/s32/s40/s84/s41\n/s32/s32/s56/s48/s75\n/s32/s49/s48/s48/s75\n/s32/s49/s53/s48/s75\n/s77 /s97/s103/s110/s101/s116/s105/s99/s32/s102/s105/s101/s108/s100/s32/s40/s84/s41\nFigure 7. Transversal MR for various temperatures for the\nGeMn sample (open symbols) and the bare Ge substrate\n(filled symbols).\nresponding Hall bar sample. Evidently, the large MR\neffect previously obtained in Hall bar geometry is not\nan inherent physical property of the Ge substrate. The\nmeasurements taken in vdP geometry agree much better\nwith the above mentioned magneto-transport studies of\nGe.23,24\nUp to now we can only speculate about the reasons\ninducing the large MR effect. Most probably it is related\nto a redistribution of the current lines upon applying a\nmagnetic field, similar to the effect observed in ref. 17.\nReferring to the sample schematic depicted in Fig. 1(a)\nthe relatively large, metalized voltage probes 2, 3, 4, 6,\n7 and 8 have to be considered as effective short-circuits\nwithin the sample volume, if conduction through sub-\nstrate is present. In a magnetic field these short-circuits\nmay be diminished as the electric field and therefore the\ncurrent flow will get tangent to these areas. The result is\nan artificial increase of the sample resistance. Addition-\nally the small length to width ratio of l/w≈2, basically\ngiven by the separationofcontacts 1 and 5 and the width\nof contact 1, may also favor a considerable Hall effect in-\nduced contribution to the intrinsic MR.25,26\nWe also reinvestigated the GeMn thin film sample in\nvdP geometry. Upon comparing it with the Ge substrate\nin Fig.7we now remarka pronounced difference between\nthe MR measurement above approximately 3T for tem-\nperatures up to 80K. The MR of the GeMn sample fol-\nlows a more linear behavior, while the MR of the sub-\nstrate still increases superlinearly above this field. At\n100K and higher temperatures both samples exhibit the\nsameMR effect. We infer from this behaviorthat at least\nbelow 100K transport properties of the GeMn epi-layer\nget visible in the measurement. This may be due to the\nfact, that in vdP geometry the volume of the epi-layer\nis not restricted to the in-plane dimensions of the etched\nHall bar mesa, but extends over the whole chip area.\nTherefore the effective volume ratio of the epi-layer to\nthe substrate, hence the conductance ratio, is increased\nin vdP geometry compared to the Hall bar geometry.\nWe thus conclude that a vdP geometry is to be favored\noveraHallbarintheGeMnmaterialsystemwithitshigh\nprobability of parallel conduction through the substrate.7\n/s48 /s49/s48/s48 /s50/s48/s48 /s51/s48/s48/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52/s49/s48/s53/s32/s71/s101/s58/s66\n/s32/s71/s101/s77/s110\n/s32/s71/s101/s32/s115/s117/s98/s115/s116/s114/s97/s116/s101/s83/s97/s109/s112/s108/s101/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32 /s82 /s32/s40 /s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41\nFigure 8. Sample resistance versus temperature for the GeMn\nsample (green), the Ge:B sample grown on the n-type Ge\nsubstrate (blue). The GeMn sample is affected by parallel\nconduction above 250K as the pn-barrier gets inefficient. The\nGe:B sample shows metallic conduction up to RT.\nByusing avdPgeometrythe comparisonofexperimental\ndata with an elaborate, ab-initio two-layer conduction\nmodel, extending the scheme outlined in section IIIB,\nmay enable a derivation of inherent transport properties\nof GeMn thin films, in spite ofthe dominant contribution\nof the Ge substrate.\nD. GeMn on n-type Ge substrates\nThederivationoftheGeMntransportpropertieswould\nbe mucheasier,ifthesubstratecontributioncouldbe fur-\nther reduced. To this end we fabricated another GeMn\nsample, which employs a Ge substrate with RT resistiv-\nity of 0.13Ωcm having a well defined concentration of Sb\ndonors. Thebuild upofarectifyinginterfacebetweenthe\np-type GeMn epi-layer and the n-type substrate would\nisolate the epi-layer electrically from the substrate. The\n80nm thick GeMn epi-layer has a Mn concentration of\n10%. To test the benefit of this concept, we again de-\nposited a metallic Ge:B epi-layer on such a substrate.\nTransport measurements of these samples were made in\nvdP geometry.\nFigure8displays the temperature dependent resis-\ntance of the second Ge:B sample together with the em-\nployed substrate. The curve shape is now in agreement\nwith the metallic characterof the Ge:B thin film over the\nentire temperature range and is clearly different from the\nsubstrate behavior. Note that the substrate resistance\nis actually a factor of ten smaller than the resistance of\nthe epi-layerfortemperatures above30K, demonstrating\nthe effectivity of the rectifying pn-barrier. The absence\nof parallel conduction through the substrate is also re-\nflected in Hall measurements (not shown), which in con-\ntrast to the measurements depicted in Fig. 5exhibit a/s48 /s50 /s52 /s54/s48/s50/s52/s77/s97/s103/s110/s101/s116/s111/s32/s114/s101/s115/s105/s115/s116/s97/s110/s99/s101/s44/s32 /s77/s82 /s32/s40/s37/s41\n/s77/s97/s103/s110/s101/s116/s105/s99/s32/s102/s105/s101/s108/s100/s32/s40/s84/s41/s32/s49/s53/s48/s75\n/s32/s49/s56/s48/s75\n/s32/s50/s49/s48/s75\nFigure 9. Transversal MR for various temperatures for the\nGeMn sample grown on the n-type Ge substrate.\nlinear Hall effect up to RT corresponding to the nominal\ndoping concentration of the thin film.\nAlso shown in Fig. 8is then the resistance curve of\nthe GeMn sample grown on the n-type substrate. Re-\nliable measurement data are only available above 150K,\nsincetheelectricalcontactsbecomenon-ohmicbelowthis\ntemperature. Nevertheless, in the available temperature\nrange we remark a clear difference of the resistance of\nthis sample compared to the substrate. The resistance\nbecomes quickly larger, suggesting the absence of paral-\nlel conduction through the substrate. Magneto transport\nstudies of this sample reveal p-type conduction pointing\ntowards the acceptor role of Mn in Ge. Hole concen-\ntrations ranging from 5 ×1018cm−3to 1.5×1019cm−3\nfor temperatures between 150K and 210K could be de-\nducedfromthehighfieldslopeofHallmeasurements(not\nshown). We could not identify any signs of a magneti-\nzation induced AHE which, in general pointing towards\na low polarization of the holes, could also be related to\nthe decreasing magnetic response of these types of GeMn\nthin films at the accessible temperatures above 150K.1,3\nFigure9depicts the MR of the GeMn sample for three\ndifferent temperatures. Interestingly, the MR changes\nonly slightly with temperature and does not exceed 3%\nfor the highest field, in contrast to a value of 120% for\nthe sample affected by parallel conduction through the\nsubstrate, as depicted in Fig. 7. While this underlines\nthe immense influence of the substrate contribution, it\nalso demonstrates that the MR in our GeMn samples\nis apparently rather small. Its order of magnitude as\nwell as the parabolic curvature could very well originate\nfromthe ubiquitous, normalorbitalMR in alowmobility\nconductor.\nThe usage of an n-type substrate seems to unveil the\nintrinsic transport characteristics of our GeMn thin film\nsample. Parallel conduction through the substrate is\ngreatly reduced, compared to thin films fabricated on\nthe high resistivity Ge substrate. For degenerate epitax-8\nial GeMn thin films a slightly n-type doped Ge substrate\nseems to be most adequate for transport studies. How-\never,thereareseveraldrawbacksfromageneral,straight-\nforward application of this approach to non-degenerate\nsamples: The pn-barrier concept fails for higher temper-\natures, as indicated by the large drop of the resistance\ncurve of the GeMn sample in Fig. 8above 250K. A\nRT characterizationof such devices is impossible, as par-\nallel conduction through the substrate will be present.\nMoreover, a rapid thermal annealing process to obtain\nohmic contacts could not be used for these type of sam-\nples, as this resulted in a direct contact to the substrate\nwhich short circuits the pn-barrier. A laser assisted ul-\ntra short time annealing employed instead, however, did\nnot provide ohmic contacts that work down to cryogenic\ntemperatures. More sophisticated approaches might be\nexplored to overcome this limitation and enable also low\ntemperature measurements.\nIV. SUMMARY\nIn summary, the work presented here has shown that\ntransport phenomena of non-degenerateGeMn thin films\nwith hole concentrations around 1019cm−3fabricated on\nhigh resistivity Ge substrates are not a consequence of\nthe alloying of Mn with Ge. Instead it was found that\nthe transport studies are severely influenced by parallel\nconduction through the substrate. This is in essence due\nto comparable resistances of the individual conducting\nlayers. In this context findings of extremely large mag-neto resistance effects up to 50000% are related to an\nunfavorable measurement geometry. Measurements of a\ndegenerate, p-type Ge:B reference sample showed that\ndespite of the well conducting epi-layer, parallel conduc-\ntion through the substrate is also present, significantly\naltering Hall and MR measurements which could only\nbe understood in a two-layer conduction model. Paral-\nlel conduction through the substrate has been fully sup-\npressed for the degenerate, p-type reference and partly\nfor the GeMn thin film sample, by using Sb doped n-\ntype substrates.\nOur results hint towards the importance of a thorough\ncharacterization of the substrate properties in transport\nstudies of GeMn thin films that are fabricated on Ge\nsubstrates. An increasing awareness and proper under-\nstanding of this problem may help to rule out possible\nmisinterpretations. Such misinterpretation may a pri-\nori be avoided by using semi-insulating GaAs substrates\nwith a resistivity larger than 103Ωcm, delivering a small\nlattice mismatch to the Ge diamond lattice structure.\nA few reports on magneto transport of various types of\nGeMn thin films employing GaAs substrates exist,5,27–29\nhowever, out-diffusion of As or Ga is a critical issue.30,31\nIt was recently shown that this type of unintentional co-\ndoping may actually change the structural and also mag-\nnetic properties of GeMn thin films.32\nACKNOWLEDGMENTS\nThe authors would like to thank the Deutsche\nForschungsgemeinschaft for financial support via SPP\n1285.\n1D. Bougeard, S. Ahlers, A. Trampert, N. Sircar, and\nG. Abstreiter, Phys. Rev. Lett. 97, 237202 (2006) .\n2M. Jamet, A. Barski, T. Devillers, V. Poydenot, R. Du-\njardin, P. Bayle-Guillemaud, J. Rothman, E. Bellet-\nAmalric, A. Marty, J. Cibert, R. Mattana, and\nS. Tatarenko, Nature Materials 5, 653 (2006) .\n3D. Bougeard, N. Sircar, S. Ahlers, V. Lang, G. Abstreiter,\nA. Trampert, J. M. LeBeau, S. Stemmer, D. W. Saxey,\nand A. Cerezo, Nano Letters 9, 3743 (2009) .\n4A. P. Li, C. Zeng, K. van Benthem, M. F. Chisholm,\nJ. Shen, S. V. S. N. Rao, S. K. Dixit, L. C. Feld-\nman, A. G. Petukhov, M. Foygel, and H. H. Weitering,\nPhys. Rev. B. 75, 201201 (2007) .\n5Y. D. Park, A. T. Hanbicki, S. C. Erwin, C. S. Hellberg,\nJ. M. Sullivan, J. E. Mattson, T. F. Ambrose, A. Wilson,\nG. Spanos, and B. T. Jonker, Science295, 651 (2002) .\n6A. P. Li, J. F. Wendelken, J. Shen, L. C. Feld-\nman, J. R. Thompson, and H. H. Weitering,\nPhys. Rev. B. 72, 195205 (2005) .\n7S. Zhou, D. B¨ urger, A. M¨ ucklich, C. Baumgart, W. Sko-\nrupa, C. Timm, P. Oesterlin, M. Helm, and H. Schmidt,\nPhys. Rev. B 81, 165204 (2010) .\n8J. X. Deng, Y. F. Tian, S. M. He, H. L. Bai, T. S. Xu,\nS. S. Yan, Y. Y. Dai, Y. X. Chen, G. L. Liu, and L. M.\nMei,Appl. Phys. Lett. 95, 062513 (2009) .9S. Zhou, D. B¨ urger, M. Helm, and H. Schmidt,\nAppl. Phys. Lett. 95, 172103 (2009) .\n10F. J. Morin and J. P. Maita, Phys. Rev. 94, 1525 (1954) .\n11M. B. Prince, Phys. Rev. 92, 681 (1953) .\n12O. Riss, A. Gerber, I. Y. Korenblit, A. Suslov,\nM. Passacantando, and L. Ottaviano,\nPhys. Rev. B 79, 241202 (2009) .\n13H. Fritzsche and K. Lark-Horovitz,\nPhys. Rev. 113, 999 (1959) .\n14The temperature dependence of the mobility has been ne-\nglected. Due to auto compensation we fitted the data with\nanexpEA\nkBTlaw, see for example J. S. Blakemore, Semi-\nconductor Statistics , edited by H. K. Henisch (Pergamon\nPress, New York, 1962).\n15H. H. Woodbury and W. W. Tyler,\nPhys. Rev. 100, 659 (1955) .\n16C. M. Hurd, The Hall effect in metals and alloys (Plenum\nPress, New York, 1972).\n17S. A. Solin, T. Thio, D. R. Hines, and J. J. Heremans,\nScience289, 1530 (2000) .\n18M. M. Parish and P. B. Littlewood,\nPhys. Rev. B 72, 094417 (2005) .\n19M. M. Parish and P. B. Littlewood,\nNature426, 162 (2003) .\n20J. Hu, M. M. Parish, and T. F. Rosenbaum,9\nPhys. Rev. B 75, 214203 (2007) .\n21O. A. Golikova, B. Y. Moizhes, and L. S. Stil’bans, Soviet\nPhysics - Solid State 3, 2259 (1962).\n22In the case of a two-carrier-type model the same equations\napply, when the sheet terms are replaced by their respec-\ntive bulk counterparts.\n23C. Goldberg and R. E. Davis,\nPhys. Rev. 102, 1254 (1956) .\n24J. W. Gallagher and W. F. Love,\nPhys. Rev. 161, 793 (1967) .\n25R. F. Wick, J. Appl. Phys. 25, 741 (1954) .\n26H. H. Jensen and H. Smith,\nJournal of Physics C: Solid State Physics 5, 2867 (1972) .\n27H. Li, Y. Wu, Z. Guo, P. Luo, and S. Wang,J. Appl. Phys. 100, 103908 (2006) .\n28C. Zeng, Y. Yao, Q. Niu, and H. H. Weitering,\nPhys. Rev. Lett. 96, 037204 (2006) .\n29C. Zeng, Z. Zhang, K. van Benthem, M. F. Chisholm, and\nH. H. Weitering, Phys. Rev. Lett. 100, 066101 (2008) .\n30R. Tsuchida, J. T. Asubar, Y. Jinbo, and N. Uchitomi,\nJournal of Crystal Growth 311, 937 (2009) .\n31Y. D. Park, A. Wilson, A. T. Hanbicki, J. E. Matt-\nson, T. Ambrose, G. Spanos, and B. T. Jonker,\nAppl. Phys. Lett. 78, 2739 (2001) .\n32I.-S. Yu, M. Jamet, T. Devillers, A. Barski, P. Bayle-\nGuillemaud, C. Beign´ e, J. Rothman, V. Baltz, and J. Cib-\nert,Phys. Rev. B 82, 035308 (2010) ." }, { "title": "0806.4279v1.Different_resistivity_response_to_spin_density_wave_and_superconductivity_at_20_K_in__Ca__1_x_Na_xFe_2As_2_.pdf", "content": "arXiv:0806.4279v1 [cond-mat.supr-con] 26 Jun 2008Different resistivity response to spin density wave and supe rconductivity at 20 K in\nCa1−xNaxFe2As2\nG. Wu, H. Chen, T. Wu, Y. L. Xie, Y. J. Yan, R. H. Liu, X. F. Wang, J. J . Ying, and X. H. Chen∗\nHefei National Laboratory for Physical Science at Microsca le and Department of Physics,\nUniversity of Science and Technology of China,\nHefei, Anhui 230026, P. R. China\n(Dated: November 10, 2018)\nWe report that intrinsic transport and magnetic properties , and their anisotropy from high quality\nsinglecrystal CaFe 2As2. Theresistivityanisotropy( ρc/ρab)is∼50, andless than150of BaFe 2As2,\nwhich arises from the strong coupling along c-axis due to an a pparent contraction of about 0.13 nm\ncompared to BaFe 2As2. Temperature independent anisotropy indicates that the tr ansport in ab\nplane and along c-axis direction shares the same scattering mechanism. In sharp contrast to the case\nof parent compounds ROFeAs (R=rare earth) and MFe2As2(M=Ba and Sr), spin-density-wave\n(SDW) ordering (or structural transition) leads to a steep i ncrease of resistivity in CaFe 2As2. Such\ndifferent resistivity response to SDW ordering is helpful to understand the role played by SDW\nordering in Fe-based high- Tcsuperconductors. The susceptibility behavior is very simi lar to that\nobserved in single crystal BaFe 2As2. A linear temperature dependent susceptibility occurs abo ve\nSDW transition of about 165 K. Partial substitution of Na for Ca suppresses the SWD ordering\n(anomaly in resistivity) and induces occurrence of superco nductivity at ∼20 K.\nPACS numbers: 71.27.+a; 71.30.+h; 72.90.+y\nThe discovery of superconductivity at 26 K in\nLaO1−xFxFeAs (x=0.05-0.12)[1], and Tcsurpassing 40\nK beyond McMillan limitation of 39 K predicted by BCS\ntheory in RFeAsO 1−xFxby replacing La with other\ntrivalent R with smaller ionic radii [2, 3, 18] have gener-\nated much interestfor extensivestudy onsuch iron-based\nsuperconductors. which is second family of high- Tcsu-\nperconductors except for the high- Tccuprates. Such Fe-\nbased superconductor shares some similarity with cur-\npates. They adopt a layered structure with Fe layers\nsandwiched by two As layers, each Fe is coordinated by\nAs tetrahedron. Similar to the cuprates, the Fe-As layer\nis thought to be responsible for superconductivity, and\nR-O layer is carrier reservoir layer to provide electron\ncarrier. The electron carrier induced transfers to Fe-As\nlayer to realize superconductivity. Electronic properties\nare dominated by the Fe-As triple-layers, which mostly\ncontribute to the electronic state around Fermi level.\nRecently, the ternary iron arsenide BaFe2As2shows\nsuperconductivity at 38 K by hole doping with partial\nsubstitution of potassium for barium[5]. This material\nisThCr2Si2-type structure. There exists single FeAs\nlayer in unit cell in ROFeAs system, while there are two\nFeAs layers in an unit cell in BaFe2As2. These par-\nent compounds share common features: an anomaly ap-\npears in resistivity and such anomaly is associated with\na structure transition and spin density wave (SDW) or-\ndering. The parent material LaOFeAs shows an anomaly\nin resistivity at 150 K which is associated with the struc-\ntural transition at ∼150 K and a SDW transition is\nobserved at ∼134 K[1, 6]. An anomaly in resistiv-\nity occurs at ∼140 K in BaFe2As2and susceptibil-\nity shows an antiferromagnetic SDW ordering at almostthe same temperature[7]. Neutron scattering further in-\ndicates that the antiferromagnetic SDW ordering and\nstructural transition happen at the same temperature\ncoinciding with the anomaly in resistivity[8]. In all sys-\ntems of ROFeAs (R=rare earth) and BaFe2As2and\nSrFe2As2, SDWordering(orstructuraltransition)leads\nto a steep decrease of resistivity[1, 7, 9, 10, 11, 12]. Such\nstructure and SDW instabilities are suppressed, and su-\nperconducting is induced and the anomaly in resistiv-\nity is completely suppressed by electron- and hole-doping\ninRFeAsO 1−xFxsystem[1, 9, 10] and M1−xKxFe2As2\n(M=Ba,Sr)[5, 11, 12]. Herewereportedthe anisotropyin\nresistivity and susceptibility in single crystal CaFe 2As2.\nThe resistivity anisotropy is ∼50, and less than 150 of\nBaFe2As2[7], this is consistent with an apparent con-\ntraction in c-axis lattice relative to BaFe2As2. It is\nstriking that the resistivity increases when SDW order-\ning occurs in CaFe 2As2, in sharp contrast to that SDW\nodering leads to steep decrease in resistivity in all other\nparent compounds RFeAsO andMFe2As2(M=Ba and\nSr). Substitution of Na for Ca leads to suppression of\nthe SDW ordering and structural transition, and induces\nsuperconductivity at ∼20 K. Such resistivity response\nto SDW ordering will be helpful to understand the role\nplayed by SDW ordering in Fe-based high- Tcsupercon-\nductors.\nHigh quality single crystals of CaFe 2As2were grown\nby self-flux method, which is similar to that described\nin our earlier paper about growth of BaFe 2As2single\ncrystals with FeAs as flux[7]. Many shinning plate-like\nCaFe2As2crystals were obtained. The typical dimen-\nsional is about 1 x 1 x 0.05 mm3. Polycrystalline sam-\nples of Ca 1−xNaxFe2As2was synthesized by solid state2\n/s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48/s40/s98/s41\n/s32/s67/s97/s70/s101\n/s50/s65 /s115\n/s50\n/s99/s61/s49/s46/s49/s55/s51/s48/s110/s109\n/s40/s48/s48/s56/s41/s40/s48/s48/s54/s41/s40/s48/s48/s52/s41/s40/s48/s48/s50/s41\n/s50 /s32/s40/s100/s101/s103/s114/s101/s101/s41/s73/s110/s116/s101/s110/s115/s105/s116/s121/s32/s40/s97/s114/s98/s46/s32/s117/s110/s105/s116/s41\n/s32/s32\n/j67/j97/j47/j78/j97\n/j70/j101\n/j65/j115\n/j99\n/j97/j98/j40/j97/j41\nFIG. 1: (a): Crystal structure of CaFe 2As2; (b): Single\ncrystal x-ray diffraction pattern of CaFe 2As2, only (00l)\ndiffraction peaks show up, suggesting that the c-axis is\nperpendicular to the plane of the plate.\nreaction method using CaAs, NaAs and Fe 2As as start-\ning materials. CaAs was presynthesized by heating Ca\nlumps and As powder in an evacuated quartz tube at 923\nK for 4 hours. NaAs was prepared by reacting Na lumps\nand As powder at 573 K for 4 hours, Fe 2As was obtained\nby reacting the mixture of element powders at 973 K for\n4 hours. The raw materials were accurately weighed ac-\ncording to the stoichiometric ratio of Ca 1−xNaxFe2As2,\nthen the weighed powders were thoroughlygrounded and\npressed into pellets. The pellets were wrapped with Ta\nfoilandsealedinevacuatequartztubes. Thesealedtubes\nwere heated to 1203 K and annealed for 15 hours. The\nsample preparation process except for annealing was car-\nried out in glove box in which high pure argon atmo-\nsphere is filled.\nThe crystal structure of Ca 1−xNaxFe2As2is shown in\nFig.1(a), which is the same as BaFe 2As2with the tetrag-\nonal ThCr 2Si2-type compound[14]. The layers of edge-\nsharing Fe 4As4-tetrahedra areseparated by Ca atom lay-\ners. Fig.1(b) shows the single crystal x-ray diffraction\npattern of CaFe 2As2. Only (00l) diffraction peaks are\nobserved, it suggests that the crystallographic c-axis is\nperpendicular to the plane of the plate-like single crystal.\nTable 1 shows the crystallographic data of single crystal\nCaFe2As2at room temperature. X-ray diffraction inten-\nsity data measurement was performed at 297 K (Mo K α\nradiation, λ=0.71073 ˚A) using a Gemini S Ultra (Oxford\ndiffraction). All the structures were solved by Patterson\nmethods and refined by full-matrix least-squares meth-\nods with SHELX-97[15]. For comparison, the data of\nBaFe2As2reported by Rotter et al[13] are also listed in\nTable 1. Both the CaFe 2As2and BaFe 2As2have the\nsame space group. The lattice parameters of CaFe 2As2\nis much smaller than that of BaFe 2As2. Compared the\naverage bond lengths of CaFe 2As2with BaFe 2As2, it is\nfound that the length of Ca −As bond is much smaller\nthan Ba−As bond. It indicates that the interaction be-\ntween the Ca layer and FeAs layer is much stronger thanTABLE I: Crystallographic data of CaFe 2As2. For compari-\nson, the data of BaFe 2As2are also listed, the data are from\nRef.13.\nTemperature=297K CaFe 2As2BaFe2As2\nSpace group I4/mmm I4/mmm\na (nm) 0.3872(9) 0.39625(1)\nb (nm) 0.3872(9) 0.39625(1)\nc (nm) 1.1730(2) 1.30168(3)\nV (nm3) 0.17594(5) 0.20438(1)\nAtomic parameters:\nCa (Ba) 2a (0, 0, 0) 2a (0, 0, 0)\nFe 4d (1\n2, 0,1\n4) 4d (1\n2, 0,1\n4)\nAs 4e (0, 0, z) 4e (0, 0, z)\nz=0.3665(9) z=0.3545(1)\nAverage Bond lengths (nm):\nCa (Ba)−As 0.3154(0) 0.3379(6)\nFe−As 0.2370(9) 0.2397(6)\nFe−Fe 0.2738(7) 0.2799(6)\nAverage Bond angles (deg):\nAs−Fe−As1 109.5(2) 111.3(6)\nAs−Fe−As2 109.4(6) 108.5(6)\nthat between the Ba layer and FeAs layer.\nTemperature dependence of susceptibility measured\nunder magnetic field of H=5 T applied within ab-plane\nand along c-axis is shown in Fig.2, respectively. It\nshould be pointed out that an anisotropy between H /bardblab\nplane and along c-axis is observed, but these data are\nnot corrected by demagnetization factor. Susceptibility\ndecreases monotonically for the magnetic field applied\nwithin ab-plane and along c-axis, and shows a linear\ntemperature dependence above a characteristic temper-\n/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48/s48/s46/s48/s48/s48/s54/s48/s46/s48/s48/s48/s56/s48/s46/s48/s48/s49/s48/s48/s46/s48/s48/s49/s50/s48/s46/s48/s48/s49/s52\n/s32/s32/s32\n/s32/s32/s109 /s111/s108/s32/s40 /s101/s109 /s117/s32\n/s79 /s101/s45/s49/s41\n/s84/s40/s75/s41/s72/s47/s47/s97/s98\n/s72/s47/s47/s99\nFIG. 2: Temperature dependence of susceptibility measured\nunder H=5 Tesla applied within ab-plane and along c-axis,\nrespectively, for single crystal CaFe 2As2.3\n/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48/s48/s46/s49/s48/s46/s50/s48/s46/s51/s48/s46/s52/s48/s46/s53/s48/s46/s54\n/s53/s49/s48/s49/s53/s50/s48/s50/s53/s51/s48\n/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48/s48/s51/s48/s54/s48/s57/s48/s32\n/s32/s32\n/s84/s32/s40/s75/s41/s97/s98/s32/s40/s109 /s99/s109/s41/s99/s32/s40/s109 /s99/s109/s41\n/s84/s32/s40/s75/s41\n/s32 /s32/s99/s47\n/s97/s98\nFIG. 3: Temperature dependenceof in-plane and out-of-plan e\nresistivity ( ρab(T) (squares) and ρc(T) (circles) ) for single\ncrystalCaFe 2As2. A hysteresis in ρab(T) is observed with\ncooling and heating measurements. Inset shows temperature\ndependence of the anisotropy of resistivity ( ρc/ρab). The\nanisotropy ρc/ρabis independent of temperature, indicating\nthat the transport in ab plane and along c-axis direction\nshares the same scattering mechanism.\nature of ∼165 K. At 165 K, the susceptibility shows a\nrapid decrease which is ascribed to occurrence of anti-\nferromagnetic spin-density wave. Below 165 K, suscepti-\nbility decreases more stronger than T-linear dependence.\nIn low temperatures, a Curie-Weiss-like behavior in sus-\nceptibility is observed. These behaviors are very similar\nto the susceptibility behavior reported in single crystal\nBaFe2As2[7]. The magnitude of susceptibility is almost\nthe sameasthat of BaFe2As2. The susceptibilitybehav-\nior observed in both BaFe2As2andCaFe2As2is very\nsimilar to that of antiferromagnetic SDW pure Cr[16], in\nwhich a temperature linear dependence persists to the\noccurrence temperature of SDW.\nFigure 3 shows temperature dependence of in-plane\nand out-of-plane resistivity. Both in-plane and out-of-\nplane resistivity show similar temperature dependent be-\nhavior. In-plane and out-of-plane resistivities show al-\nmost a linear temperature dependence above ∼165 K,\nand a steep increase at 165 K, then changes to metal-\nlic behavior. As shown in Fig.3, a hysteresis around\n165 K is observed with cooling and heating measure-\nments, suggesting a possible first-order transition at 165\nK. This transition temperature coincides with the SDW\ntransition observed in susceptibility as shown in Fig.2.\nIt indicates that the SDW ordering leads to a steep in-\ncreaseinresistivity. SuchresistivityresponsetotheSDW\ntransition is in sharp contrast to that for all other par-\nent compounds ROFeAs (R=rare earth) and BaFe2As2\nandSrFe2As2[1, 7, 9, 10, 11, 12], in which SDW order-\ning (or structural transition) leads to a steep decrease/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48/s45/s54/s45/s53/s45/s52/s45/s51/s45/s50/s45/s49/s48/s49\n/s48/s49/s48/s50/s48/s51/s48/s82\n/s72/s32/s40/s49/s48/s45/s57\n/s32/s109/s51\n/s47/s67/s41\n/s84/s32/s40/s75/s41/s67/s97/s70/s101\n/s50/s65/s115\n/s50/s83/s32/s40 /s86/s47/s75/s41\nFIG. 4: The temperature dependence of Hall coefficient and\nthermoelectric power (TEP) of single crystal CaFe 2As2.\nof resistivity. Such different resistivity response to SDW\nordering is helpful to understand what role the SDW or-\ndering plays in Fe-based high- Tcsuperconductors. In-\nset shows the anisotropy of resistivity ( ρc/ρab). The re-\nsistivity anisotropy, ρc/ρab, is about 50. Anisotropy in\nCaFe2As2is less than 150 of BaFe2As2. This could\narise from that the interaction between the Ca layer and\nFeAs layer is much stronger than that between the Ba\nlayer and FeAs layer. Such strong coupling along c-axis\nleads to an apparent contraction of about 0.13 nm in c-\naxis lattice, the c-axis lattice parameter decrease from\n∼1.302 nm in BaFe2As2to∼1.173 nm in CaFe2As2.\nAlmosttemperatureindependent ρc/ρabsuggeststhatin-\nplane and out-of-planetransportssharethe samescatter-\ning mechanism.\nTemperature dependences of Hall coefficient and ther-\nmoelectric power (TEP) for single crystal CaFe2As2are\nshown in Fig.4. TEP of CaFe2As2is negative in high\ntemperature range, and changes the sign at about 165 K\nand shows a complicated temperature dependence. TEP\nslightly increases with decreasing temperature to about\n170 K, and then a big jump increase is observed due to\nthe SDW transition or structural transition. Below 145\nK, TEP slowly increases with decreasing temperature to\nabout 110 K, then decreases monotonously. A similar\nbig jump around 140 K was observed in BaFe2As2, but\na negative sign is opposite to that of CaFe2As2[7]. The\nHall coefficient of CaFe2As2is negative and changes to\npositive above 260 K. The temperature dependence of\nHall coefficient is almostindependent ontemperature be-\ntween 260Kand 160K. Below Ts= 160K, a pronounced\ndecrease in Hall coefficient is observed, which coincides\nwithTsof the SDW transition or structural transition\nobserved in susceptibility and resistivity. The magnitude\nof Hall coefficient of CaFe2As2at 5 K is about two-order4\n/s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48/s32/s42/s32/s32/s70/s101/s65/s115\n/s40/s49 /s50 /s51 /s41/s42/s42\n/s42/s67/s97\n/s48/s46/s53/s78/s97\n/s48/s46/s53/s70/s101\n/s50/s65/s115\n/s50\n/s97/s61/s48/s46/s51/s56/s50/s57/s110/s109\n/s99/s61/s49/s46/s49/s56/s54/s50/s110/s109\n/s67/s97/s70/s101\n/s50/s65/s115\n/s50\n/s97/s61/s48/s46/s51/s56/s56/s54/s110/s109\n/s99/s61/s49/s46/s49/s55/s49/s52/s110/s109\n/s40/s48 /s49 /s55 /s41\n/s40/s50 /s50 /s48 /s41/s40/s49 /s50 /s53 /s41/s40/s48 /s48 /s56 /s41/s40/s49 /s49 /s54 /s41/s40/s48 /s49 /s53 /s41\n/s40/s48 /s50 /s48 /s41/s40/s49 /s49 /s52 /s41/s40/s49 /s49 /s50 /s41/s40/s48 /s49 /s51 /s41/s40/s48 /s49 /s49 /s41/s40/s48 /s48 /s50 /s41\n/s40/s48/s49/s51/s41\n/s50 /s32/s40/s100/s101/s103/s114/s101/s101/s41/s73/s110/s116/s101/s110/s115/s105/s116/s121/s32/s40/s97/s114/s98/s46/s32/s117/s110/s105/s116/s41\n/s32/s32\nFIG. 5: X-ray powder diffraction patterns at room tem-\nperature for the polycrystalline samples: CaFe 2As2and\nCa0.5Na0.5Fe2As2, respectively.\nsmaller than that of parent compound of LaOFeAs with\nsingle FeAs layer[17]. It indicates a higher carrier density\nin two-layers compounds. The opposite sign of Hall and\nthermoelectric power is different with parent compound\nLaOFeAs[17], in which sign of Hall coefficient and ther-\nmoelectric power are both negative. Similar results are\nalso reported in EuFe 2As2compound[18]. These results\nindicate a multi-band scenarioin parent compounds with\ntwo FeAs layers in an unit cell.\nX-ray powder diffraction patterns are shown in\nFig.5 for the polycrystalline samples: CaFe 2As2and\nCa0.5Na0.5Fe2As2. Nearly all diffraction peaks in the\npatterns of CaFe 2As2andCa0.5Na0.5Fe2As2can be in-\ndexed by the tetragonal ThCr 2Si2-type structure, indi-\ncating that the samples are almost single phase. The lat-\ntice parameters are a=0.3886 nm and c=1.1714 nm for\nthe sample CaFe 2As2and a=0.3829 nm and c=1.1862\nnm for the sample Ca0.5Na0.5Fe2As2, respectively. It\nindicates that Na doping leads to an apparent decrease\nin a-axis lattice and an increase in c-axis lattice. This\nresult is similar to Ba1−xKxFe2As2[5].\nFigure 6 shows temperature dependence of resis-\ntivity for polycrystalline samples: CaFe 2As2and\nCa0.5Na0.5Fe2As2. Polycrystalline parent compound\nshows similar behavior to that of corresponding single\ncrystal. Similar temperature-linear dependent resistiv-\nity is observed above the anomaly temperature. The\nanomaly for increase in resistivity for polycrystalline\nsample is much weak relative to that observed in sin-\ngle crystal. Compared to the single crystal, the transi-\ntion temperature in polycrystalline sample is about 10 K\nhigher. AsshowninFig.6,noanomalyinresistivityisob-\nserved and a superconducting transition at ∼20 K shows/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48\n/s32/s32\n/s32/s84/s40/s75/s41/s40 /s109 /s32/s99/s109 /s41\nFIG. 6: Temperature dependence of resistivity for poly-\ncrystalline samples: CaFe 2As2andCa0.5Na0.5Fe2As2,\nrespectively. The anomaly is completely suppressed and a\nsuperconductivity at about 20 K is observed.\nup in sodium doped sample Ca0.5Na0.5Fe2As2. It sug-\ngests that partial substitution of Na for Ca induces hole-\ntype carrier into system, and leads to the suppression of\nstructural and SDW instabilities and induces supercon-\nductivity in Ca0.5Na0.5Fe2As2. Such behavior is consis-\ntentwith thatreportedinelectron-doped RFeAsO 1−xFx\n(R=rare earth) system[1, 9, 10, 18] and hole-doped\nM1−xKxFe2As2(M=Ba and Sr) system[5, 7, 11, 12].\nIn summary, we systematically study the anisotropy\nof resistivity and susceptibility in high-quality single\ncrystal of parent compound CaFe2As2. The resistiv-\nity anisotropy ( ρc/ρab) is about 50, and small relative to\nBaFe2As2due tostrongercouplingbetween the Calayer\nand FeAs layer than that between the Ba layer and FeAs\nlayer. An apparentcontractionalongc-axisofabout 0.13\nnm is observed. Temperature independent resistivity in-\ndicates that the transport in ab plane and along c-axis\ndirection shares the same scattering mechanism. The\nsusceptibility behavior is very similar to that of anti-\nferroamgnetic SDW pure chromium and BaFe2As2. In\nsharp contrast to the case of other parent compounds\nROFeAs (R=rareearth)and MFe2As2(M=BaandSr),\nSDW ordering (or structural transition) leads to a steep\nincrease of resistivity. Such different resistivity response\nto SDW ordering is helpful to understand the role played\nby SDW ordering in Fe-based high- Tcsuperconductors.\nPartial substitution of Na for Ca induces hole-type car-\nrier into system, leading to the suppression of structural\nand SDW instabilities and induces superconductivity at\n∼20 K inCa0.5Na0.5Fe2As2.\nAcknowledgment: This work is supported by the\nNature Science Foundation of China and by the Min-5\nistryofScienceandTechnologyofChina(973projectNo:\n2006CB601001)andbyNationalBasicResearchProgram\nof China (2006CB922005).\n∗Corresponding author; Electronic address:\nchenxh@ustc.edu.cn\n[1] Y. Kamihara et al., J. Am. Chem. Sco. 130, 3296(2008).\n[2] X. H. Chen et al., Nature 453, 761(2008).\n[3] G. F. Chen et al., Phys. Rev. Lett. (in press) (2008).\n[18] Z. A. Ren et al., arXiv:0803.4283v1(2008).\n[5] M. Rotter, M. Tegel and D. Johrendt,\narXiv:0805.4630v1(2008).[6] C. Cruz et al., arXiv:0804.0795(2008).\n[7] G. Wu et al., arXiv:0806.2452(2008).\n[8] Q. Huang et al., arXiv:0806.2776(2008).\n[9] R. H. Liu et al., arXiv:0804.2105(2008).\n[10] J. Dong et al., arXiv:0803.3426(2008).\n[11] G. F. Chen et al., arXiv:0806.1209(2008).\n[12] K. Sasmal et al., arXiv:0806.1301(2008).\n[13] M. Rotter et al., arXiv:0805.4021(2008).\n[14] M. Pfisterer and G. Nagorsen, Z. Naturforsch. B: Chem.\nSci.35, 703 (1980).\n[15] G. M. Sheldrick, SHELX-97, Program for X-ray Crystal\nStructureSolutionandRefinement.G¨ ottingen University\nGermany (1997).\n[16] E. Fawcett et al., Rev. Mod. Phys. 61, 25(1994).\n[17] M. A. McGuire et al., arXiv:0804.0796(2008).\n[18] Z. Ren et al., arXiv:0806.2591(2008)." }, { "title": "1903.04822v1.Single_crystal_growth_and_extremely_high_H_c2_of_12442_type_Fe_based_superconductor_KCa_2Fe_4As_4F_2.pdf", "content": "arXiv:1903.04822v1 [cond-mat.supr-con] 12 Mar 2019Single-crystal growth and extremely high Hc2of 12442-type Fe-based superconductor\nKCa2Fe4As4F2\nTeng Wang,1,2,3Jianan Chu,1,2,4Hua Jin,1,2Jiaxin Feng,1,2,4Lingling Wang,1Yekai Song,1,2,4Chi Zhang,1,2,4\nWei Li,5,6Zhuojun Li,1,2Tao Hu,1,2Da Jiang,1,2Wei Peng,1,2Xiaosong Liu,1,2,3and Gang Mu1,2,∗\n1State Key Laboratory of Functional Materials for Informati cs,\nShanghai Institute of Microsystem and Information Technol ogy,\nChinese Academy of Sciences, Shanghai 200050, China\n2CAS Center for Excellence in Superconducting Electronics( CENSE), Shanghai 200050, China\n3School of Physical Science and Technology, ShanghaiTech Un iversity, Shanghai 201210, China\n4University of Chinese Academy of Sciences, Beijing 100049, China\n5State Key Laboratory of Surface Physics and Department of Ph ysics, Fudan University, Shanghai 200433, China\n6Collaborative Innovation Center of Advanced Microstructu res, Nanjing 210093, China\nMillimeter sized single crystals of KCa 2Fe4As4F2were grown using a self-flux method. The\nchemical compositions and crystal structure were characte rized carefully. Superconductivity with\nthe critical transition Tc= 33.5 K was confirmed by both the resistivity and magnetic sus ceptibil-\nity measurements. Moreover, the upper critical field Hc2was studied by the resistivity measure-\nments under different magnetic fields. A rather steep increas e for the in-plane Hab\nc2with cooling,\ndµ0Hab\nc2/dT|Tc= -50.9 T/K, was observed, indicating an extremely high uppe r critical field. Possi-\nble origins for this behavior were discussed. The findings in our work is a great promotion both for\nunderstanding the physical properties and applications of 12442-type Fe-based superconductors.\nI. INTRODUCTION\nThe Fe-based superconductors (FeSCs) [1] share at\nleast one common feature with the cuprate supercon-\nductors [2]: the crystal structure consists of insulating\nlayersserving as the carrier reservoirand conducting lay-\ners which are the key section for the superconductivity.\nMeanwhile, the subtle difference is also noticed by the\nmaterial scientists. For the cuprates, superconductivity\ncan be observed in systems with monolayers [2, 3], bilay-\ners [4], trilayers [5], and infinite layers [6] between two\nneighboring insulating layers. More importantly, typi-\ncally the bilayered and trilayered materials have a clear\nhigher critical transition temperature Tcthan monolay-\nered ones [5]. For the FeSCs, however, only monolayered\n(e.g. 1111 system and 21311 system) [1, 7] and infinite-\nlayered systems (e.g. 11 system and 122 system) [8, 9]\nwere discovered for a rather long time. Recently, by the\nintergrowth of 1111- and 122-type FeSCs, a series of bi-\nlayered compounds AB 2Fe4As4C2(A = K, Rb, Cs; B\n= Ca, Nd, Sm, Gd, Tb, Dy, Ho; C = F, O) were re-\nported with Tc=28-37 K [10–14]. This 12442 system\nblaze a new trail to explore materials with higher Tcand\npossible new physical manifestations of FeSCs. For ex-\nample, the breaking of the S 4symmetry in the crystal\nlattice leads to a more complicated band structure with\nten Fermi surfaces [15].\nThe high-quality single crystals are essential to inves-\ntigating the intrinsic properties of this system. Up to\nnow, most of the work on this system were carried out\nbased on the polycrystalline samples [16–19], although\nthe CsCa 2Fe4As4F2single crystals have been grown and\nthegapstructurewasstudiedbyheattransportandlower\ncritical field measurements [20, 21]. The KCa 2Fe4As4F2compound with a stronger interlayer coupling within a\nFeAs-K/Cs-FeAs block possesses a higher Tc[10] and\nmoreluxuriantpropertiescanbe expected. Inthis paper,\nwith the successful growth of high-quality single crystals\nof KCa 2Fe4As4F2, we really observed the unusual steep\nincrease of the upper critical field with cooling, suggest-\ning a very robust superconductivity against the external\nmagnetic field near Tc. Understanding the mechanism\nof such an extraordinary behavior is a severe challenge\nand will promote the progress of this field. Moreover,\nthe present finding may have potential values in the ap-\nplications under high fields.\nII. EXPERIMENTAL\nSingle crystals of KCa 2Fe4As4F2were grown using\nKAs as the self flux. The raw materials are K chunk\n(purity 99%), Ca granules (purity 99.5%), Fe powders\n(purity 99+%), As grains (purity 99.9999%) and CaF 2\npowders (purity 99.95%). KAs was synthesized with sto-\nichiometric ratio of K and As in the alumina crucible,\nwhich was loaded into a stainless steel pipe container [22]\nand heated at 650oC for 10 hours. Precursors CaAs and\nFe2As were synthesized via solid-state reactions in evac-\nuated quartz tubes by heating the mixed reagents at 700\noC and 750oC for 12 hours, respectively. These precur-\nsors and CaF 2were mixed in an appropriate ratio with\nexcess 15 times KAs as the flux. We found that the crys-\ntals of 122 system KFe 2As2was veryeasy to be produced\nif the stoichiometric ratiowasused. Thus we added addi-\ntional amounts of 100% CaAs and 50% CaF 2to restrain\nthe formation of KFe 2As2. The mixtures were put into\nan alumina crucible, subsequently sealed in a stainless2\n/s40/s97/s41\n/s40/s99/s41/s40/s98/s41\nFIG. 1: (color online) (a)-(b) The surface pictures of the si n-\ngle crystal taken with the optical microscope and SEM, re-\nspectively. (c) The EDS microanalysis spectrum taken on the\nsurface of the sample.\nsteel pipe [22]. The whole preparation process was car-\nried out in a glove box filled with argon. Finally, the\nstainless steel pipe was placed into a preheated furnace\nto further suppress the formation of KFe 2As2. The ma-\nterials were heated at 980oC for 20 hours, followed by a\nslow cooling procedure to 900oC with a rate of 1.6oC/h.\nThen the pipe was removed from furnace to cool down\nrapidly to room temperature. The KCa 2Fe4As4F2single\ncrystals could be separated by washing away KAs flux\nwith deionized water.\nThemicrostructurewasexaminedbyscanningelectron\nmicroscopy (SEM, Zeiss Supra55). The composition of\nthe single crystals waschecked and determined by energy\ndispersivex-rayspectroscopy(EDS) measurementson an\nBruker device with the model Quantax200. The crys-\ntal structure and lattice constants of the materials were\nexamined by a DX-2700 type powder x-ray diffractome-\nter using Cu K αradiation. The electrical resistivity was\nmeasured on the physical property measurement system\n(Quantum Design, PPMS). The magnetic susceptibility\nmeasurement was carried out on the magnetic property\nmeasurement system (Quantum Design, MPMS 3) with\nthe magnetic field oriented parallel to the ab-plane of the\nsamples.\nIII. RESULTS AND DISCUSSION\nThe morphology of the single crystals was examined\nby the optical microscope and the scanning electron mi-TABLE I: Compositions of the KCa 2Fe4As4F2single crystal\ncharacterized by EDS measurements.\nElement Weight Atomic Error(3 σ)\n(wt.%) (at.%) (%)\nK 5.48 7.34 0.56\nCa 12.97 16.96 1.17\nFe 33.13 31.09 2.61\nAs 43.20 30.22 3.71\nF 5.22 14.40 2.16\n/s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48 /s56/s48 /s57/s48/s48/s49/s120/s49/s48/s52/s50/s120/s49/s48/s52/s51/s120/s49/s48/s52/s52/s120/s49/s48/s52/s53/s120/s49/s48/s52\n/s48/s48/s50/s56/s48/s48/s50/s54/s48/s48/s50/s52/s48/s48/s50/s50/s48/s48/s50/s48/s48/s48/s49/s56/s48/s48/s49/s54/s48/s48/s49/s48\n/s48/s48/s49/s52/s48/s48/s49/s50/s48/s48/s56/s48/s48/s54/s73/s110/s116/s101/s110/s115/s105/s116/s121/s32/s40/s67/s111/s117/s110/s116/s115/s41\n/s50 /s32/s40/s100/s101/s103/s41/s48/s48/s52\nFIG. 2: XRD patterns of KCa 2Fe4As4F2single crystal.\ncroscopy, which are shown in Figs. 1(a) and (b) respec-\ntively. The surface observed from the optical microscope\nis shining. The SEM picture shows the clean and flat\nsurface. The typical crystal size was found to be as large\nas 1 mm ×0.8 mm×0.06 mm. The composition of the\ncrystals was examined by the EDS analysis and the typi-\ncal spectrum is shown in the Fig. 1(c). The result of the\ncomposition analysis is shown in table I. The ratio of K\n: Ca : Fe : As : F is 0.94 : 2.18 : 4 : 3.89 : 1.85, which\nis close to the the expected 1 : 2 : 4 : 4 : 2.\nThe structure of the crystals was checked by the x-ray\ndiffraction (XRD) measurement, where the x-ray was in-\ncident on the ab-plane of the crystal. The diffraction\npatterns are shown in Fig. 2. All the diffraction peaks\ncan be indexed to the 12442compound with a tetragonal\nstructure. Only sharppeaksalong(00 2 l)orientationcan\nbe observed, suggesting a high c-axis orientation. The\nfull width at half maximum (FWHM) of the diffraction\npeaks is only about 0.10◦after deducting the Kα2contri-\nbution, indicating a rather fine crystalline quality. The\nc-axis lattice constant was obtained to be 30.991 ˚A by\nanalyzing the diffraction data, which is consistent with\nthe previous report on the polycrystalline samples [10].\nTemperature dependence of resistivity in the temper-\nature range from 0 to 300 K for is shown in Fig. 3(a).\nTheρ−Tcurve shows a clear negative curvature in a\nwide temperature region before entering the supercon-\nducting states, which is similar with that observed in3\n/s53 /s49/s48 /s49/s53 /s50/s48 /s50/s53 /s51/s48 /s51/s53 /s52/s48/s48/s46/s48/s48/s48/s46/s48/s53/s48/s46/s49/s48/s48/s46/s49/s53/s48/s46/s50/s48/s48/s46/s50/s53/s48/s46/s51/s48\n/s32/s90/s70/s67\n/s32/s70/s67\n/s84/s32/s40/s75/s41/s32/s40/s109 /s32/s99/s109/s41\n/s45/s49/s46/s48/s45/s48/s46/s56/s45/s48/s46/s54/s45/s48/s46/s52/s45/s48/s46/s50/s48/s46/s48/s48/s46/s50\n/s32/s48 /s49/s48/s48 /s50/s48/s48 /s51/s48/s48/s48/s46/s48/s48/s46/s53/s49/s46/s48/s49/s46/s53/s32/s40/s109 /s32/s99/s109/s41\n/s84/s32/s40/s75/s41/s40/s97/s41\n/s40/s98/s41\nFIG. 3: (a) Temperature dependence of resistivity measured\nin a wide temperature range 0 - 300 K under zero magnetic\nfield. (b) The magnetic susceptibility measured in zero-fiel d-\ncooled (ZFC) and field cooled (FC) models and the resistivity\ndata in the low temperature range near the superconducting\ntransition.\nCsCa2Fe4As4F2[20] and seems to be a common fea-\nture for the hole-doped FeSCs [23, 24]. The onset of\nthe superconducting transition appears at about 33.5 K,\nwhereas the zero resistivity is reached at about 32.5 K.\nThe dc magnetic susceptibility for the same sample was\nmeasured under a magnetic field of 1 Oe in zero-field-\ncooling and field-cooling processes, which is presented in\nFig. 3(b) with temperature between 0 and 40 K. In or-\nder to minimize the effect of the demagnetization, the\nmagnetic field was applied parallel to the ab-plane of the\ncrystal. The absolute value of magnetic susceptibility χ\nis about 101%, indicated a high superconducting volume\nfraction of our samples. An enlarged ρ−Tcurve is also\nshown in figure Fig. 3(b) in order to have a compari-\nson with susceptibility curve expediently. Both the ρ−T\nandχ−Tcurves display a rather sharp superconduct-\ning transition, indicating the high quality of our samples.\nThe onset transition temperature revealed by the χ−T\ncurveisroughlycorrespondingtothezeroresistivitytem-\nperature, which is rather reasonable and common for the\ncompound superconductors.In order to study the upper critical field Hc2and irre-\nversible field Hirr, we perform the measurements of tem-\nperature dependent electronic resistivity with the mag-\nnetic field along two different orientations. As shown in\nFigs. 4(a) and (b), the SC transition point shifts to lower\ntemperature with the increase of the magnetic field for\nboth the orientations: H/bardblcandH/bardblab. In all the mea-\nsurements, the current was applied with the abplane and\nalways perpendicular to the magnetic field. It is worthy\nto note that the SC transitionfor the orientationof H/bardblc\nshifts much quickerthan that of H/bardblabbycomparingthe\ntwo sets of data. Remarkably, the superconductivity is\nvery robust against the in-plane field: a magnetic field\nas high as 9 T reduces the superconducting transition\nmerely about 0.2 K and 1 K if we check the onset point\nandzero-resistivitypointrespectively. Quantitatively,we\nuse the criteria 90% ρnand 10% ρnto determine the val-\nues ofHc2andHirrrespectively. The temperature de-\npendence of Hc2andHirris shown in the inset of Fig.\n4(b) for both the two orientations. The vortex-liquid re-\ngions between Hc2andHirrfor the orientation H/bardblcis\nmuch larger than that with H/bardblab, in accordance with\nthe two-dimensional feature of this material where the\npancake-like and Josephson-like vortices will form in the\ntwo cases respectively [25].\nThe most important issue is about the upper critical\nfieldHc2. We deduced the slope of the tangent of the\nHc2−Tcurves (as shown in the inset of Fig. 4(b)) near\nTc,dµ0Hc2/dT|Tc. We obtained an unexpectedly high\nvalue for the H/bardblabcase,dµ0Hab\nc2/dT|Tc= -50.9 T/K.\nForH/bardblc, the slope is -6.4 T/K which is also large, al-\nthough not so conspicuous as the in-plane case. These\ntwo values give an estimation for the anisotropy of about\n8 nearTc. To have a concise impression, we have sum-\nmarized the results of different FeSC systems in Table II.\nSimilarcriteria(90%or95% ρn) wereadoptedin these re-\nports [20, 26–28], which facilitates the comparison with\nour results. One can see that, for the in-plane Hab\nc2, a\nslope around -10 T/K is a typical value for various sys-\ntems of FeSCs including the 1111 system, 122 system,\n1144 system, etc. In the 12442 system CsCa 2Fe4As4F2,\nan abnormally steep slope of about -18.2 T/K has been\nreported previously, which revealed preliminarily the\npeculiarity of this bilayered FeSC system. Now with\nour result, a slope of -50.9 T/K in the KCa 2Fe4As4F2\nsystem further highlights this tendency. Applying\nthe Werthamer-Helfand-Hohenberg (WHH) relation[29]\nµ0Hc2(0) =−0.693dµ0Hc2(T)/dT|TcTc, we get a vary\nhigh value, about 1180 T, for the in-plane upper critical\nfield at zero temperature µ0Hab\nc2(0). Obviously this value\nis very impressive, although the WHH relation may over-\nestimated the µ0Hab\nc2(0) in such a multi-band material.\nIt is difficult to see through the hidden physical\nmechanism for such an observation at the present\nstage. Nevertheless, comparing the two 12442 cousins,\nCsCa2Fe4As4F2and KCa 2Fe4As4F2, can still sup-4\n/s50/s52 /s50/s54 /s50/s56 /s51/s48 /s51/s50 /s51/s52/s48/s50/s52/s54/s56/s49/s48\n/s50/s48 /s50/s53 /s51/s48 /s51/s53/s48/s46/s48/s48/s48/s46/s48/s53/s48/s46/s49/s48/s48/s46/s49/s53/s48/s46/s50/s48/s48/s46/s50/s53/s48/s46/s48/s48/s48/s46/s48/s53/s48/s46/s49/s48/s48/s46/s49/s53/s48/s46/s50/s48/s48/s46/s50/s53\n/s48/s72\n/s99/s50/s32/s40/s84/s41\n/s84/s32/s40/s75/s41/s32/s72/s99\n/s99/s50\n/s32/s72/s97/s98\n/s99/s50\n/s32/s72/s99\n/s105/s114/s114\n/s32/s72/s97/s98\n/s105/s114/s114/s32/s40/s109 /s32/s99/s109/s41\n/s84/s32/s40/s75/s41/s72/s47/s47/s97/s98/s32/s40/s109 /s32/s99/s109/s41/s32/s48/s84\n/s32/s49/s84/s32/s32 /s32/s54/s84\n/s32/s50/s84/s32/s32 /s32/s55/s84\n/s32/s51/s84/s32/s32 /s32/s56/s84\n/s32/s52/s84/s32/s32 /s32/s57/s84\n/s32/s53/s84/s72/s47/s47/s99/s40/s97/s41\n/s40/s98/s41\nFIG. 4: (a)-(b) The electronic resistivity as a function of t em-\nperature under the magnetic field up to 9 T with H//cand\nH//ab, respectively. The inset of (b) shows the upper critical\nfieldsHc2and irreversible field Hirras a function of temper-\nature for two different orientations.\nTABLE II: Slope of the upper critical fields with temperature\nfor different systems of FeSCs.\nMaterialsdµ0Hab\nc2\ndT|Tcdµ0Hc\nc2\ndT|TcRef.\n(T/K) (T/K)\nNdFeAsO 0.82F0.18-9 -2.09 [26]\nBa0.6K0.4Fe2As2-9.35 -5.49 [27]\nCaKFe 4As4-10.9 -4.4 [28]\nCsCa2Fe4As4F2-18.2 -2.9 [20]\nKCa2Fe4As4F2-50.9 -6.4 This work\nply us some clues. The value of dµ0Hab\nc2/dT|Tcfor\nKCa2Fe4As4F2is about three times as much as that for\nCsCa2Fe4As4F2. Due to the smaller ionic radius of K+\ncompared with that of Cs+, the distance between the\ntwo adjacent FeAs layers separated by the alkali met-\nals should be shorter for KCa 2Fe4As4F2, leading to a\nstronger interlayer coupling. It is exactly this factor\nthat give rise to the enhancement of the superconduct-\ning transition temperature Tc. Thus, it is rather natu-\nral and reasonable to speculate that the interlayer cou-\npling within a bilayer FeAs-K/Cs-FeAs block is very cru-cial for the abnormally steep slope of dµ0Hab\nc2/dT|Tc. Of\ncourse, this needs the verifications of further investiga-\ntions in the future. Another clue stems from the com-\nparison with other FeSC systems. As can be seen in Ta-\nble II, the out-of-planeslope dµ0Hc\nc2/dT|Tcof122system\nBa0.6K0.4Fe2As2[27] is also rather high and only slightly\nlower than that of KCa 2Fe4As4F2. It seems that it is the\nsmallanisotropythatrestrainsthefurthergreatenhance-\nment of the in-plane slope in 122 system. According to\nthis idea, the present 12442system reservesthe high out-\nof-plane value of the hole-doped 122 system, and mean-\nwhile achieves a high anisotropy due to the intergrowth\nwith the 1111component. This may explain phenomeno-\nlogically the possible origin of our observations. Besides,\nbut not less important, the present system also have a\ngood potential for the applications in high field because\nof the rather high in-plane irreversible field Hab\nirr.\nIV. CONCLUSIONS\nIn this work, millimeter sized single crystals of\nKCa2Fe4As4F2, a bilayered FeSC resembling the famous\nBi-2212 system, were successfully grown by a self-flux\nmethod. The chemical compositions, crystal structure,\nresistivity, and magnetization susceptibility were investi-\ngated systematically. The Tcof the single crystals was\nconfirmed to be about 33.5 K by both the resistivity\nand magnetic susceptibility. The most important, it is\nfound that the slope of the Hab\nc2−Tcurve adjoining Tc\nis very large, indicating an extremely high upper criti-\ncal field in this system. Our findings demonstrate that\nthe KCa 2Fe4As4F2material has significant values in the\nsuperconducting fundamental research and applications.\nAcknowledgments\nThis work is supported by the Natural Science Foun-\ndation of China (No. 11204338), the “Strategic Priority\nResearch Program (B)” of the Chinese Academy of Sci-\nences (No. XDB04040300 and XDB04030000) and the\nYouth Innovation Promotion Association of the Chinese\nAcademy of Sciences (No. 2015187).\n∗mugang@mail.sim.ac.cn\n[1] Y. Kamihara, T. Watanabe, M. Hirano, and H. Hosono,\nIron-Based Layered Superconductor La[O 1−xFx]FeAs (x\n= 0.05-0.12) with Tc= 26 K, J. Am. Chem. Soc. 130,\n3296 (2008).\n[2] J. G. Bednorz and K. A. M¨ uller, Possible high Tcsuper-\nconductivity in the Ba-La-Cu-O system, Z. Phys. B 64,\n189 (1986).5\n[3] C. Michel, M. Hervieu, M. M. Borel, A. Grandin, F. Des-\nlandes, J. Provost, and B. Raveau, Superconductivity in\nthe Bi-Sr-Cu-O system, Z. Phys. B 68, 421 (1987).\n[4] H. Maeda, Y. Tanaka, M. Fukutomi, and T. Asano, A\nNew High- TcOxide Superconductor without a Rare Earth\nElement, Jpn. J. Appl. Phys. 27, L209 (1988).\n[5] C. Rao, L. Ganapathi, R. Vijayaraghavan, G. Rao,\nK. Murthy, and R. Ram, Superconductivity in the\nBi2(Ca,Sr)n+1CunO2n+4(n=1, 2, or 3) series: Syn-\nthesis, characterization and mechanism, Physica C 156,\n827 (1988).\n[6] T. Siegrist, S. M. Zahurak, D. W. Murphy, and\nR. S. Roth, The parent structure of the layered high-\ntemperature superconductors, Nature334, 231 (1988).\n[7] X. Zhu, F. Han, G. Mu, P. Cheng, B. Shen, B. Zeng, and\nH.-H. Wen, Transition of stoichiometric Sr 2VO3FeAs to\na superconducting state at 37.2 K, Phys. Rev. B 79,\n220512 (2009).\n[8] F.-C. Hsu, J.-Y. Luo, K.-W. Yeh, T.-K. Chen, T.-\nW. Huang, P. M. Wu, Y.-C. Lee, Y.-L. Huang, Y.-Y.\nChu, D.-C. Yan, and M.-K. Wu, Superconductivity in the\nPbO-type structure α-FeSe,Natl. Acad. Sci. 105, 14262\n(2008).\n[9] M. Rotter, M. Tegel, and D. Johrendt, Superconductivity\nat 38 K in the Iron Arsenide (Ba1−xKx)Fe2As2,Phys.\nRev. Lett. 101, 107006 (2008).\n[10] Z.-C. Wang, C.-Y. He, S.-Q. Wu, Z.-T. Tang, Y. Liu,\nA. Ablimit, C.-M. Feng, and G.-H. Cao, Superconduc-\ntivity in KCa2Fe4As4F2with Separate Double Fe2As2\nLayers,J. Am. Chem. Soc. 138, 7856 (2016).\n[11] Z. Wang, C. He, Z. Tang, S. Wu, and G. Cao, Crys-\ntal structure and superconductivity at about 30 K in\nACa2Fe4As4F2(A = Rb, Cs), Sci. China Mater. 60,\n83 (2017).\n[12] Z.-C. Wang, C.-Y. He, S.-Q. Wu, Z.-T. Tang, Y. Liu,\nA. Ablimit, Q. Tao, C.-M. Feng, Z.-A. Xu, and G.-\nH. Cao, Superconductivity at 35 K by self doping in\nRbGd 2Fe4As4O2,J. Phys.: Condens. Matt. 29, 11LT01\n(2017).\n[13] Z.-C. Wang, C.-Y. He, S.-Q. Wu, Z.-T. Tang, Y. Liu,\nand G.-H. Cao, Synthesis, Crystal Structure and Super-\nconductivity in RbLn 2Fe4As4O2(Ln = Sm, Tb, Dy, and\nHo),Chem. Mater. 29, 1805 (2017).\n[14] S.-Q. Wu, Z.-C. Wang, C.-Y. He, Z.-T. Tang, Y. Liu,\nand G.-H. Cao, Superconductivity at 33-37 K in\nALnCa 2Fe4As4O2(A = K and Cs; Ln=lanthanides),\nPhys. Rev. Materials 1, 044804 (2017).\n[15] G. Wang, Z. Wang, and X. Shi, Self-hole-doping–induced\nsuperconductivity in KCa2Fe4As4F2,Europhys. Lett.\n116, 37003 (2016).\n[16] J. Ishida, S. Iimura, and H. Hosono, Effects of disorder\non the intrinsically hole-doped iron-based superconducto r\nKCa2Fe4As4F2by cobalt substitution, Phys. Rev. B 96,\n174522 (2017).\n[17] F. K. K. Kirschner, D. T. Adroja, Z.-C. Wang, F. Lang,\nM. Smidman, P. J. Baker, G.-H. Cao, and S. J.\nBlundell, Two-gap superconductivity with line nodes inCsCa2Fe4As4F2,Phys. Rev. B 97, 060506 (2018).\n[18] D. T. Adroja, F. K. K. Kirschner, F. Lang, M. Smidman,\nA. D. Hillier, Z.-C. Wang, G.-H. Cao, G. B. G. Sten-\nning, and S. J. Blundell, Multigap Superconductivity in\nRbCa 2Fe4As4F2Investigated Using µSR Measurements,\nJ. Phys. Soc. Jpn. 87, 124705 (2018).\n[19] B. Wang, Z.-C. Wang, K. Ishigaki, K. Matsubayashi,\nT. Eto, J. Sun, J.-G. Cheng, G.-H. Cao, and Y. Uwatoko,\nPressure-induced enhancement of superconductivity and\nquantum criticality in the 12442-type hybrid-structure su -\nperconductor KCa2Fe4As4F2,Phys. Rev. B 99, 014501\n(2019).\n[20] Z. C. Wang, Y. Liu, S. Q. Wu, Y. T. Shao, Z. Ren, and\nG. H. Cao, Giant anisotropy in superconducting single\ncrystals of CsCa 2Fe4As4F2,arXiv: , 1811.05706 (2018).\n[21] Y. Y. Huang, Z. C. Wang, Y. J. Yu, J. M. Ni, Q. Li,\nE. J. Cheng, G. H. Cao, and S. Y. Li, Multigap node-\nless superconductivity in CsCa 2Fe4As4F2probed by heat\ntransport, Phys. Rev. B 99, 020502 (2019).\n[22] K. Kihou, T. Saito, S. Ishida, M. Nakajima, Y. Tomioka,\nH. Fukazawa, Y. Kohori, T. Ito, S.-i. Uchida, A. Iyo, C.-\nH. Lee, and H. Eisaki, Single Crystal Growth and Char-\nacterization of the Iron-Based Superconductor KFe2As2\nSynthesized by KAs Flux Method, J. Phys. Soc. Jpn. 79,\n124713 (2010).\n[23] G. Mu, B. Zeng, X. Zhu, F. Han, P. Cheng, B. Shen,\nandH.-H.Wen, Synthesis, structural, and transport prop-\nerties of the hole-doped superconductor Pr 1−xSrxFeAsO,\nPhys. Rev. B 79, 104501 (2009).\n[24] G. Mu, H. Luo, Z. Wang, L. Shan, C. Ren, and H.-\nH. Wen, Low temperature specific heat of the hole-doped\nBa0.6K0.4Fe2As2single crystals, Phys.Rev. B 79, 174501\n(2009).\n[25] G. Blatter, M. V. Feigel’man, V. B. Geshkenbein, A. I.\nLarkin, and V. M. Vinokur, Vortices in high-temperature\nsuperconductors, Rev. Mod. Phys. 66, 1125 (1994).\n[26] Y. Jia, P. Cheng, L. Fang, H. Luo, H. Yang, C. Ren,\nL. Shan, C. Gu, and H.-H. Wen, Critical fields and\nanisotropy of NdFeAsO 0.82F0.18single crystals, Applied\nPhysics Letters 93, 032503 (2008).\n[27] Z.-S. Wang, H.-Q. Luo, C. Ren, and H.-H. Wen, Up-\nper critical field, anisotropy, and superconducting prop-\nerties of Ba 1−xKxFe2As2single crystals, Phys. Rev. B\n78, 140501 (2008).\n[28] W. R. Meier, T. Kong, U. S. Kaluarachchi, V. Taufour,\nN. H. Jo, G. Drachuck, A. E. B¨ ohmer, S. M. Saun-\nders, A. Sapkota, A. Kreyssig, M. A. Tanatar, R. Pro-\nzorov, A. I. Goldman, F. F. Balakirev, A. Gurevich,\nS. L. Bud’ko, and P. C. Canfield, Anisotropic thermo-\ndynamic and transport properties of single-crystalline\nCaKFe 4As4,Phys. Rev. B 94, 064501 (2016).\n[29] N.R.Werthamer, E.Helfand,andP.C.Hohenberg, Tem-\nperature and Purity Dependence of the Superconducting\nCritical Field, Hc2. III. Electron Spin and Spin-Orbit Ef-\nfects,Phys. Rev. 147, 295 (1966)." }, { "title": "2102.00716v1.Real_time_Hall_effect_detection_of_current_induced_magnetization_dynamics_in_ferrimagnets.pdf", "content": "1 \n Real -time Hall-effect detection of current -induced magnetization dynamics \nin ferrimagnets \nG. Sala1*, V. Krizakova1, E. Grimaldi1, C.-H. Lambert1, T. Devolder2, and P. Gambardella1* \n1Department o f Materials, ETH Zurich, 8093 Zu rich, Switzerland \n2Centre de Nanosciences et de Nanotechnologies, CNRS, Universit é Paris -Sud, Universit é Paris -Saclay, \n91405 Orsay Cedex, France \n*email : giacomo.sala@mat.ethz.ch ; pietro.gambardella@mat.ethz.ch \n \nABSTRACT \nMeasurements of the transverse Hall resistance are widely used to investigate electron transport, \nmagnetization phenomena, and topological quantum states. Owing to the difficulty of probing transient \nchanges of the transverse resistance, the vast majority of Hall effect experiments are carried out in \nstationary conditions using either dc or ac currents. Here we present an approach to perform time -\nresolved measurements of the transient Hall resistance during current -pulse injection with sub-\nnanosecond temporal resolution. We apply this technique to investigate in real -time the magnetization \nreversal caused by spin -orbit torques in ferrimagnetic GdFeCo dots. Single -shot Hall effect \nmeasurements show that the current -induced switching of GdFeCo is widely distributed in time and \ncharacterized by significant activation delays , which limit the total switching speed d espite the high \ndomain -wall velocity typical of ferrimagnets. Our method applies to a broad range of current -induced \nphenomena and can be combined with non -electrical excitations to perform pump -probe Hall effect \nmeasurements. \n 2 \n INTRODUCTION \nThe broad family of Hall effects includes phenomena of ordinary, anomalous1, planar2,3, \ntopological4,5, and quantum6–8 origin. These effects have become standard tools for benchmarking the \nphysics of metallic, semiconducting, and topological materials as well as the func tionality of electronic \nand spintronic devices. The anomalous Hall effect (AHE), for example, allows for probing the \nemergence of magnetic ally-ordered phases1,9–11, field-12 and current -induced magnetization reversal13–\n15, domain wall motion16, and spin -orbit torques (SOTs) 17–19. Measurements of the transverse resistance \nalso provide insight into magnetoresistive phenomena, such as the planar Hall effect and spin Hall \nmagnetoresistance, which can be used to track the response of antiferromagnets and magnetic insulators \nto applied magnetic fields, currents, and heat20–22. Extending these measurements to the time domain \nwould enable access to the dynamics of a vast range of electronic and magnetic systems . As is well -\nknown, the ordinary and planar Hall effects are widely employed in sensors for the detection of magnetic \nfields and microbeads23–25, and have a frequency bandwidth extending up to several GHz (Refs. 26,27). \nHowever, there are only few examples of time-resolved (\ns-ns) measurements of the magnetization \ndynamics using the Hall effect , which are limited to observations of laser -induced heating28 and the \ntransit of domain walls29,30. \nHere , we present an all-electrical technique suitable for systematic real-time measurement s of \nany kind of transverse magneto resist ance in devices with current flowing in -plane. The key idea consists \nin disentangling the tiny magnetic Hall signal from the large non -magnetic background by minimiz ing \nthe current leakage in the sensing arms of the Hall cross. This approach, which relies on the counter -\npropagation of electric pulses , is well adapted for radio -frequenc ies and proves particularly useful for \nfast excitations, e.g., ns - and sub -ns-long pulses. We demonstrate the capability of this technique by \nstudying the magnetization dynamics triggered by SOTs17 in ferr imagnetic GdFeCo dots patterned over \na Pt Hall bar. In our detection scheme, the ns -long pulses do not only generate the pe rturbation on the \nmagnetization but also serve as the tool for tracking the magnetic response, including single -shot \nswitching events. This capability opens up the possibility of performing systematic time -resolved Hall 3 \n measurements of current -induced excitations in a broad variety of planar devices and provides access to \nstochastic events. \n Ferrimagnets have recently attracted considerable attention due to the enhanced SOT \nefficiency31–33 and the extraordinary high current -induced domain -wall velocity34–36 attained, \nrespectively, at the magnetization and angular -momentum compensation points . These properties make \nthem promising candidates for the re alization of fast and energy -efficient spintronic devices36. However, \nthe current -driven magnetization dynamics in these systems has been investigated only using magneto -\noptical pump -probe methods36,37, which do not provide information on stochastic events . Our time -\nresolved AHE measurements show that the reversal of the magnetization in GdF eCo evolves in different \nphases , which compris e an initial quiescent state, the fast reversal of the magnetization, and the \nsubsequent settling in the new equilibrium state without ringing effects . Despite the high domain -wall \nvelocity attained by ferrimagnets, we find that the total switching time is severely affected by an initial \nactivation phase, during which the magnetization remains quiescent . We associate this phase, which has \nnot been reported so far in ferrimagnets , with the time required to nucleat e a reversed domain assisted \nby Joule heating . The single -shot AHE traces reveal the existence of broad distribution s of the nucleation \nand reversal time s and disclos e the stochastic character of the SOT -induced dynamics , which is not \naccessible to pump -probe techniques. Our measurements further show that the domain nucleation time \ncan be substantially reduced by increasing the current amplitude, leading to a minimum of the critical \nswitching energy for pulses of reduced l ength. \nRESULTS \nTime -resolved anomalous -Hall-effect measurements . \nElectrical t ime-resolved measurements using the Hall effect , or any form of transverse magneto -\nresistance, suffer from the difficulty of generating a detectable Hall signal without spoiling the signal -\nto-noise ratio . The main obstacle is the current shunting into the sensing line of the Hall cross , caused \nby the finite electric potential at its center . When a pulse reaches the cross, a portion of the current flows \nthrough the transverse arms (along ±y in the top panel of Fig. 1 a), thus producing a spurious electric \npotential associated with the resistance of the leads. This potential is much larger than the signal of 4 \n magnetic origin and hinders its detection. A limitation remains e ven in differential measurements \nbecause the unavoidable asymmetry of the leads introduces a finite differential offset23 that can satura te \nthe dynamic range of the Hall voltage amplification stage. These problems do not exist i n standard dc \nmeasurements as the current leakage is countered by the high input impeda nce of the measuring \ninstrument . At high frequency, however, impedance matching requires a low resistance (50 Ohm) at the \ninput port of the instrument , usually an os cilloscope. \nThe approach that we introduce here consists in injecting two counte r-propagating rf pulses with \namplitude |𝑉P\n2| and opposite polarity , as depicted in the bottom panel of Fig. 1 a. Provided that these \npulses reach the center of the cross at the same time and have the same amplitude , a virtual gr ound is \nforced there. The virtual ground limits the spread of the current because the voltage drop on the entire \nsensing line (Hall arm, cable , and input impedance of the oscilloscope) is ideally zero. The synchrony \nof the two balanced pulses, generated by a balun power divider, is ensured by the symmetry of the paths \nconnecting the balun to the device, as schematized in Fig. 1b. Thanks to the opposite polarity of the \npulses, the current flows along the x direction, with double magnitude relative to the current produced \nby a single pulse of amplitude 𝑉P\n2, and sign determined by the polarity of the pulses. The current generates \ntime-dependent transverse Hall voltages , 𝑉+ and 𝑉−, which are pre -amplified and acquired by a sampling \noscilloscope triggered by an attenuated portion of the original pulse. If no change of the magnetization \noccurs during the pulses, the magnetic signal mimics the shape of the pulse. A deviation from this \nreference signal is the signature of ongoing magnetization dynamics. In the specific case discussed \nbelow, the tra nsverse voltage stems from the A HE and its change over time gives access to the out-of-\nplane component of the magnetization. We note that, in the more general situation of asymmetric Hall \ncrosses, our technique allows for compensating detrimental resistance offsets by tuning the relative \namplitude of the counter propagating pulse s. This capability is unique to our approach and cannot be \nimplemen ted in time-resolved differential Hall measurements30. We also remark that the main additional \ncomponent to the setup required by our approach is the balun divider, which is a simple and affordable \ncircuit element. More details about the electric circuit, including the rf and dc sub -networks, sensitivity, 5 \n resistance offsets compensation, and time-resolution are discussed in the Methods and in Supplementary \nNote s 1, 2, and 5 . \nSwitching dynamics of ferrimagnetic dots . \nWe adapted this concept to investigate the SOT -induced magnetization switching of 15-nm-thick, 1 -\nm-wide Gd 30Fe63Co7 dots with perpendicular magnetization , patterned on top of a 5 -nm-thick Pt Hall \nbar (see Fig. 1c,d, Methods , and Supplementary Note 3). The compensation temperature of the \nferrimagnetic dots is below room temperature, such that the net magnetization and AHE are dominated \nby the magnetic moments of Fe and Co. Therefore, in our room -temperature measurements the current -\ninduced switching in the presence of an in -plane static magnetic field has the same polarity as in \nperpendicularly -magnetized ferromagnets with a Pt underlayer14,17. Specifically , the parallel alignment \nof current and field favours the down state of the magnetization, whereas the antiparallel orientation \npromotes the up state, which correspond to negative and positive an omalous Hall resistance, \nrespectively. \nThe differential signal 𝑉+−𝑉− is determined by the magnetization orientation, which changes \nwith time during a switching event . Figure 2a shows the switching trace s obtained by measuring 𝑉+−\n𝑉− during the reversal of a GdFeCo dot for different pulse amplitudes. In order to minimize spurious \ncontribution s to the magnetic signal, a background signal was recorded by fixing the magnetization in \nthe initial state, either “up” or “down” , and subtracted from the data . The down -up and up -down \nswitching traces obtained by averaging over 1000 pulses are shown as red and blue lines, respectively. \nThe black lines represent a reference trace obtained by subtracting two background measurements \ncorresponding to the magnetizatio n pointing up and down. This reference trace describes the maximum \nexcursion of the Hall voltage during a current pulse (see Supplementary Note 4 for more details ). The \ndeviation of the switching traces from the top and bottom reference levels corresponds to the change of \nthe out-of-plane magnetization driven by the SOTs during the 20 -ns-long current pulse. Dividing the \nswitching traces by the corresponding reference trace provides the normalized magnetic time trace s \nshown in Fig. 2b -e. In these average measurements, the transition between the top and bottom reference \nlevels of the switching trace is sufficiently clear such that the normalization by the reference trace is not 6 \n strictly required. The latter, however, is important to highligh t the switching in single -shot \nmeasurements, which will be presented later on . \nThe measurements in Fig. 2 b-e allow us to electrically probe the time-resolved SOT -induced \ndynamics in planar devices , which so far has been achieved only by X-ray and magneto -optical \ntechniques36–39. We find that the switching dynamics of the ferr imagnetic dots comprises three phases: \nan initial quiescent state , the reversal phase , and the final equilibrium state, with the magnetization \nremaining constant both before and after the reversal. Both the quiescent and reversal phase present \nstochastic components. The observation of a long quiescent phase challenges the common assumption \nthat the magnetization reacts instantaneously to the SOT owing to the orthogonality between the initial \nmagnetization direction and the torque40–42, unlike the spin -transfer torq ue between two collinear \nmagnetic layers43. Instead, our measurements show that the duration of this phase can be comparable to \nthe pulse length. The quiescent phase is a characteristic of the thermally -activated regime, in which \nthermal fluctuations assist the switching a nd lead to a stochastic delay time . Because of the relatively \nhigh perpendicular anisotropy of the ferrimagnetic dots (see Supplementary Note 3 ), the thermal \nactivation plays a role up to current density of the order of 1.5 × 1012 A m-2, similar to the switching of \nhigh-coercivity ferromagnetic nanopillars by spin transfer torque44. By increasing the pulse amplitude \nor the in -plane field, the duration of quiescent phase is significantly reduced as the switching dynamics \napproaches the intrinsic regime (see Fig. 2b -e and the following section s). \nSingle -shot measurements \nAlthough the averaging process improves the quality of the traces, it conceals the stochastic nature of \nthe dynamics . Here , we show that our technique provides sufficient signal -to-noise contrast to detect \nindividual reversal events in Hall devices. By using the procedure outlined above , we measured single -\nshot switching traces for different in-plane magnetic fields and pulse amplitudes, as shown in Fig. 3 for \nthree representative voltages . The single -shot traces are qualitativ ely similar to the average traces. \nHowever, the duration of the quiescent and transition phase s varies significantly from trace to trace. By \nfitting each trace to a piecewise linear function, we define 𝑡0 as the duration of the initial quiescent phase \nduring which the normalized Hall voltage remains close to 1 (0 ) before the up -down (down -up) reversal 7 \n (see Methods ). In the following, w e refer to 𝑡0 as the nucleation time, arguing that the quiescent phase \nis associated with the reversal of a seed domain38,45,46, in analogy to measurements performed on \nferromagnetic tunnel junctions47. Additionally, we designate the duration of the transition between the \nup-down or down -up magnetization levels as the transition time ∆𝑡 (Ref. 48). The total switching time is \nthus given by 𝑡0+∆𝑡. \nTo gain insight into the stochastic variations of 𝑡0 and ∆𝑡, we recorded a set of 1000 individual \ntraces for several values of the applied in -plane field B and voltage V. Figure 4 shows the statistical \ndistributions of 𝑡0 and ∆𝑡 obtained at representative fields and pulse amplitudes. The comparison \nbetween the single -shot statistics in Fig . 4 and the averag ed traces in Fig. 2 reveals that the duration of \nthe quiescent phase is systematically underestimated in t he average measurements relative to the mean \n𝑡̅0, whereas the duration of the transition phase is systematically overestimated relat ive to the mean Δ𝑡̅̅̅. \nThe deviation of the times deduced from the av erage measurements relative to 𝑡̅0 and Δ𝑡̅̅̅ can reach up \nto -25% and 60%, respectively. The quantitative disagreement is determined by the superposition of \nwidely -distributed nucleation events. As shown by the average curves at the bottom of Fig. 3, t he large \nspread of the nucleation events anticipate s the starting point of the average dynamics and, at the same \ntime, broaden s the apparent switching duration . Therefore, only single -shot measurements can \naccurately quantify the full switching dynamics, including the variability of events as well as the \nduration of the nucleation and transition phases , and their distributions . \nThe data reported in Fig. 4 show that 𝑡0 approximately follows a nor mal distribution, as expected \nfrom random events . In contrast , ∆𝑡 has a significant positive skew with the mean Δ𝑡̅̅̅ shifted towards \nthe shorter times. Moreover, 𝑡̅0 and its standard deviation decrease strongly upon increasing either the \npulse amplitude or the field, whereas Δ𝑡̅̅̅ shows only a moderate dependence on the voltage . These \ndistinct statistical distributions and dependenc ies are the signature of different physical processes \nunderlying the initial phase and the transition phase of the reversal . Doubling the pulse amplitude or \nfield leads to a ~10-fold reduction of 𝑡̅0, consistently with an activated domain nucleation process that \nis promoted by SOT s and assisted by the in -plane field47 and thermal fluctuations . \nIn contrast with 𝑡̅0, the effe ct of the in -plane field on Δ𝑡̅̅̅ is negligible. This observation supports \nthe interpretation of Δ𝑡 in terms of domain -wall depinning and propagation time, since, for the fields 8 \n used in this study, the domain wall mobility is saturated at the maximum value expected for Néel \nwalls49,50. On the other hand, stronger pulses are expected to ease the depinning of domain walls and \nincrease their speed, in accordance with the reduction of Δ𝑡̅̅̅ at larger volta ges. Consistent with our \nanalysis, ∆𝑡 can be interpreted as the time required for the seed domain to expand across the entire area \nof the dot. Therefore, the inverse of ∆𝑡 provides an upper limit to the domain wall velocity in our devices. \nThe average domain wall velocity estimated from the mean of the distributions reaches several hundreds \nof m/s, whereas the peak velocity can be as large as 4 km/s . Such a high speed is in line with the velocities \nestimated by measuring the domain wall displacements in GdFeCo following the injection of current \npulses34–36. Further improvements of the domain wall velocities have been demonstrated by tuning the \nstoichiometry and transient temperature of GdFeCo so as to approach the angular momentum \ncompensation point35. Our measurements demonstrate that the nucleation phase , characterized by a long \ndelay time 𝑡0, is the real bottleneck of the SOT -induced switching dynamics of ferrimagnets. Therefore, \nthe efficient operation of ferr imagnetic devices based on SOTs requires strategies to reduce the initial \nquiescent phase and mitigate the associated stochastic effects. \n \nIntrinsic and thermally activated switching regimes . \nMeasurements of the threshold switching voltage 𝑉c as a function of the pulse duration 𝑡𝑃 evidence the \nexistence of two switching regimes40, as shown in Fig. 5 (see also Supple mentary Note 6). Above \napproximately 5 ns, 𝑉c changes weakly with 𝑡𝑃, which is a signature of the thermally -assisted reversal40,44 \nand reveals the importance of thermal effects for the typical pulse lengths and amplitudes used in this \nstudy (𝑡𝑃= 20 ns ). On the other hand, the critical voltage increases abruptly for 𝑡𝑃 ≲ 3 ns, as expected \nin the intrinsic regime where the switching speed depends on the rate of angular momentum transfer \nfrom the current to the magnetic layer. Indeed, in this regime, 𝑉c scales proportionall y to 1/𝑡𝑃 (see \nSupplementary Fig. S7). Switching with 𝑡= 300 ps (equivalent average domain wall speed > 3.3 km/s, \nunder the assumption 𝑡0≈0) demonstrates that the quiescent phase can be suppressed by strongly \ndriving the magnetization. In this case, the SOTs alone are sufficient ly strong to drag the magnetization \naway from the equilibrium position and induce the nucleation of a domain against the energy barrier 9 \n without substantia l thermal aid. Finite element simulations support this point by showing t hat the \ntemperature rise times in our devices are larger than 2 ns. \nImportantly , the suppression of the quiescent phase requires more intense pulses but does not \nimply a larger energy consumption because the threshold energy density decreases by more than 4 times \nupon reducing 𝑡𝑃 from 20 ns to < 1 ns (see Fig. 5). This favorable trend highlights the advantage of \nusing materials for which the fast dynamics does not require excessively large current densities. We \nnote that the current densities used in this study are compatible with previous results obtained on GdCo \n(Ref. 36). In that work the current density at 3 00 ps is approximat ely 1.05 × 1012 A m-2, whereas in our \ndevice s with three times larger GdFeCo thickness the threshold current density reaches 3.6 × 1012 \nA m-2. For 20 -ns-long pulses, this value reduces to 0.82 × 1012 A m-2. On the other hand, a more stringent \ncomparison of our findings with the measurements reported in Ref. 36 is not straightforward because the \ndevice geometries, the materials and their magnetic properties are dis similar. \n \nSensitivity and temporal resolution . \nFinally, w e present considerations on the sensitivity and time resolution of our technique that apply to \nall conductors with a finite transverse resistivity 𝜌xy. In all generality, we assume that 𝜌xy≠0 only in \na finite region of the Hall cross (the “magnetic dot”). The Hall voltage generated by two counter -\npropagating voltage pulses of opposite amplitude 𝑉P/2 and −𝑉P/2 is given by 𝑉+−𝑉−= 𝑓𝜌xy\n𝑡𝑉P\n𝑅I, \nwhere t is the thickness of the dot, 𝑅I the resistance of the injection line, and f a sensitivity factor (<1) \nthat depends on the ratio between the area of the dot and the Hall cross as well as on the inhomogeneous \ncurrent distribution within the device . An equivalent circuit model of the Hall cross and sensing \napparatus shows that the differential Hall signal S measured at the input ports of the oscilloscope is the \nresult of the amplified voltage partition between the two branches of the sensing line, e ach having a \nresistance 𝑅S, and the input resistance of the amplifier 𝑅A: \n𝑆=2𝐺𝑉H\n2𝑅A\n𝑅A+ 𝑅S\n2 , (1) \nWhere G is the gain of the amplifier stage. The total noise superimposed to the signal reads 10 \n 𝑁≈2(𝐺𝑁in+ 10𝑁𝐹\n10𝐺𝑁in+10𝑉R\n28), (2) \nwhere the first term represents the amplified sum of the Johnson and pulse generator noises (𝑁in), the \nsecond term the noise introduced by the amplifier with noise figure 𝑁𝐹, and the third term the vertical \nresolution of the oscilloscope with 8 bits and acqui sition range 𝑉R (see Supplementary Note 1 for a \ndetailed derivation of Eq s. 1 and 2). On the basis of Eqs. 1 and 2, we estimate a signal -to-noise ratio \n𝑆\n𝑁≈ 2.2 and ≈ 66 for the single -shot and average traces measured with 𝑉P= 2.2 V , respectively. These \nvalues are in fair agreement with the actual 𝑆\n𝑁 that characterizes the traces in Figs. 2 and 3. The main \ncontributions to the noise are the 𝑁𝐹 of the amplifiers (54%) and the resolution of the oscilloscope \n(30%). The 𝑆\n𝑁 can thu s be improved by means of amplifiers with lower 𝑁𝐹 (1-2 dB, against the 6 dB of \nour current setup ) and oscilloscopes with higher vertical resolution (up to 10 -12 bits) or better vertical \nrange. \nThe temporal resolution is determined by the sampling rate a nd bandwidth of the oscilloscope \nas well as by the acquisition mode. In this work, all the traces were acquired in the interpolated real -\ntime mode, which allows for a nominal temporal resolution of ≈ 100 ps, sufficient to track the dynamics \nof ns -long pulses . Using an oscilloscope with a higher sampling rate could improve the time resolution \ndown to about 10 ps. The minimal duration of the pulses that can be used to excite the magnetization , \non the other hand, is determined by the impedance matching and symmetry of the circuit. In our case, \nthe minimal pulse length is limited to a few ns by the inductive coupling between the wire bonds that \nconnect the sample, which gives rise to over - and under -shoots in the transverse voltage a t the rising and \nfalling edges of a pulse (see Supplementary Note 4). This problem can be solved by using optimally -\nmatched rf probes to connect the sample. Ultimately, i t is of primary importance that the two branches \nof the injection (sensing ) lines have equal lengths in order to guarantee the synchronization of the \ninjected (sensed ) signals. For symmetric branches , the relative delay of the balanced pulses at the center \nof the Hall cross is determined by the balun divider and is of the order of 1 ps (Ref. 51). Such a time lag \nlimits the duration of the shortest measurable pulses. \n 11 \n DISCUSSION \nWe have demonstrated a technique to perform time-resolved measurements of the Hall effect and \ntransverse magneto resistive signals in devices with current flowing in-plane and applied it to investigate \nwith sub -ns resolution the switching dynamics of ferr imagnetic dots induced by SOTs . Our results show \nthat the current -induced magnetization reversal in GdFeCo is characterized by strong stochastic \nfluctuations of the ti me required to nucleate a domain . The quiescent phase that precedes the nucleation \nis a dynamical characteristic that ferrimagnets share with ferromagnets and that has not be en reported \npreviously for these materials . The observation of this phase , whose duration and variability are \ndetermined by the applied current and in -plane field , implies that the switching process is thermally \nactivated. The corresponding switching delay depends on the combination of two effects. For a given \nstrength of the S OTs and in -plane field, the average duration of the quiescent phase 𝑡̅0 is mainly \ndetermined by the temperature dependence of the magnetic anisotropy and the rate of increase of the \ntemperature47. In this scenario, 𝑡0 does not change between switching events and its standard deviation \nshould be of the order of the pulse rise time . In addition to this deterministic process, 𝑡0 is influenced \nby stochastic thermal fluctuat ions, which cause the spread reported in Fig. 4 . \nUpon reducing the length of the pulses and increasing their amplitude, the nucleation time can \nbe suppressed to below 1 ns, which results in a minimum of the critical switching energy . Following the \ninitial nucleation phase , the transition between two opposite magnetization states is both fast and \nmonotonic, compatible with the extremely large domain -wall velocity reported for ferrimagnets. \nHowever, the reversal is also highly non -deterministic and characterized by a spread of transition times , \nwhich deserves further investigation . Overall, our data show that the switching delay time can be rather \nlong in ferrimagnets, unlike the subsequent domain wall motion, which is very fast. The coexistence of \nthese slow and fast phases should be considered in future studies of ferrimagnets to correctly quantify \nthe switching speed . \nThe sensi tivity of the time-resolved Hall measurements is sufficient to perform both average \nand single -shot measurements , thus providing access to reproducible and stochastic processes. This dual \ncapability combined with the straightforward implementation of our s cheme and the widespread 12 \n availability of Hall experimental probes makes our technique useful for a broad range of studies. The \ntemporal evolution of the transverse voltage can be induced directly by the current, as in this work, or \nby a different stimulus, like magnetic fields, light or heat, using a pump -probe scheme with a variable \ndelay time between excitation and counter -propagating voltage pulses . In the latter case, the electric \ncurrent serves uniquely as the probing tool and its duration, amplitude, and waveform can be arbitrarily \nchosen. As any form of Hall effect or transverse magnetoresistance equally fit s our detection scheme , \npotential applications include time -resolved investigations of electrically - and thermally -generated spin \ncurrents and spi n torques in magnetic materials, switching of collinear and noncollinear \nantiferromagnets, as well as time -of-flight detect ion of skyrmion and domain walls in racetrack devices . \nTime -resolved Hall effect measurements can also probe the emergence or quenchi ng of symmetry -\nbreaking phase transitions in driven systems. F urther , as the Hall response is a quint essential signature \nof chiral topological states , real -time detection can provide insight into edge transport modes as well as \ncurrent -induced transitions between quantum Hall and dissipative states. \nMETHODS \nDevice fabrication. \nThe Hall cross es and the dot s were fabricated by lithographic and etching technique s. First, the full stack \nsubstrate/Ta(3)/Pt(5)/ Gd 30Fe63Co7(15)/Ta(3)/Pt(1) (thicknesses in nm) was grown by dc magnetron \nsputtering on Si/SiN(200) substrate, pre -patterned by e-beam lithography, and subsequently lift ed off. \nA Ti hard mask was defined by a s econ d step of e -beam lithography, electron evaporation , and lift -off. \nThe hard mask protected the circular area s corresponding to the dot s during the Ar -ion milling that was \nused to etch the layers above Pt(5) and define the Hall cross es. Finally, Ti(5) /Au(50) contact pads were \nfabricated by optical litho graphy and electron evaporation, followed again by lift -off. \nElectrical setup. \nWith reference to Fig. 1, the pulses are produced by a reverse -terminated pulse generator (Kentech \nRTV40 ) with variable pulse length (0.3 -20 ns, rise time < 0.3 ns) and adjustable polarity, and fed to a \ndirectional coupler, which delivers a small portion ( -20 dB) of the signal directly to the oscilloscope \n(trigger). The balanced -unbalanced (balun) power divide r (200 kHz – 6 GHz , Marki Microwave BAL -\n0006 ) splits the signal into two balanced pulses, with very similar amplitude. Next, the pulses travel to \nthe Hall cross through identical paths. The four bias-Tees next to it combine the rf and dc sub-networks \nof the circuit, allowing both time -resolved (oscilloscope) and static (lock -in amplifier) measurements. \nPrior to detection, the transverse Hall potentials are amplified by amplifiers (Tektronik PSPL 5865 ) with \n26.5 dB voltage gain, 30 ps rise time and 30 kHz – 12 GHz bandwidth. The oscilloscope is also a \nTektronik instrument, with 2.5 GHz bandwidth, 20 GS a/s sampling rate , and 50 Ohm ac -coupled input \nimpedance. A lock-in amplifier (Zurich Instruments MFLI) generates a small low -frequency sinusoidal \ncurrent (𝐼out, 100 -200 µA, 10 Hz) and demodulates the corresponding static anomalous Hall voltage \n(𝑉in). The Hall cross lies on a custom -built printed -circuit board with SMA connections and is contacted 13 \n electrically by Al wire bonds. The device is located betwee n the pole pieces of an electromagnet, whose \nmagnetic field 𝐵 can be varied in amplitude and direction within the 𝑥𝑧 plane. \nFits of the time -resolved Hall voltage traces . \nWe fit the individual normalized switching traces with a piecewise linear function of the form: \nUP−DOWN: 𝑦(𝑡)={1, 𝑡<𝑡0\n1−𝑡−𝑡0\n∆𝑡,𝑡0<𝑡<𝑡0+∆𝑡\n0,𝑡>𝑡0+∆𝑡 \nDOWN−UP: 𝑦(𝑡)={0, 𝑡<𝑡0\n𝑡−𝑡0\n∆𝑡,𝑡0<𝑡<𝑡0+∆𝑡\n1,𝑡>𝑡0+∆𝑡 \nfor up-down and down -up switching, respectively . We chose a piecewise linear function because of its \nsimplicity and its robustness with respect to the fitting routine as opposed to, e.g., the cumulative \nfunction of the Gaussian distribution, which is more prone to errors for small values of 𝑡0. \n \nDATA AVAILABILITY \nThe datas ets generated and/or analysed during the current study are available from the corresponding \nauthors on reasonable request. The data for all of the figures are also available in https://www.research -\ncollection.ethz.ch/ , DOI: 10.3929/ethz -b-000458679 . \n \nREFERENCES \n1. Nagaosa, N., Sinova, J., Onoda, S., MacDonald, A. H. & Ong, N. P. Anomalous Hall effect. Rev. \nMod. Phys. 82, 1539 –1592 (2010). \n2. Tang, H. X., Kawakami, R. K., Awschalom, D. D. & Roukes, M. L. Giant Planar Hall Effect in \nEpitaxial (Ga,Mn)As Devices. Phys. Rev. Lett. 90, 107201 (2003). \n3. Burkov, A. A. Giant planar Hall effect in topological metals. Phys. Rev. B 96, 041110 (2017). \n4. Neubauer, A., Pfleiderer, C., Binz, B., Rosch, A., Ritz, R., Niklowitz, P. G. & Böni, P. \nTopological Hall Effect in the A Phase of MnSi. Phys. Rev. Lett. 102, 186602 (2009). \n5. Bruno, P., Dugaev, V. K. & Taillefumier, M. Topological Hall effect and Berry phase in \nmagnetic nanostructures. Phys. Rev. Lett. 93, 1–4 (2004). \n6. Klitzing, K. V., Dorda, G. & Pepper, M. New method for high -accuracy determination of the \nfine-structure constant based on quantized hall resistance. Phys. Rev. Lett. 45, 494 –497 (1980). \n7. Chang, C. -Z. et al. Experimental Observation of the Quantum Anomalous Hall Effect in a \nMagnetic Topological Insulator. Science (80 -. ). 340, 167 –170 (2013). \n8. Liu, C. -X., Zhang, S. -C. & Qi, X. -L. The Quantum Anomalous Hall Effect: Theory and \nExperiment. Annu. Rev. Condens. Matter Phys. 7, 301 –321 (2016). \n9. Bergmann, G. Transition from Pauli Paramagnetism to Band Ferromagnetism in Very Thin Ni \nFilms. Phys. Rev. Lett. 41, 264 –267 (1978). \n10. Chiba, D. Electrical Manipulation of Magnetization R eversal in a Ferromagnetic Semiconductor. \nScience (80 -. ). 301, 943 –945 (2003). \n11. Deng, Y., Yu, Y., Song, Y., Zhang, J., Wang, N. Z., Sun, Z., Yi, Y., Wu, Y. Z., Wu, S., Zhu, J., \nWang, J., Chen, X. H. & Zhang, Y. Gate -tunable room -temperature ferromagnet ism in two -14 \n dimensional Fe3GeTe2. Nature 563, 94–99 (2018). \n12. Gerber, A., Milner, A., Karpovsky, M., Lemke, B., Habermeier, H. U., Tuaillon -Combes, J., \nNégrier, M., Boisron, O., Mélinon, P. & Perez, A. Extraordinary Hall effect in magnetic films. \nJ. Magn. Magn. Mater. 242–245, 90–97 (2002). \n13. Yamanouchi, M., Chiba, D., Matsukura, F. & Ohno, H. Current -induced domain -wall switching \nin a ferromagnetic semiconductor structure. Nature 428, 539 –542 (2004). \n14. Miron, I. M., Garello, K., Gaudin, G., Zermatten, P. -J., Costache, M. V., Auffret, S., Bandiera, \nS., Rodmacq, B., Schuhl, A. & Gambardella, P. Perpendicular switching of a single \nferromagnetic layer induced by in -plane current injection. Nature 476, 189 –193 (2011). \n15. Tsai, H., Higo, T., Kondou, K., Nomoto, T., Sakai, A., Kobayashi, A., Nakano, T., Yakushiji, \nK., Arita, R., Miwa, S., Otani, Y. & Nakatsuji, S. Electrical manipulation of a topological \nantiferromagnetic state. Nature 580, 608 –613 (2020). \n16. Wunderlich, J., Ravelosona, D., Chappert, C., Cayssol, F., Mathet, V., Ferre, J., Jamet, J. -P. & \nThiaville, A. Influence of geometry on domain wall propagation in a mesoscopic wire. IEEE \nTrans. Magn. 37, 2104 –2107 (2001). \n17. Manchon, A., Železný, J., Miro n, I. M., Jungwirth, T., Sinova, J., Thiaville, A., Garello, K. & \nGambardella, P. Current -induced spin -orbit torques in ferromagnetic and antiferromagnetic \nsystems. Rev. Mod. Phys. 91, (2019). \n18. Garello, K., Miron, I. M., Avci, C. O., Freimuth, F., Mokro usov, Y., Blügel, S., Auffret, S., \nBoulle, O., Gaudin, G. & Gambardella, P. Symmetry and magnitude of spin -orbit torques in \nferromagnetic heterostructures. Nat. Nanotechnol. 8, 587 –593 (2013). \n19. Kim, J., Sinha, J., Hayashi, M., Yamanouchi, M., Fukami, S. , Suzuki, T., Mitani, S. & Ohno, H. \nLayer thickness dependence of the current -induced effective field vector in Ta|CoFeB|MgO. Nat. \nMater. 12, 240 –245 (2013). \n20. Althammer, M. et al. Quantitative study of the spin Hall magnetoresistance in ferromagnetic \ninsulator/normal metal hybrids. Phys. Rev. B - Condens. Matter Mater. Phys. 87, 1–15 (2013). \n21. Wadley, P. et al. Electrical switching of an antiferromagnet. Science (80 -. ). 351, 587 –590 \n(2016). \n22. Vélez, S., Schaab, J., Wörnle, M. S., Müller, M., Gradaus kaite, E., Welter, P., Gutgsell, C., \nNistor, C., Degen, C. L., Trassin, M., Fiebig, M. & Gambardella, P. High -speed domain wall \nracetracks in a magnetic insulator. Nat. Commun. 1–8 (2019). \n23. Baltes, H. P. & Popovic, R. S. Integrated semiconductor magneti c field sensors. Proc. IEEE 74, \n1107 –1132 (1986). \n24. Schuhl, A., Van Dau, F. N. & Childress, J. R. Low‐field magnetic sensors based on the planar \nHall effect. Appl. Phys. Lett. 66, 2751 –2753 (1995). \n25. Besse, P. A., Boero, G., Demierre, M., Pott, V. & Popovic, R. Detection of a single magnetic \nmicrobead using a miniaturized silicon Hall sensor. Appl. Phys. Lett. 80, 4199 –4201 (2002). \n26. Barlow, H. E. M. & Kataoka, S. The Hall effect and its application to power measurement at 10 \nGc/s. Proc. IEE - Part B Radio Electron. Eng. 105, 53–60 (1958). \n27. Boero, G., Besse, P. A. & Popovic, R. Hall detection of magnetic resonance. Appl. Phys. Lett. \n(2001). \n28. Webb, B. C. Anomalous Hall effect measurements of doma in writing and erasure in magneto -\noptic thin -films. IEEE Trans. Magn. 26, 1715 –1717 (1990). \n29. Ngo, D. T., Ikeda, K. & Awano, H. Modulation of domain wall dynamics in TbFeCo single layer 15 \n nanowire. J. Appl. Phys. 111, (2012). \n30. Yoshimura, Y., Kim, K., Taniguchi, T., Tono, T., Ueda, K., Hiramatsu, R., Moriyama, T., \nYamada, K., Nakatani, Y. & Ono, T. Soliton -like magnetic domain wall motion induced by the \ninterfacial Dzyaloshinskii –Moriya interaction. Nat. Phys. 12, 157 –161 (2016). \n31. Finley, J. & Liu, L. Spin -Orbit -Torque Efficiency in Compensated Ferrimagnetic Cobalt -\nTerbium Alloys. Phys. Rev. Appl. 6, 054001 (2016). \n32. Mishra, R., Yu, J., Qiu, X., Motapothula, M., Venkatesan, T. & Yang, H. Anomalous Current -\nInduced Spin Torques in Ferrimagnets near Compensation. Phys. Rev. Lett. 118, 167201 (2017). \n33. Roschewsky, N., Lambert, C. & Salahuddin, S. Spin -orbit torque switching of ultralarge -\nthickness ferrimagnetic GdFeCo. Phys. Rev. B 96, 064406 (2017). \n34. Caretta, L. et al. Fast current -driven domain walls and small skyrmions in a compensated \nferrimagnet. Nat. Nanotechnol. 13, 1154 –1160 (2018). \n35. Kim, K. -J. et al. Fast domain wall motion in the vicinity of the angular momentum compensation \ntemperature of ferrimagnets. Nat. Mater . 16, 1187 –1192 (2017). \n36. Cai, K., Zhu, Z., Lee, J. M., Mishra, R., Ren, L., Pollard, S. D., He, P., Liang, G., Teo, K. L. & \nYang, H. Ultrafast and energy -efficient spin –orbit torque switching in compensated \nferrimagnets. Nat. Electron. 3, 37–42 (2020). \n37. Yang, Y., Wilson, R. B., Gorchon, J., Lambert, C. H., Salahuddin, S. & Bokor, J. Ultrafast \nmagnetization reversal by picosecond electrical pulses. Sci. Adv. 3, 1–7 (2017). \n38. Baumgartner, M., Garello, K., Mendil, J., Avci, C. O., Grimaldi, E., Murer, C., Feng, J., \nGabureac, M., Stamm, C., Acremann, Y., Finizio, S., Wintz, S., Raabe, J. & Gambardella, P. \nSpatially and time -resolved magnetization dynamics driven by spin -orbit torques. Nat. \nNanotechnol. 12, 980 –986 (2017). \n39. Decker, M. M., Wörnle, M. S. , Meisinger, A., Vogel, M., Körner, H. S., Shi, G. Y., Song, C., \nKronseder, M. & Back, C. H. Time Resolved Measurements of the Switching Trajectory of Pt/Co \nElements Induced by Spin -Orbit Torques. Phys. Rev. Lett. 118, 257201 (2017). \n40. Garello, K., Avci, C. O., Miron, I. M., Baumgartner, M., Ghosh, A., Auffret, S., Boulle, O., \nGaudin, G. & Gambardella, P. Ultrafast magnetization switching by spin -orbit torques. Appl. \nPhys. Lett. 105, 212402 (2014). \n41. van den Brink, A., Cosemans, S., Cornelissen, S., Man frini, M., Vaysset, A., Van Roy, W., Min, \nT., Swagten, H. J. M. & Koopmans, B. Spin -Hall-assisted magnetic random access memory. \nAppl. Phys. Lett. 104, 012403 (2014). \n42. Lee, K. -S., Lee, S. -W., Min, B. -C. & Lee, K. -J. Threshold current for switching of a \nperpendicular magnetic layer induced by spin Hall effect. Appl. Phys. Lett. 102, 112410 (2013). \n43. Devolder, T., Hayakawa, J., Ito, K., Takahashi, H., Ikeda, S., Crozat, P., Zerounian, N., Kim, J. -\nV., Chappert, C. & Ohno, H. Single -Shot Time -Resolved Meas urements of Nanosecond -Scale \nSpin-Transfer Induced Switching: Stochastic Versus Deterministic Aspects. Phys. Rev. Lett. 100, \n057206 (2008). \n44. Liu, H., Bedau, D., Sun, J. Z., Mangin, S., Fullerton, E. E., Katine, J. A. & Kent, A. D. Dynamics \nof spin torqu e switching in all -perpendicular spin valve nanopillars. J. Magn. Magn. Mater. 358–\n359, 233 –258 (2014). \n45. Martinez, E., Torres, L., Perez, N., Hernandez, M. A., Raposo, V. & Moretti, S. Universal chiral -\ntriggered magnetization switching in confined nanod ots. Sci. Rep. 5, 1–15 (2015). \n46. Mikuszeit, N., Boulle, O., Miron, I. M., Garello, K., Gambardella, P., Gaudin, G. & Buda -\nPrejbeanu, L. D. Spin -orbit torque driven chiral magnetization reversal in ultrathin 16 \n nanostructures. Phys. Rev. B 92, 144424 (2015). \n47. Grimaldi, E., Krizakova, V., Sala, G., Yasin, F., Couet, S., Sankar Kar, G., Garello, K. & \nGambardella, P. Single -shot dynamics of spin –orbit torque and spin transfer torque switching in \nthree -terminal magnetic tunnel junctions. Nat. Nanotechnol. 15, 111–117 (2020). \n48. Hahn, C., Wolf, G., Kardasz, B., Watts, S., Pinarbasi, M. & Kent, A. D. Time -resolved studies \nof the spin -transfer reversal mechanism in perpendicularly magnetized magnetic tunnel \njunctions. Phys. Rev. B 94, 214432 (2016). \n49. Thiaville , A., Rohart, S., Jué, É., Cros, V. & Fert, A. Dynamics of Dzyaloshinskii domain walls \nin ultrathin magnetic films. EPL (Europhysics Lett. 100, 57002 (2012). \n50. Martinez, E., Emori, S., Perez, N., Torres, L. & Beach, G. S. D. Current -driven dynamics of \nDzyaloshinskii domain walls in the presence of in -plane fields: Full micromagnetic and one -\ndimensional analysis. J. Appl. Phys. 115, (2014). \n51. Talmelli, G., Ciubotaru, F., Garello, K., Sun, X., Heyns, M., Radu, I. P., Adelmann, C. & \nDevolder, T. Spin -Wave Emission by Spin -Orbit -Torque Antennas. Phys. Rev. Appl. 10, 044060 \n(2018). \n \nACKNOWLDEGEMENTS \nThis work was funded by the Swiss National Science Foundati on (Grant S No. 200020 -172775 and No. \nPZ00P2 -179944 ), the Swiss Government Excellence Scholarship (ESKAS -Nr. 2018.0056) and the ETH \nZurich (Career Seed Grant SEED -14 16 -2). \nAUTHOR CONTRIBUTIONS \nP.G., E.G., G.S., and T.D. conceived the experiments. G.S. and V.K. developed the setup and the \nmeasurement protocol. C.-H.L. deposited the samples. G.S. fabricated the device, performed the \nmeasurements , and analyzed the results. G.S. and P.G. wrote the manuscript. All authors discussed the \ndata and commented on the manuscript. \nCOMPETING INTEREST \nThe authors declare no competing financial interests. \nADDITI ONAL INFORMATION \nSupplementary information is available in the online version of the paper. \nCorrespondence and requests for materials should be addressed to G.S. ( giacomo.sala@mat.ethz.ch ) and \nP.G. ( pietro.gambardella@mat.ethz.ch) . \n 17 \n FIGURES \n \nFigure 1. Experimental setup for t ime-resolved Hall effect measurement s. a, The injection in a Hall \ncross of a single pulse with amplitude 𝑉P causes current (𝐽) shunting in the transverse sensing line (along \n𝑦 in the upper panel ). In contrast, t wo pulses with opposite polarity (𝑉P\n2) that meet at the center of the \nHall cross impose a virtual ground, thereby forcing the current to propagate along the main cha nnel \n(along 𝑥 in the bottom panel ). b, Schematics of the rf setup. The initial pulse is fed to a balun divider, \nwhich splits the signal into two half pulses with opposite polarity that reach the device at the same \ninstant. The current -induced transverse Hall potentials are amplified and detected by the oscilloscope, \ntriggered by an attenuated portion of th e initial pulse. Note that the electric paths traversed by 𝑉+ and 𝑉− \nare symmetric and have equal length in the real setup . The dc sub -network (lock -in amplifier and bias -\nTs, dashed lines ) allows for the static characterization of the device. c, The devi ce is a 1 -µm-wide \nferrimagnetic GdFeCo dot at the center of a Pt Hall cross, as shown by the false -color scanning electron \nmicrograph. The in-plane magnetic field 𝐵x is collinear to the current. The scale bar corresponds to 1 \nµm. d, Out-of-plane hysteresis loop of a GdFeCo dot measure d by the anomalous Hall effect. \n \n \n18 \n \nFigure 2 . Switching dynamics of ferrimagnetic dots. a, Reference (in black) and switching traces of \nPt/GdFeCo dots for 20 -ns-long voltage pulses of increasing amplitude, showing up -down (blue lines ) \nand down -up (red lines ) reversals. The curves are averages of 1000 events. The in-plane magnetic field \nis 125 mT. b,c, Normalized down -up and up -down switching traces at different pulse amplitude s \ncorresponding to the traces in a. The current density in the Pt layer corresponding to a pulse amplitude \nof 1.4 V is ≈ 5. 2 × 1011 A m-2. d,e, Normalized down -up and up -down switching traces at different in-\nplane fields, for pulses with 1.6 V amplitude. In all the measurements the current was positive, whereas \nthe field was positive (negative) in c,e (b,d). \n \n \n \n \n \n \n \n 19 \n Figure 3. Single -shot Hall effect measurements. Normalized single -shot traces of Pt/GdFeCo dots for \n20-ns-long pulses. The pulse amplitude is 1.4, 1.8, and 2.2 V in a, b and c, respectively. The in-plane \nmagnetic field is 125 mT. The pulse amplitude in a is close to the threshold switching voltage (see \nSupplementary Note 3). The black lines are fits to the traces with a piecewise linear function . The \nbottom -most curve in each graph is the average of the 10 traces above , fitted with the cumulative \nGaussian function (red) . \n \n \n \n \n \n \n \n \n \n \n20 \n \n \nFigure 4. Distribution of nucleation and transition times. a,b Percentage distributions of the \nnucleation time 𝑡0 and transition time ∆𝑡 for different amplitudes of 20 -ns long voltage pulses, extracted \nfrom the fits of the single -shot traces. At 1.4 V, the magnetization does not switch in 22.5% of the events ; \nthese events are not included in the plot. The in-plane field is 125 mT . c,d Same as a,b, for different in-\nplane field s at a constant pulse amplitude of 1.8 V. At 100 mT, the magnetization does not switch in \n9.8% of the events. At 200 mT, the left -most bin includes 24% of the events. This is likely an artifact of \nthe fits due to the limited signal -to-noise ratio of the traces, which causes difficulties in fitting the \ndynamic s close to the rising edge of the pulse . To ease the comparison, in all of the g raphs the binning \nsize is 250 ps, larger than the temporal resolution of 100 ps. \n \n 21 \n Figure 5. Switching with short pulses. Threshold switching voltage ( black dots, left scale ) and energy \ndensity ( red dots, right scale ) as a function of the pulse length. The critical switching voltage is \ndetermined by after-pulse probability measurements as the voltage at which the device switches in 50% \nof the trials (see S uppleme ntary Note s 3 and 6). The applied in -plane field is 100 mT. \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n22 \n SUPPLEMENTARY INFORMATION \n \nTable of content s \nNote 1. Sensitivity of the technique \nNote 2. Temporal resolution of the technique \nNote 3. Sample characterization \nNote 4. Measurement protocol and analysis of raw signals \nNote 5. Compensation of resistance offsets \nNote 6. Switching with short pulses \nSupplementary References \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 23 \n Supplementary Note 1. Sensitivity of the technique \nThe smallest detectable signal is determined by the relative amplitude of the time -resolved anomalous \nHall signal and the noise of the electric circuit. In what follows, we estimate the sensitivity of our \ntechnique by calculating the anomalous Hall voltage generated by the magnetic dots (see Fig. S1a), the \ntime-resolved amplified voltage , and the superimposed noise. \nThe anomalous Hall voltage 𝑉𝐻 depends on the transverse anomalous Hall resistance 𝑅𝑥𝑦 and \non the current 𝐼𝑥, thus it can expressed as \n𝑉𝐻=𝑅𝑥𝑦𝐼𝑥=𝑅𝑥𝑦𝑉𝑃\n𝑅𝐼, \nwhere 𝑉𝑃 is twice the amplitude 𝑉𝑃/2 of the positive (or negative) pulse in Fig. S1b , and 𝑅𝐼 the \nresistance of the injection line. 𝑅𝑥𝑦 is directly proportional to the anomalous Hall resistivity 𝜌𝑥𝑦, but the \ncomparison with values reported in the literature is not immediate because of geometrical reasons. First, \nthe current distribution is highly inhomogeneous in the Hall cross. Second, most of the current flows \nthrough the Pt layer but a small portion enters also the GdFeCo dot and propagates vertically. Third, the \nanomalous Hall effect do es not extend over the entire cross but is limited to the dot area. This geometry \nis very different from the typical experimental configuration used to measure 𝜌𝑥𝑦, namely a multi layer \nHall bar, where the current spreads out in the magnetic layer , which is continuous and extend s to the \ntransvers e probes, i.e., the sensing line. To account for these differences, we introduce three geometrical \nparameters. We define the filling factor 𝐹= 𝜋(𝐷\n2𝑤)2 as the ratio between the areas of the dot and the \ncentral portion of the cross (see Fig. S1a), arguin g that the Hall signal scales with the magnetic area. In \naddition, we introduce the sensitivity factor 𝜀, which represents the finite sensitivity of the probes to \nvariations of the electric potential in the cross1,2. 𝜀 is determined by the dimension of the Hall cross and \nSupplementary Figure S1 . Electrical model of the Hall cross. a, Scanning electron micrograph of \nthe 1 -µm-wide dot and Hall cross , and associated resistors. 𝑤 and 𝐷 are the width of the Hall cross \nand the diameter of the dot, respectively. b, Equivalent electric circuit of the Hall cross, with the \nassociated resistors, voltage sources , and amplifier. The dashed rectangle corresponds to the \nschematic in c, which repre sents the equivalent model of the amplifier. d, Model of the Johnson \nnoise: every resistor is replaced by an ideal noise -free resistor and a noise voltage source. \n24 \n by the position of the dot with respect to its center. Finally, we add a parameter 𝛿 that takes into account \nthe non-uniform contribution to the anomalous Hall voltage across the thickness of GdFeCo. The value \nof 𝛿 is determined by the specific current distribution within the volume of the dot and by the relative \nweight of volume and interface as sources of the anomalous Hall voltage. Therefore, given the thickness \n𝑡 of the Pt layer, the anomalous Hall voltage reads \n𝑉𝐻= 𝜀𝛿𝐹𝜌𝑥𝑦\n𝑡𝑉𝑃\n𝑅𝐼. (1) \nThis voltage is then amplified and measured in real time. Figure S1b presents the equivalent electric \ncircuit of the Hall cross. We model the device with four resistors ( 2× 𝑅𝐼\n2,2 ×𝑅𝑆\n2) connected through a \ncentral node. The resistors represent the two branches of the injec tion line with total resistance 𝑅𝐼, along \nwhich the pulses are injected, and the two branches of the sensing line with resistance 𝑅𝑆 used to probe \nthe anomalous Hall voltage . Since the resistance difference between the two branches of the sensing \n(injection) line is a few Ohm at most, we assume for simplicity that the branches are equal in pairs. For \nthe sensing line, this hypothesis is equivalent to considering an ideal offset -free transverse voltage. The \nanomalous Hall effect can be modelled by two vo ltage supplies of opposite sign ( ±𝑉𝐻\n2) placed along the \ntwo sensing branches. At the centre of the cross, the counter -propagating pulses enforce a virtual ground. \nThen, the differential Hall signal 𝑆 measured at the input ports of the oscilloscope is th e result of the \namplified voltage partition between 𝑅𝑆\n2 and the input resistance of the amplifier 𝑅𝐴: \n𝑆=2𝐺𝑉𝐻\n2𝑅𝐴\n𝑅𝐴+ 𝑅𝑆\n2 (2) \nHere, the amplifier is treated as a simple ideal amplifying stage with gain 𝐺 and 𝑅𝐴= 50 Ohm input and \noutput impedances (see Fig. S 1c). The input resistance of the oscilloscope is also 𝑅𝑆= 50 Ohm. \nThe measured amplified signal is accompanied by noise, which originates mainly from the \nJohnson noise of the resistors ( 𝑁𝐽), the noise of the pulse generator ( 𝑁𝑃) caused by its output impedance, \nthe resolution of the oscilloscope 𝑁𝑆𝐶, and, above all, the noise figure ( 𝑁𝐹) of the amplifiers. Additional \nnoise sources are the passive electric devices present in the circuit (bias -Tees, couplers, balun divider). \nMoreover, the wire bonds and our printed circuit board pick up electromagnetic disturbances from the \nenvironment. However, these extra noise contributions are negligible compared to 𝑁𝐽, 𝑁𝑃, 𝑁𝑆𝐶, and 𝑁𝐹. \nWe model the Johnson noise by replacing each r esistor in Fig. S1b with the equivalent Thevenin circuit, \ncomprising of an ideal resistor of the same resistance 𝑅 and voltage source 𝑁𝐽= √2 𝑁𝑟𝑚𝑠=\n √8𝑘𝐵𝑇∆𝑓𝑅, with 𝑘𝐵𝑇≈4.1×10−21J the thermal energy and ∆𝑓≈50 MHz the bandwidth (20 ns \npulses). Th e resulting equivalent noisy circuit is sketched in Fig. S1d. It can be simplified by condensing \nthe contributions of all the resistors into a single effective resistance 𝑅𝑒𝑓𝑓: \n𝑅𝑒𝑓𝑓=\n( 2𝑅𝐴𝑅𝐼\n2𝑅𝐴+𝑅𝑆+2𝑅𝐼\n 𝑅𝐼\n2+(𝑅𝐴+ 𝑅𝑆\n2)𝑅𝐼\n2𝑅𝐴+𝑅𝑆+2𝑅𝐼 ) 2\n𝑅𝐼\n2+ \n( 𝑅𝐴+2𝑅𝐴𝑅𝐼\n8𝑅𝐴+4𝑅𝑆+2𝑅𝐼\n𝑅𝐴+ 𝑅𝑆\n2+(𝑅𝐴+ 𝑅𝑆\n2)𝑅𝐼\n4𝑅𝐴+2𝑅𝑆+𝑅𝐼 ) 2\n𝑅𝑆\n2. \nThen, the input noise to each amplifier is \n𝑁𝑖𝑛=√8𝑘𝐵𝑇∆𝑓𝑅𝑒𝑓𝑓+𝑅𝐴\n𝑅𝐴+ 𝑅𝑆\n2 𝑁𝑃. \nConsidering also the Johnson noise of the oscilloscope’s input impedance 𝑅𝑆𝐶 and the digital -to-\nanalogue quantization, the total noise superimposed to the signal reads 25 \n 𝑁=2(𝐺𝑁𝑖𝑛+ 10𝑁𝐹\n10𝐺𝑁𝑖𝑛+ √8𝑘𝐵𝑇∆𝑓𝑅𝑆𝐶+10𝑉𝑅\n28), (3) \nwhere the first term represents the amplified sum of the Johnson and pulse generator nois es, the second \nterm the noise introduced by the amplifier, and the third term the Johnson noise of the input impedance \n𝑅𝑆𝐶 of the oscilloscope. Strictly speaking, the last contribution in Eq. (3) is not noise, but the intrinsic \nfinite sensitivity of the oscilloscope. This resolution is determined by the number of bits (8) and divisions \n(10), and the voltage range ( 𝑉𝑅). Finally, the factor 2 is due to the mathematical subtraction of the \namplified 𝑉+ and 𝑉−. \nEquations (1) -(3) can be used to estimate th e signal -to-noise ratio ( 𝑆/𝑁) and the sensitivity of \nthe setup. In our case: 𝐷 = 1000 nm, 𝑤 = 1500 nm, 𝑅𝐼 = 360 Ohm, 𝑅𝑆 = 806 Ohm, 𝑅𝑆𝐶 = 50 Ohm, 𝑅𝐴 \n= 50 Ohm, 𝐺 = 20 (26 dB), 𝑁𝐹 = 6 dB, 𝑁𝑃 = 9 µV, 𝑉𝑅 = 7 mV, 𝜀 = 0.4 (Ref. 2). These values lead to: \n𝑅𝑒𝑓𝑓 = 16 Ohm, 𝑁𝑖𝑛 = 6.1 µV, 𝐹 = 0.35. We a ssume a pulse with amplitude 𝑉𝑃 = 2.2 V, an anomalous \nHall resistivity 𝜌𝑥𝑦 = 10 µOhm cm (Refs. 3,4), and 𝛿 = 0.21, the latter being chose n to match the \nexperimental 𝑅𝑥𝑦 = 0.6 Ohm. Thus, we obtain 𝑉𝐻 = 3.6 mV and 𝑆 = 7.9 mV. This last result is in good \nagreement with the experimentally measured value (cf. Fig. 2a). The total noise amounts to 𝑁 = 1.8 mV. \nThis value is to a large extent (up to 54%) determined by the noise figure of the amplifier, which \nintensifies the Johnson noise 𝑁𝑖𝑛 of the circuits. This noise is expected to become more severe as the \npulse length is reduced, i.e., the bandw idth is enlarged. For a 1 -ns long pulse, it may increase by 4 -5 \ntimes. The second largest contribution (30%) originates from the signal quantization, whereas the \ncontribution of 𝑅𝑆𝐶 is negligible. On the basis of these figures, we estimate that the sign al-to-noise ratio \nof a single measurement is of the order of 𝑆/𝑁 ≈ 4.4. Since the time traces are obtained by subtraction \nof two measurements (see Supplementary Note 4) , the 𝑆/𝑁 of the individual time trace (single -shot \nmeasurements ) reduces to ≈ 2.2. By averaging over 1000 switching traces, the ratio can be improved \nby a factor of 30, which gives 𝑆/𝑁 ≈ 66. This estimate matches reasonably well the actual signal -to-\nnoise ratio of the average traces in Fig. 2a (bottom panel, 2.2 V pulse amplitude) , which have about 6.5 \nmV and 0. 15 mV signal and root-mean -square noise amplitude, respectively. \nThese considerations explain why our technique is advantageous. Without the compensation of \nthe pulses at the centre of the Hall cross, the transverse signals 𝑉+ and 𝑉− are of the same order of \nmagnitude as the injected pulse, e.g., 1 V. The magnetic signal is thus a tiny variation on the order of a \nfew mV on top of the large background. In such conditions, a much higher range 𝑉𝑅 is required to \naccommodate the entire signal into the available divisions of the oscilloscope. As a consequence, the \nfinite vertical resolution becomes dominant over the rest of the noise and masks the magnetic signal. \nSourcing the oscilloscope with the differential signal 𝑉+−𝑉− would definitely improve the resolution \nby removing part of the background. Still, this approach would not solve completely the problem, \nbecause of the asymmetries between the sensing branches. In contrast, our technique minimizes the \ncurrent spread and hen ce allows for exploiting the full acquisition range of the oscilloscope to probe \nonly the magnetic signal. \nThis analysis suggests also a few directions for further improvements. In the first place, the \ndevice geometry and the materials (thickness, resistiv ity) could be designed to maximize 𝑉𝐻. For \nexample, the anomalous Hall resistance could be enhanced by increasing the ratio between the width of \nthe sensing arms and the dot diameter5,6, so as to increase the fact or 𝜀. Likewise, the central area of the \ncross should be made the smallest possible, compatibly with the dot size. This optimization becomes \nfundamental when downscaling the devices to sub -µm dimensions. However, the device optimization \nis not free from constraints because the anomalous Hall voltage, the current density required to induce \nthe magnetization switching, the geometry of the Hall cross, and its resistance are not independent. For \ninstance, the device miniaturization, which would enlarge 𝑉𝐻, would also increase the resistance of both \nthe injection and sensing lines, hence the Johnson noise. Therefore, an alternative option is the 26 \n optimization of the setup. At the present stage, the critical source of noise in our circuitry is the voltage \nampli fier. With all other parameters fixed, amplifiers with a 1 dB noise figure are expected to provide \n𝑆/𝑁 = 3.5 for the single -shot traces. Additionally, the subtraction of 𝑉+ and 𝑉− prior to detection by the \noscilloscope should improve the 𝑆/𝑁 by permi tting the reduction of 𝑉𝑅. If 𝑉𝑅 is reduced to the minimum \nof our oscilloscope (2 mV), then the 𝑆/𝑁 would further increase to 5.4. The subtraction could be done \nwith an additional balun used in the opposite configuration, namely, with the input signa ls 𝑉+ and 𝑉− \nconnected to the inverting and non -inverting ports of the device. \n \nSupplementary Note 2. Temporal resolution of the technique \nAs described in the main text, the temporal resolution is determined by the sampling and by the \nacquisition mode (real time, interpolated real time, etc.). In this work, the traces were acquired in the \ninterpolated real -time mode, which allows for a nomina l temporal resolution of ≈ 100 ps, sufficient to \ntrack the dynamics of ns -long pulses. For shorter pulses, the nominal resolution could be improved to a \nfew ps by using a faster oscilloscope . We note that the other elements of the circuit and the cabling m ay \ndistort the shape of the electrical excitation if their transfer function does not match the required \nfrequency range, but they do not influence the temporal resolution. Instead, it is of primary importance \nto ensure the equal length and symmetry of the injection (sensing) lines of the circuits to guarantee the \nsynchronization of the injected (sensed ) signals. \nThe shortest traces that we could reliably measure correspond to 2 -3 ns-long pulses. This \nlimitation has a different “extrinsic” origin than the c ircuit components , namely the geometry of the Hall \ncross, which was not specifically desig ned for transmitting rf pulses , and, above all, the use of wire \nbonds to contact the device, which are inductively coupled . As a consequence, the raw traces have edge \nspikes w ith about 1 ns FWHM (see Fig. S4 c) that complicate the analysis of the magnetic traces for \npulses shorter than 1 ns. The replacement of the wire bonds with rf probes would improve the \ntransmission of sub -ns pulses. We stress that these limitations affect the length of the puls es, but not the \ntemporal resolution, which remains 100 ps and ca n be independently improved . \n \nSupplementary Note 3. Sample characterization \nFigure S2a reports the hysteresis loops of a Gd 30Fe63Co7 device as probed by static measurements of the \nanomalous Hall resistance, with field applied perpendicular to the plane (polar angle = 0°) and almost \nin plane (89°). The sense of rotation of the hysteresis loop indicates that the magnetizatio n is dominated \nby the transition metals Fe and Co . The GdFeCo layer has perpendicular magnetic anisotropy, with an \neffective anisotropy field of the order of 300 mT. The saturation magnetization was estimated to be 25 \nkA/m using SQUID magnetometry performe d on a full film sample. The device can be reliably switched \nbetween the up and down states by bipolar electric pulses in presence of an in -plane magnetic field \ncollinear with the current direction, as typical of spin -orbit torques (see Fig. S2b). \nWe note that the GdFeCo devices studied here belong to a batch of samples with variable Gd \nconcentration that cross the magnetization compensation temperature. However, we found that the \nfabrication steps alter the properties of the devices with respect to those of the full films. This undesired \nchange is one of the limitations of amorphous ferrimagnets, which are particularly sensitive to standard \noperations such as the ion milling and the resist baking. These issues have already been observed by \nother gro ups (see e.g., Ref. 7–9) and are possibly caused by the selective oxidation or migration of t he \nrare-earth atoms10. Our estimate, based on the variation of the magnetization compensation te mperature \nwith the Gd concentration (about 30 K every 1%) , is that the magnetization compensation temperature \nis around 250 K. Because of Joule heating during pulsing, we are confident that the magnetization of \nour devices is always “FeCo -like” for the tim e-resolved Hall effect experiments reported in this work, \nwhich were all performed in ambient conditions . 27 \n In order to determine the working point required to induce the switching, we measured the \nprobability of switching as a funct ion of in-plane magnetic field and pulse amplitude . To this aim, we \nused the dc sub -network of the circuit shown in Fig. 1 in the main text . The procedure was the following. \nWe applied a sequence of set -reset rf pulses with identical length and amplitude but opposite polarity. \nThe variation of the transverse dc resistance before and after each pulse was compared with the \nanomalous Hall resistance to assess the outcome of the pulse: if the variation was larger than 75 % of \nthis reference, we considered that the pulse succeeded in switching the magnetization. Every pulse \nsequence comprised 50 set -reset pairs of pulses , and the switching probability was defined by the ratio \nof successful pulses to 50. We repeated this procedure for different pulse lengths, amplitudes , and fields , \nas reported in Fig. S3a-d. As expect ed, the minimum voltage for 100 % switching decreases as the field \nor the pulse length are increased \nSupplementary Note 4. Measurement protocol and a nalysis of raw signals \n \nIn an ideal scenario, the signal measured by the oscilloscope should approximately resemble a \n“rectangle”, that is, it should replicate the temporal profil e of the applied electric pulse . In such a case, \nthe amplitude of the signal (height of the rectangle) would already represent the measurement of the \nmagnetization state. If the magnetization was in equilibrium, the amplitude would remain constant, to a \nhigh or low level in dependence of the up or down orientation of the magnetizat ion. During the \nswitching, instead, the trace would transition from one level to the other. However, spurious \nnonmagnetic contributions alter the ideally -rectangular profile of the measured signal. These \ncontributions have multiple origins. First, the edge of the pulses have large spikes caused by the \ninductive coupling between the wire bonds and the electric contacts of the PCB. Second, the device \nitself, which is not adapted to radio frequencies, distorts the pulses and hence the measured signal. In Supplementary Figure S2 . Sample characterization. a, Hysteresis loops measured by the \nanomalous Hall resistance with the field applied out of plane (0°) and in plane (89°) . b, Switching \nof the magnetization by a sequence of positive set (V > 0) and negative reset (V < 0) pulses with \nlength of 20 ns and amplitude of 1.6 V. The in -plane field was 150 mT. Note that the switching \namplitude is smalle r than the anomalous Hall amplitude in a because of the tilt induced by the applied \nfield (cf. with the red trace in a at 150 mT). \n28 \n addition, the voltage amplifier introduce s high-frequency oscillations. Finally, as shown in Fig. S4a,b, \nthe voltage difference between the inverted (I) and non -inverted (NI) pulses at the output of the balun \ndivider is several mV, which is about 1% of the pulse amplitude. This component is quite small with \nrespect to the input pulse. Yet, the unbalance causes a residual current leakage through the transverse \narms which adds a small voltage offset (comparable to or smaller than the magnetic signal). Therefore, \nthe magnetic signal is better extracte d from the raw traces by comparing measurements of a reference \nand the switching and removing the non -magnetic part. In fact, every trace of the same type as in Fig. \n2b-e of the main text results from the combination of two measurements. The procedure that we adopt \nto isolate the magnetic signal is the following11. \nFirst, in the presence of a positive in -plane magnetic field, we acquire a background signal by \nrepeatedly injecting identical pulses with the same current direction and amplitude . In these conditions, \nthe magnetization remains in the equilibrium state, which is determined by the field direction and the \nsign of the spin -orbit torques defined by the current polarity. The latter equals the polarity of the pulse \ntravelling along +𝑥, that is , from left to right in Fig. 1a in the main text. T he differential voltage 𝑆 \nmeasured during each pulse is nominally always the same, but we average over multiple pulses, typically \n5000, to reduce the noise. Then, we repeat the same step for the op posite field direction and the same \ncurrent polarity, to acquire the background signal corresponding to the opposite equilibrium state (see \nFig. S4c). By definition, all the undesired contributions do not change with the magnetic configuration \nof the devic e, hence they can be removed by subtracting the two signals. Their difference yields the net \nmagnetic contrast: reference trace = Background ( 𝐵 < 0) – Background ( 𝐵 > 0). This is the black trace \nshown in Fig. S4d as well as in Fig. 2a. Since for 𝑉 > 0 a nd 𝐵 < 0 (𝐵 > 0), the magnetization remains in \nSupplementary Figure S3 . Switching probability . a-d, After -pulse switching probability as a \nfunction of pulse amplitude, for different pulse lengths and in -plane fields. 29 \n the up (down) state, corresponding to positive (negative) anomalous Hall voltage, the reference trace so \ndefined has positive sign. \nNext, we acquire the signal corresponding to the switching of the magnetization by slightly \nvarying the procedure, that is, by delivering a train of set-reset pulses with alternating polarity. Now, at \neach pulse the current direction changes and so does th e magnetization. For example, for positive field, \nthe positive current causes the up -down switching, whereas the successive negative current induces the \ndown -up reversal. By averaging over 1000 pulses of the same polarity, we acquire the green signal \nshown in Fig. S4 c (a positive in -plane field is applied). It coincides initially with the signal for \nBackground ( 𝐵 < 0) (magnetization up) and during the pulse it transitions to the signal for Background \n(𝐵 > 0) (magnetization down). Therefore, similarly to t he reference trace, the signal 𝑆 associated to a \nswitching event is combined with one of the two backgrounds: switching trace = S ( 𝐵 > 0) – Background \n(𝐵 > 0). The application of this procedure leads to the blue trace in Fig. S4d as well as to the trac es in \nFig. 2. The ≈ 0 mV (≈ 5 mV) trace level identifies the uniformly -magnetized down (up) state, whereas \nany deviation of the traces from the top and bottom levels correspond to a tilt of the magnetic moments \nor to a multi -domain configuration. Finally, the normalization of the switching trace to the reference \ntrace provides the purely -magnetic time trace s (cf. Fig. 2b -e in the main text ). The same identical \napproach is used for detecting single -shot events, with the only difference that, instead of aver aging, \nevery single switching signal is recorded . The procedure that we adopt to measure and remove the \nbackground signal is very similar to that reported in Ref. 12. Therefore, our measurement protocol is \ncomparable to that of standard time-resolved Hall measurements . \nFinally, w e note that the reference trace can be acquired by using protocols different from ours, \nwhich is adapted to the specific case of spin -orbit torque switching. For example, the background signals \nSupplementary Figure S4 . Analysis of raw signals. a, Inverted (I) and non -inverted (NI) pulses at \nthe outputs of the balun divider, for a 20 ns, 1.6 V input pulse; the sign of the I pulse has been \ninverted for comparison. b, Close -up of the difference between the I and NI pulses (I - NI). Inset: \nfull voltage difference between the two pulses. c, Average raw electric signals corresponding to the \nbackground , for the two in-plane field directions, and to the switching (for positive field) . d, \nReference and switching traces obtained by subtraction of the signals in c. \n30 \n of perpendicularly -magnetized samples could also be acquired by fixing the magnetiz ation with out -of-\nplane fields . If the polarity of the current has an effect, a reference could also be obtained by comparing \nbackground signals measured with opposite curre nt polarity. Alternatively, the signal measured with a \nlow-amplitude pulse could be used as background: under the assumption that the low amplitude does \nnot produce magnetic changes, the corresponding trace could be subtracted from a higher -amplitude \ntrace after proper rescaling. In antiferromagnets, repeated pulses produce a memristive -like switching. \nThen, the background trace could be obtained after applying a sequence of repeated pulses that saturate \nthe read -out signal to the maximum (or minimum) level . Therefore, in general, t he measurement \nprotocol can be adapted to the specific application . \nSupplementary Note 5. Compensation of resistance offsets. \nOur technique does not imply a more complex circuit or measurement protocol than traditional \ndifferential Hall measurements. For comparison, we consider the work by Yoshimura et al. (Ref. 12). In \nour setup, we included DC components to simultaneously access the static electric and magnetic \nproperties of the devices. Once the DC subnetwork, which is not necessary for time -resolved \nmeasurements, is removed, the sole difference between the differential Hall measurement presented in \nRef. 12 and our technique is the balun divider. The balun is a simple, small, and affordable component \nthat does not require any power supply and easily fits into any electrical setup. \nAs an additional advantage, our technique allows for compensating possible resistive offset s \nthat are caused by the imperfect fabrication or are intrinsic to asymmetric devices. To prove this point, \nwe have measured the raw electrical signals corresponding to the “up” and “down” magnetiz ation states \nin a Hall bar device with two off -centered Hall crosses (see Fig. S5a). In contrast to the symmetric Hall \ncross considered in the manuscript, in this device the electric potentials determined by the two pulses at \nthe center of the right Hall c ross are different because of the asymmetric resistance load. As a result, the \ncurrent does flow in the transverse arms and the signals measured on the oscilloscope pre sent a finite \noffset (see Fig. S5 b). Since this offset is not negligible, to acquire the signals we could not use the the \nmaximum vertical resolution of the oscilloscope . Such problems can be circumvented by correcting the \npulses amplitudes to enforce the virtual ground at the position of the Hall cross. In the specific case \ndiscussed here, w e added a 4 dB attenuator along the direction of the negative pulse. Thanks to this \nadjustment, the vertical offset was removed f rom the raw signal, which allowed us to exploit the highest \nvertical resolution of the oscilloscope. Therefore, our techni que d oes not require the device under test \nto be longitudinally symmetric. Although we do not have at our disposal devices with asymmetric \ntransverse Hall arms, we believe that transverse resistance offsets could be compensated in the same \nway as for the longitudinal offset. Since comme rcial attenuators provide attenuation steps as small as \n0.5 dB (= 0.944), the amplitude of the pulses can be tuned with rather large precision. This capability is \na specificity of our technique, for no such countermeasures can be taken in standard differen tial Hall \nmeasurements. \n \n \n \n \n \n 31 \n \n \n \n \n \n \nSupplementary Note 6. Switching with short pulses. \nThe measurements presented in the main text were performed with 20-ns-long pulses . These relatively \nlong pulses allow us to clearly identify the different phases of the dynamics. In Fig. S6 we present \nadditional average time -resolved measurements performed with 5 -ns-long pulses. At the largest pulse \namplitude the nucleation time is reduced down to about 800 ps. This decrease is consistent with the \nafter-pulse probability measureme nts shown in Fig. S7a, which shows the switching probability \nmeasured as a function of the pulse amp litude and length for a constant in -plane field of 100 mT . The \nplot demonstrates that d eterministic switching can be o btained with pulses as short as 300 ps , which \nimplies quenching of the nucleation time at sufficiently high pulse amplitudes. From Fig. S7a we \nextracted the threshold switching voltage, defined as the voltage at which the device switches in 50% of \nthe trials, and plotted it against 1/𝑡𝑃 in Fig. S7b (see also Fig. 5 in the main text). Below approximately \n5 ns, the voltage increases linearly with the inverse of 𝑡𝑃, which is a signature of the intrinsic regime \nwhere the switching speed depends on the rate of angular momentum transfer from the current to the \nmagnetic layer. On the other hand, the different dependence for 𝑡𝑃> 5 ns reveals the importance of \nthermal e ffects for the typical pulse lengths used in this study ( 𝑡𝑃= 20 ns). \n Supplementary Figure S5. Compensation of resistance offsets. a) Hall bar with off -centered Hall \ncrosses. The anomalous Hall effect is measured in the right Hall cross. The negative pulse moving \nfrom right to left is attenuated by 4 dB compared to the positive pulse. The scale bar corresponds to \n4 µm. b) Raw differential Hall voltage 𝑉𝐻= 𝑉+−𝑉−, with uncompensated (UN) and compens ated \n(C) resistance offset, corresponding to the up and down magnetization states for current pulses that \ndo not induce switching. \n32 \n \nSupplementary Figure S6. Switching with 5 -ns pulses. Normalized average traces showing the up -\ndown magnetization switching with 5 ns -long pulses of different amplitude. Both the current and the \nin-plane 125 mT field were positive. \nSupplementary Figure S7. Switching as a function of pulse length. a) Dependence of the switching \nprobability on the pulse amplitude for different pulse lengths. Each point is the result of 50 trials. \nThe applied in -plane field was 100 mT. Note that these measurements were performed on a different \ndevice than that used for t he time -resolved measurements but they were fabricated at the same time \nfrom the same layer. b, Threshold switching voltage (black dots, left scale) and energy density (red \ndots, right scale) as a function of the inverse pulse length. The critical switching voltage is \ndetermined from a as the voltage at which the device switches in 50% of the trial s. \n33 \n Supplementary R eferences \n1. Cornelissens, Y. G. & Peeters, F. M. Response function of a Hall magnetosensor in the diffusive \nregime. J. Appl. Phys. 92, 2006 –2012 (2002). \n2. Webb, B. C. & Schültz, S. Detection of the magnetizati on reversal of individual interacting \nsingle -domain particleswithin Co -Cr columnar thin -films. IEEE Trans. Magn. 24, 3006 –3008 \n(1988). \n3. Hartmann, M. & McGuire, T. R. Relationship between Faraday Rotation and Hall Effect in \nAmorphous Rare -Earth —Transition -Metal Alloys. Phys. Rev. Lett. 51, 1194 –1197 (1983). \n4. Honda, S., Nawate, M., Ohkoshi, M. & Kusuda, T. Hall effect and magnetic properties in GdFe \nand CoCr sputtered films. J. Appl. Phys. 57, 3204 –3206 (1985). \n5. Kikuchi, N., Okamoto, S., Kitakami, O., S himada, Y. & Fukamichi, K. Sensitive detection of \nirreversible switching in a single FePt nanosized dot. Appl. Phys. Lett. 82, 4313 –4315 (2003). \n6. Alexandrou, M., Nutter, P. W., Delalande, M., De Vries, J., Hill, E. W., Schedin, F., Abelmann, \nL. & Thomson , T. Spatial sensitivity mapping of Hall crosses using patterned magnetic \nnanostructures. J. Appl. Phys. 108, (2010). \n7. Le Guyader, L., El Moussaoui, S., Buzzi, M., Chopdekar, R. V., Heyderman, L. J., Tsukamoto, \nA., Itoh, A., Kirilyuk, A., Rasing, T., Kim el, A. V. & Nolting, F. Demonstration of laser induced \nmagnetization reversal in GdFeCo nanostructures. Appl. Phys. Lett. 101, (2012). \n8. El-Ghazaly, A., Tran, B., Ceballos, A., Lambert, C. H., Pattabi, A., Salahuddin, S., Hellman, F. \n& Bokor, J. Ultrafast magnetization switching in nanoscale magnetic dots. Appl. Phys. Lett. 114, \n(2019). \n9. Kirk, E., Bull, C., Finizio, S., Sepehri -Amin, H., Wintz, S., Suszka, A. K., Bingham, N. S., \nWarnicke, P., Hono, K., Nutter, P. W., Raabe, J., Hrkac, G., Thomson, T. & Heyderman, L. J. \nAnisotropy -induced spin reorientation in chemically modulated amorphous ferrimagnetic films. \nPhys. Rev. Mater. 4, 074403 (2020). \n10. Hansen, P. Magnetic amorphous alloys. Handb. Magn. Mater. 6, 289 (1991). \n11. Grimaldi, E., Krizakova, V., Sala, G., Yasin, F., Couet, S., Sankar Kar, G., Garello, K. & \nGambardella, P. Single -shot dynamics of spin –orbit torque and spin transfer torque switching in \nthree -terminal magnetic tunnel junctions. Nat. Nanotechnol. 15, 111 –117 (2020). \n12. Yoshimura, Y., Kim, K., Taniguchi, T., Tono, T., Ueda, K., Hiramatsu, R., Moriyama, T., \nYamada, K., Na katani, Y. & Ono, T. Soliton -like magnetic domain wall motion induced by the \ninterfacial Dzyaloshinskii –Moriya interaction. Nat. Phys. 12, 157 –161 (2016). \n " }, { "title": "1711.06342v1.Anisotropic_magnetic_properties_of_the_ferromagnetic_semiconductor_CrSbSe__3_.pdf", "content": "Anisotropic magnetic properties of the ferromagnetic semiconductor CrSbSe 3\nTai Kong, Karoline Stolze, Danrui Ni, Satya K. Kushwaha, and Robert J. Cava\nDepartment of Chemistry, Princeton University, Princeton, NJ 08544, USA\nSingle crystals of CrSbSe 3, a structurally pseudo-one-dimensional ferromagnetic semiconductor,\nwere grown using a high-temperature solution growth technique and were characterized by x-ray\ndi\u000braction, anisotropic, temperature- and \feld-dependent magnetization, temperature-dependent\nresistivity and optical absorption measurements. A band gap of 0.7 eV was determined from both\nresistivity and optical measurements. At high temperatures, CrSbSe 3is paramagnetic and isotropic\nwith a Curie-Weiss temperature of \u0018145 K and an e\u000bective moment of \u00184.1\u0016B/Cr. A ferromagnetic\ntransition occurs at Tc= 71 K. The a-axis, perpendicular to the chains in the structure, is the\nmagnetic easy axis, while the chain axis direction, along b, is the hard axis. Magnetic isotherms\nmeasured around Tcdo not follow the behavior predicted by simple mean \feld critical exponents\nfor a second order phase transition. A tentative set of critical exponents is estimated based on a\nmodi\fed Arrott plot analysis, giving \f\u00180.25,\r\u00181.38 and\u000e\u00186.6.\nI. INTRODUCTION\nMagnetic semiconductors have been studied for\nseveral decades for their potential applications in\nspintronics1. Bulk materials like europium and\nchromium chalcogenides2are early examples of a\nferromagnetic-semiconducting state, while ferromag-\nnetism has also been successfully induced in well-\ndeveloped semiconductors by magnetic doping3,4. An-\nother approach in spintronics is to deposit thin layers\nof a semiconducting ferromagnetic material on top of\na non-magnetic material. Recently, there have been\nmany attempts to control magnetism in 2-dimensional\n(2D) materials or topological insulators by proximitizing\na ferromagnetic semiconductor5,6. Several 2D magnetic\nsemiconductors, CrGeTe 3and CrI 3in particular, have\neven been shown to maintain their ferromagnetism at an\natomic-layer level7{9.\nIn the CrMX 3ternary chromium tri-chalcogenides\n(whereMis a non-transition-metal and X= S, Se, Te),\nthe crystal structure varies depending on how Cr X6oc-\ntahedra are arranged. Cr MTe3forM= Si, Ge and Sn,\nfor example, have layered structure, with CrTe 6octahe-\ndra forming a honeycomb lattice. When M= Sb, Ga,\non the other hand, Cr MX 3compounds exhibit a pseudo-\none-dimensional crystal structure, with Cr X6octahedra\nforming in\fnite, edge-sharing, double rutile chains, and\nMatoms linking neighboring chains; for di\u000berent M\natoms, the relative angle between double rutile chains\nchanges10. Among these pseudo-one-dimensional com-\npounds, CrSbSe 3is of interest due to its semiconduct-\ning ferromagnetic ground state. Previously, structural\nand magnetic properties have been reported for poly-\ncrystalline samples10,11. Those studies showed that Cr\nin CrSbSe 3appears as high-spin Cr3+, withS= 3/2\nand that the material becomes ferromagnetic below \u001870\nK. To better understand the ferromagnetic properties of\nCrSbSe 3and inspect the in\ruence of structural low di-\nmensionality on its magnetism, we present here electric\ntransport and anisotropic magnetic properties of single\ncrystalline CrSbSe 3.II. EXPERIMENTAL METHODS\nCrSbSe 3single crystals were grown by crystallization\nfrom a Se-rich solution. Starting bulk elements were\nmixed in a molar ratio of Cr:Sb:Se = 7:33:60 and were\nsealed in an evacuated silica tube. The ampoule was then\nheated to 800\u000eC and slowly cooled to 680\u000eC, where the\nmolten liquid was separated from the crystals in a cen-\ntrifuge with silica wool serving as a \flter. Remaining \rux\nthat attached to the surface of crystals were removed by\nkeeping the crystal at 500\u000eC for 3 days in a sealed silica\ntube while leaving the cold end of the tube at room tem-\nperature. Millimeter-long single crystals of CrSbSe 3are\nblade-like and malleable (see Fig.1(b)). Polycrystalline\nCrSbSe 3was also synthesized, via solid state reaction.\nStarting elements in powder form were mixed in a sto-\nichiometric ratio and sealed in an evacuated silica tube\nand were kept at 600\u000eC for 2 days.\nMagnetization data were measured using a Quan-\ntum Design (QD) physical property measurement sys-\ntem (PPMS) Dynacool, equipped with a VSM option.\nAnisotropic magnetization data were obtained on selec-\ntive pieces of single crystals. For \feld-dependent magne-\ntization, when Hkaandc, single crystals were mounted\non a silica sample holder with GE varnish. For Hkb and\nfor polycrystalline sample, the standard QD plastic cap-\nsule was used. Because the mass of each single crystal is\nsmall, anisotropic magnetization measurements were nor-\nmalized to the saturation magnetization values obtained\non polycrystalline sample (which has a much larger mass)\nat 1.8 K. Anisotropic, temperature-dependent magneti-\nzation were measured on a shaft of samples, which only\ndistinguish between HkbandH?b. Temperature-\ndependent resistivity was measured on a polycrystalline\nCrSbSe 3pellet sample using the QD electrical transport\noption (ETO). Pt wires were attached to the sample via\nDuPont 4922N silver paint, using a two-probe con\fgu-\nration, suitable due to the very high resistance of the\nmaterial.\nDi\u000buse re\rectance spectra were collected by a Cary\n6000i UV-VIS-NIR spectrometer with an integratingarXiv:1711.06342v1 [cond-mat.mtrl-sci] 16 Nov 20172\nFIG. 1. (Color online) (a) Single crystal x-ray di\u000braction\npattern along (00 l). (b) Powder x-ray di\u000braction pattern of\nCrSbSe 3. Black crosses are measured data; red line shows the\nLeBail \ftted curve; blue line indicates the di\u000berence between\nmeasured and calculated values; magenta ticks indicates the\nBragg re\rection positions. The inset shows several single crys-\ntals of CrSbSe 3on a millimeter grid paper.\nFIG. 2. (Color online) Crystal structure of CrSbSe 3. CrSe 6\npolyhedra are shown in blue.\nsphere and were converted from re\rectance to absorbance\nusing Kubelka-Munk method. KBr was employed as the\nsubstrate. The sample for band gap measurement was\nmixed with KBr powder (sample to KBr, mass ratio 1:20)\nand pressed into a pellet. A pure KBr pellet with the\nsame mass was prepared as the blank reference. Powder\nx-ray di\u000braction data were collected using a Bruker D8\nAdvance Eco, Cu K \u000bradiation (\u0015= 1.5406 \u0017A), equipped\nwith a LynxEye-XE detector. The crystal structure of\nCrSbSe 3was further determined by single-crystal x-ray\ndi\u000braction (SXRD), which is presented in the Appendix.III. RESULTS AND DISCUSSION\nPowder x-ray di\u000braction data for CrSbSe 3are shown\nin Fig. 1(b). All peaks can be indexed by the previously\nreported crystal structure, in agreement with our SXRD\ndata10,11. For single crystalline samples, the b-axis, is\nalong the long crystal dimension10. Thec-axis direction\nrelative to the crystal morphology was determined from\na single crystal laying on its \rat face on a glass slide on\na powder di\u000bractometer. As shown in Fig. 1(a), these\ndata show predominantly (00 l) peaks, indicating the c-\naxis is perpendicular to the blade-shaped sample. Minor\npeaks from other orientations may due to an imperfect\nalignment of the crystal on the glass slide.\nThe crystal structure of CrSbSe 3is shown in Fig. 2.\nEdge sharing, slightly distorted, CrSe 6octahedra form\nchains that extend along the b-axis. Each chain is com-\nposed of two parallel, edge sharing columns of CrSe 6\noctahedra, forming double rutile chains. Between the\nchains, the Cr atoms are linked by two Se atoms; both\nCr-Se-Cr angles are \u001892\u000e. Sb atoms are located in be-\ntween the double chains. Crystallographic data obtained\nfrom SXRD are summarized in Table I , \fnal atomic pa-\nrameters are listed in Table II and III in the Appendix.\nThe temperature-dependent resistivity of CrSbSe 3is\nshown in Fig. 3. At 300 K, the resistivity is around\n0.1 M\n cm and increases with decreasing temperature.\nWhen plotting resistivity as a function of inverse tem-\nperature on a semi-log plot, the resistivity shows a linear\nbehavior, consistent with semiconducting behavior and a\nband gap of\u00180.7 eV. This band gap was also con\frmed\nwith optical obsorbance measurements. In the inset of\nFig. 3, the optical absorption coe\u000ecient as a function of\nwavelength is shown. A clear absorption edge is seen at\naround 1700 nm. The size of the band gap is estimated\nfrom this data to be \u00180.6 eV based on an indirect band\ngap12model13, in agreement with our resistivity result.\nThe measured band gap is slightly larger than the value\ncalculated by DFT12.\nFig. 4 shows the anisotropic magnetization of CrSbSe 3\nas a function of temperature. In the paramagnetic state,\nthe magnetic susceptibility of CrSeSe 3appears to be\nnearly isotropic. A linear \ft to the high temperature in-\nverse magnetic susceptibility of the polycrystalline data\ngives an e\u000bective moment of 4.1 \u0016B/Cr, which is slightly\nlarger than the expected value for Cr3+(3.9\u0016B/Cr). The\nCurie-Weiss temperature extrapolated from the param-\nagnetic state is\u0018145 K. A ferromagnetic transition oc-\ncurs at around 70 K. Both the e\u000bective moment and\nCurie-Weiss temperature obtained in the current study\nagree with previously reported data for polycrystalline\nCrSbSe 3samples10,11.\nFig. 5 shows the anisotropic magnetization isotherm\nmeasured at 1.8 K. The demagnetization factor was es-\ntimated by assuming the geometry of the sample is close\nto a rectangular shape14. The internal \feld, Hint, was\ncalculated from the relation Hint=H\u00004\u0019NM , where\nNis the demagnetization factor. For Hka, which is3\n3.03 .54 .04 .51031041051061071\n5001600170018000.080.100.120.140.16/s61554 (Ω cm)1\n000/T (K-1)CrSbSe3Δ\nE = 0.7 eVA\nbsorption (arb. units)W\navelength (nm)\nFIG. 3. Resistivity of CrSbSe 3as a function of inverse temper-\nature on a semi-log plot. Inset shows the optical absorption\nas a function of wavelength.\n05 0100150200250300020406080 H // b \nH ⊥ b \npolyH/M (mol/emu)T\n (K)H = 1 kOeCrSbSe3\nFIG. 4. (Color online) Anisotropic, temperature-dependent\ninverse magnetization of CrSbSe 3measured at 1 kOe.\nthe easy axis, the demagnetization factor was also con-\n\frmed with the Arrott plot measured at around 2 K15,16.\nBoth demagnetization factor values are numerically sim-\nilar. The value obtained from the Arrott plot along the\na-axis was used to correct the calculated values for the\nother two orientations. As shown in Fig. 5, the a-axis,\nwhich is perpendicular to the chains, is the easy axis and\ntheb-axis, the direction of the chains, is the hard axis,\nwhich saturates at \u001815 kOe. The magnetization value\nsaturates at 3 \u0016B/Cr, consistent with expectations for S\n= 3/2 Cr3+.\nTo better determine the ferromagnetic transition tem-\nperature, we \frst considered the well-known Arrott\n01 02 03 00123M (µB/Cr)H\nint (kOe) H // a \nH // b \nH // cT = 1.8 KCrSbSe3FIG. 5. (Color online) Anisotropic, \feld-dependent magneti-\nzation of CrSbSe 3measure at 1.8 K. The CrSe 6double rutile\nchains extend along the b-axis.\nplot15. Magnetization isotherms along the easy axis were\nmeasured at various temperatures in the vicinity of the\nferromagnetic transition temperature. M2as a function\nofHint=Mis displayed in Fig. 6(a). Because the Arrott\nplot describes the magnetic behavior at low \felds in the\nproximity of Tc, only magnetization data below 25 kOe\nwere considered. In the mean \feld description of the\nmagnetization near Tc, curves in the Arrott plot should\nbe a set of parallel straight lines with the one passing the\norigin indicating the ferromagnetic transition tempera-\nture. It is clear that the mean \feld critical exponent does\nnot work for CrSbSe 3, as illustrated by a set of curved\nlines shown in Fig. 6(a).\nFor a second order phase transition, the spontaneous\nmagnetization ( Ms) belowTc, the initial magnetic sus-\nceptibility ( \u001f\u00001\n0) aboveTcand the \feld-dependent mag-\nnetization ( M) atTcare:\nMs(T) =M0(\u0000\u000f)\f; for T T c; (2)\nM=DH1=\u000e; for T =Tc (3)\nwhere\u000f= (T\u0000Tc)=Tc;M0,ho=m0, D are critical\namplitudes; and \f;\r;\u000e are critical exponents17. For the\noriginal Arrott plot, \f= 0.5 and \r= 115. In a more\ngeneral case with di\u000berent critical exponents, a modi\fed\nArrott plot is often considered, which formulates as:18.\n(H\nM)1=\r=a\u000f+bM1=\f(4)4\nwhere a and b are \ftting constants. Since the mean\n\feld values apparently do not agree with our experimen-\ntal data, we adopt the modi\fed Arrott plot in order to\nbetter understand the nature of this ferromagnetic transi-\ntion. In Fig. 6(b), we thus show the modi\fed Arrott plot\nwith a set of parameters ( \r= 1.38,\f= 0.25) that pro-\nduces a set of straight lines satisfying the Arrott criteria.\nThe ferromagnetic transition temperature, as depicted\nby the data that passes through the origin, is Tc=71 K.\n05 01 001 500.00.51.01.52.02.53.03.5M2 (104 (emu cm-3)2)H\nint/Mmean field82 K60 K\n01 02 03 04 002468M1/β (108 (emu cm-3)1/β)(\nHint/M)1/γ Tc = 71 Kγ\n = 1.38β\n = 0.25(a)(\nb)\nFIG. 6. (Color online) (a) Arrott plot with critical exponents\nfrom mean \feld theory ( \f= 0.5,\r= 1.0). (b) Modi\fed Arrott\nplot with\f= 0.25,\r= 1.38. Red solid line is a guide for the\neyes, indicating the straight line that passes the origin at Tc.\nIn order to double-check the self-consistency of ob-\ntained critical exponents19, we extract the spontaneous\nmagnetization and initial magnetic susceptibility from\nFig. 6(b). The linearly extrapolated Msand\u001f\u00001\n0are\nplotted as a function of temperature in Fig. 7(a). The\nsolid curves are \ftted lines according to Equation 1 and\n2. The \ftted critical exponent values are \r= 1.39 and \f\n= 0.26, which are similar to the starting values. In the\ninset of Fig. 7(a), the \feld-dependent magnetization of\nCrSbSe 3atTc= 71 K is drawn on a log-log plot. Ac-\ncording to Equation 3, the \feld dependence yield \u000e= 6.6.\nIn comparison to the theoretical prediction based on theWidom relation20:\n\u000e= 1 +\r\n\f(5)\nthe calculated value of \u000e, using experimentally ob-\ntained critical exponents \r= 1.38 and \f= 0.25, is\u00186.5,\nwhich agrees with what is obtained in Fig. 7(a).\nThe self-consistency can also be checked via the\nKouvel-Fisher (KF) method21. According to the KF\nmethod,\nMs(T)\ndMs(T)=dT=T\u0000Tc\n\f(6)\n\u001f\u00001\n0(T)\nd\u001f\u00001\n0(T)=dT=T\u0000Tc\n\r(7)\nbothMs(T)\ndMs(T)=dTand\u001f\u00001\n0(T)\nd\u001f\u00001\n0(T)=dTare linear function of\ntemperature, with their slopes equal to the inverse of\ncritical exponents. As seen in Fig. 7(b), linear lines are\n\ftted to the experimental data and yield \r= 1.36,\f=\n0.25, again, very similar to the starting critical exponent\nvalues.\nThe self-consistency of these critical exponents indi-\ncates a good \ft of the data with theoretical formal-\nism. The set of critical exponents obtained is not close\nto any of the well-known theoretical values for vari-\nous models. The values are also quantitatively di\u000ber-\nent from that obtained for layered ternary chromium tri-\nchalcogenides22,23. The use of the modi\fed Arrott plot\nfor \ftting critical exponents is known to yield signi\fcant\nstandard errors in some cases, which arises when choosing\ndi\u000berent \feld and temperature ranges for consideration.\nAs a consequence, the standard errors are usually di\u000ecult\nto estimate, as has been discussed recently, for example,\nin CrGeTe 322,23, where slightly di\u000berent criteria result in\ndi\u000berent critical exponent values. For the current study\non CrSbSe 3, it is clear that the mean \feld critical expo-\nnents cannot describe the experimental data. The ob-\ntained critical exponents serve as a set of self-consistent\nvalues that matches our data, and may direct further\ndetailed studies to a range around these values.\nFinally, according to the Mermin-Wagner theorem, fer-\nromagnetic or antiferromagnetic ordering cannot happen\nin ideal one- or two-dimensional systems at \fnite tem-\nperature within an isotropic Heisenberg model24. In the\ncase where magnetic anisotropy exists, however, this con-\nclusion does not necessarily hold. In the case of CrSbSe 3,\ndespite the fact that the magnetic-bearing sublattice of\nCr3+appears to be quasi-one-dimensional, the observed\nmagnetic anisotropy in the ordered ferromagnetic state\nmay contribute to its stability. Nevertheless, the ob-\ntained critical exponents still likely re\rect the in\ruence of\nlow-dimensionality of the Cr3+sublattice; further, more\ndetailed investigation may be of interest.5\n606 46 87 27 68 0040801201600\n2040601\n00100010000100150200T\nc = 71 Kγ\n = 1.39T\n (K)Ms (emu cm-3)Tc = 71 Kβ\n = 0.267\n1 Kδ = 6.6χ\n0-1M (emu cm-3)H\nint (Oe)\n-40-30-20-1006\n0626466687072747678808202468T\n (K)Ms(dMs/dT)-1β = 0.25γ\n = 1.36 /s61539\n0-1(d/s615390-1/dT)-1(a)(\nb)\nFIG. 7. (Color online) Temperature dependent spontaneous\nmagnetization Msand inverse initial magnetic susceptiblity\n\u001f\u00001\n0. Inset shows the \feld-dependent magnetization data\nmeasured at Tc= 71 K on a log-log plot. (b) Kouvel-Fisher\nplots forMs(dMs=dT)\u00001and\u001f\u00001\n0(d\u001f\u00001\n0=dT)\u00001. Solid lines\nare \ftting curves to the data.\nIV. CONCLUSIONS\nIn summary, we have synthesized CrSbSe 3single\ncrystals in a high-temperature, Se-rich solution and\ncarried out a study on the structural, electrical and\nmagnetic properties of that material. Structurally,\nCrSbSe 3shows a pseudo-one-dimensional structure with\nmagnetic-moment-bearing Cr sublattice forming double\nrutile chains. Electronically, CrSbSe 3is a semiconductor\nwith a band gap of \u00180.7 eV. In its paramagnetic state,\nit appears to be magnetically isotropic with an e\u000bective\nmoment of\u00184.1\u0016B/Cr and a Curie-Weiss temperature of\n\u0018145 K. The ferromagnetic transition temperature was\ndetermined from a modi\fed Arrott plot as Tc= 71 K.\nIn the ferromagnetic state, CrSbSe 3is anisotropic with\nthea-axis being the easy axis. Based on the modi\fed\nArrott plot and the Kouvel-Fisher method, we arrived at\na set of critical exponents for second order phase tran-\nsition that describe our experimental data: \r= 1.38,\f= 0.25 and \u000e= 6.6. These values could serve as a start-\ning point for further theoretical studies on magnetism at\nlow-dimensions.\nACKNOWLEDGEMENTS\nWe would like to thank Valentin Taufour for insightful\ndiscussions and Tia S. Lee for experimental assistance.\nThis work was supported by the Gordon and Betty Moore\nEPiQS initiative, grant number GBMF-4412.\nAPPENDIX\nThe SXRD data was collected at 296 K with a Kappa\nAPEX DUO di\u000bractometer equipped with a CCD de-\ntector (Bruker) using graphite-monochromatized Mo-K \u000b\nradiation (\u0015= 0.71073 \u0017A). The raw data were corrected\nfor background, polarization, and the Lorentz factor us-\ning APEX2 software25, and a multi-scan absorption cor-\nrection was applied26. The structure was solved using\nthe charge \ripping method27and subsequent di\u000berence\nFourier analyses with Jana200628{30. Structure re\fne-\nment against F2\nowas performed with Shelxl-2017/131,32.\nTABLE I. Crystallographic data and details of the structure\ndetermination of CrSbSe 3derived from single-crystal experi-\nments measured at 296(1) K.\nSum Formula CrSbSe 3\nFormula weight/(g mol\u00001) 410.63\nCrystal system orthorhombic\nSpace group Pnma (no. 62)\nFormula units per cell, Z 4\nLattice parameter a/ \u0017A 9.1388(3)\nb/\u0017A 3.7836(1)\nc/\u0017A 13.3155(4)\nCell volume/ ( \u0017A3) 463.88(2)\nRadiation \u0015(Mo-K\u000b= 0.71073 \u0017A)\n2\u0012\u001482.23\u000e\nData range -16 \u0014h\u001416, -6\u0014k\u00146, -23\u0014l\u001423\nAbsorption\ncoe\u000ecient/mm\u0000131.5\nMeasured re\rections 30213\nIndependent re\rections 1527\nRe\rections with I >2\u001b(I) 1379\nR(int) 0.040\nR(\u001b) 0.015\nNo. of parameters 31\nR1(obs) 0.017\nR1(allF0) 0.022\nwR 2(allF0) 0.030\nResidual electron density\n(e\u0000/\u0017A3)1.12 to -0.986\nTABLE II. Wycko\u000b positions, coordinates, occupancies, and\nequivalent displacement parameters respectively for CrSbSe 3\nsingle-crystal measured at 296(1) K. Ueqis one third of the\ntrace of the orthogonalized Uijtensor\nAtom Wyck.\nsitex y z Occ.Ueq\nSb1 4c 0.47058(2) 1/4 0/65793(2) 1 0.01332(3)\nCr1 4c 0.15547(4) 3/4 0.54468(3) 1 0.00701(5)\nSe1 4c 0.28484(3) 3/4 0.71314(2) 1 0.01009(4)\nSe2 4c 0.00186(2) 3/4 0.39112(2) 1 0.00784(4)\nSe3 4c 0.32801(2) 1/4 0.48446(2) 1 0.00918(4)TABLE III. Anisotropic displacement parameters for CrSbSe 3\nsingle-crystal measured at 296(1) K. The coe\u000ecients Uij\n(/\u0017A2) of the tensor of the anisotropic temperature factor of\natoms are de\fned by exp[2 \u00192(U11h2a\u00032+:::+ 2U23klb\u0003c\u0003)]\nAtomU11 U22 U33 U13\nSb1 0.01182(6) 0.00899(6) 0.01915(7) -0.00246(5)\nCr1 0.00735(12) 0.00616(12) 0.00752(13) -0.00026(10)\nSe1 0.01217(9) 0.00866(8) 0.00946(9) -0.00064(7)\nSe2 0.00848(8) 0.00777(8) 0.00726(8) 0.00029(7)\nSe3 0.00937(8) 0.00815(8) 0.01001(9) 0.00127(7)\n1I.\u0014Zuti\u0013 c, J. Fabian, and S. Das Sarma, Rev. Mod. Phys.\n76, 323 (2004).\n2T. Dietl, Semicond. Sci. Technol. 17, 377 (2002).\n3J. K. Furdyna, J. Appl. Phys. 64, R29 (1988).\n4H. Ohno, Science 281, 951 (1998).\n5Z. Wang, C. Tang, R. Sachs, Y. Barlas, and J. Shi, Phys.\nRev. Lett. 114, 016603 (2015).\n6H. Ji, R. A. Stokes, L. D. Alegria, E. C. Blomberg, M. A.\nTanatar, A. Reijnders, L. M. Schoop, T. Liang, R. Pro-\nzorov, K. S. Burch, N. P. Ong, J. R. Petta, and R. J.\nCava, J. Appl. Phys. 114, 114907 (2013).\n7M.-W. Lin, H. L. Zhuang, J. Yan, T. Z. Ward, A. A. Puret-\nzky, C. M. Rouleau, Z. Gai, L. Liang, V. Meunier, B. G.\nSumpter, P. Ganesh, P. R. C. Kent, D. B. Geohegan, D. G.\nMandrus, and K. Xiao, J. Mater. Chem. C 4, 315 (2016).\n8C. Gong, L. Li, Z. Li, H. Ji, A. Stern, Y. Xia, T. Cao,\nW. Bao, C. Wang, Y. Wang, Z. Q. Qiu, R. J. Cava, S. G.\nLouie, J. Xia, and X. Zhang, Nature 546, 265 (2017).\n9B. Huang, G. Clark, E. Navarro-Moratalla, D. R. Klein,\nR. Cheng, K. L. Seyler, D. Zhong, E. Schmidgall, M. A.\nMcGuire, D. H. Cobden, W. Yao, D. Xiao, P. Jarillo-\nHerrero, and X. Xu, Nature 546, 270 (2017).\n10V. Volkov, G. V. Tendeloo, J. V. Landuyt, S. Amelinckx,\nE. Busheva, G. Shabunina, T. Aminov, and V. Novotort-\nsev, J. Solid State Chem. 132, 257 (1997).\n11D. A. Odink, V. Carteaux, C. Payen, and G. Ouvrard,\nChem. Mater. 5, 237 (1993).\n12A. Jain, S. P. Ong, G. Hautier, W. Chen, W. D. Richards,\nS. Dacek, S. Cholia, D. Gunter, D. Skinner, G. Ceder, and\nK. a. Persson, APL Materials 1, 011002 (2013).\n13A. Tarasova, L. Isaenko, V. Kesler, V. Pashkov, A. Yelis-\nseyev, N. Denysyuk, and O. Khyzhun, J. Phys. Chem.\nSolids 73, 674 (2012).\n14A. Aharoni, J. Appl. Phys. 83, 3432 (1998).\n15A. Arrott, Phys. Rev. 108, 1394 (1957).16T. N. Lamichhane, V. Taufour, S. Thimmaiah, D. S.\nParker, S. L. Bud'ko, and P. C. Can\feld, J. Magn. Magn.\nMater. 401, 525 (2016).\n17M. E. Fisher, Rep. Prog. Phys. 30, 615 (1967).\n18A. Arrott and J. E. Noakes, Phys. Rev. Lett. 19, 786\n(1967).\n19A. K. Pramanik and A. Banerjee, Phys. Rev. B 79, 214426\n(2009).\n20B. Widom, J. Chem. Phys. 41, 1633 (1964).\n21J. S. Kouvel and M. E. Fisher, Phys. Rev. 136, A1626\n(1964).\n22G. T. Lin, H. L. Zhuang, X. Luo, B. J. Liu, F. C. Chen,\nJ. Yan, Y. Sun, J. Zhou, W. J. Lu, P. Tong, Z. G. Sheng,\nZ. Qu, W. H. Song, X. B. Zhu, and Y. P. Sun, Phys. Rev.\nB95, 245212 (2017).\n23Y. Liu and C. Petrovic, Phys. Rev. B 96, 054406 (2017).\n24N. D. Mermin and H. Wagner, Phys. Rev. Lett. 17, 1133\n(1966).\n25APEX2, Version v2013.10, , Bruker AXS Inc., Madison,\nWisconsin, USA (2013).\n26G. M. Sheldrick, Sadabs: Area-Detector Absorption Cor-\nrection, Version 2014/5 , Bruker AXS Inc. (2014).\n27G. Oszl\u0013 anyi and A. S uto, Acta Crystallogr A 60, 134\n(2004).\n28G. Oszl\u0013 anyi and A. S uto, Acta Crystallogr A 64, 123\n(2008).\n29V. Pet\u0014 r\u0013 \u0010\u0014 cek, M. Du\u0014 sek, and L. Palatinus, Jana2006, The\ncrystallographic computing system , Institute of Physics,\nPraha, Czech Republic (2014).\n30V. Pet\u0014 r\u0013 \u0010\u0014 cek, M. Du\u0014 sek, and L. Palatinus, Z. Kristallog.\nCryst. Mater. 229, 345 (2014).\n31G. M. Sheldrick, Acta Crystallogr C 71, 3 (2015).\n32G. M. Sheldrick, SHELX2017, Programs for crystal\nstructure determination , Universit at G ottingen, Germany\n(2017)." }, { "title": "2308.04353v1.First_order_transition_in_Pb___10_x__Cu__x__PO__4____6_O___0_9_x_1_1___containing_Cu__2_S.pdf", "content": "First order transition in Pb10-xCu x(PO 4)6O (0.9 0) over a wide high temperature range . \nBelow 100 K , the resist ivity increase s with decreasing temperature, showing a \nsemiconduct ing-like behavior. The sharp drop in resistivity and the transition \ntemperature are similar to that observed by Lee et al. [5, 6]. Figure 3(c) and (d) shows \ntemperature dependence of resistivity of S1. We observed a jump in resistivity around \n370 K, thermal hysteresis behavior also existed in this sample. \n \nFig. 3 (a)Temperature dependence of resist ivity of S2 . The inset is shown in logarithmic \ncoordinates (b) The enlarge view of the transition loop of S2. (c) Temperature dependence \nof resist ivity of S1 . The i nset is shown in logarithmic coordinates . (d) The enlarge view \nof the transition loop of S1 . \nFigure 4(a) shows the magnetic susceptibility of S2 in a temperature range of 2 K \n- 400 K , in a field of 1 T . The magnetic susceptibility exhibit s diamagneti sm with a \nphase transition occurring at 375 K. Once a gain, a pounced thermal hysteresis of more \nthan 10 K is observed in the magnetic susceptibility data, suggesting that th ere is a first -\norder phase transition . Additionally , the transition temperature range close ly aligned \nwith the structural phase transition temperature of Cu 2S. Figure 4(b) shows the \nmagnetization as a function of magnetic field , ranging from -7 T to 7 T at various \ntemperatures from 2 K to 400 K . It exhibits typical diamagnetic behavior , with no \nresemblance to the behavior of a superconductor . \n \nFig. 4 (a) Temperature dependence of diamagnetic susceptibilities measured in S2 at 1 T \nin cooling and warming course . (b) The magnetic field dependence of magnetic moment \nof S2 \nThe findings above strongly suggest the superconducting -like transition in LK -99 \nas reported by Lee et al. [5, 6] originates first order structural transition of the impurity \nphase of Cu 2S from a hexagonal structure in β phase at high temperature to a monoclinic \nstructure in γ phase at low temperature around 385 K. It is important to note that this \nfirst-order structural transition differs significantly from the second -order \nsuperconducting transition. We recommend that Lee et al . perform resistivity \nmeasurements during cooling and warming processes on their superconducting -like \nmaterials to determine if there is thermal hysteresis. \nCONCLUSION \nIn conclusion , we measured transport and magnetic properties of pure Cu 2S as well \nas the mixture LK -99/Cu2S, and reproduce the experimental results of resist ivity. We \nfound a sharp drop in resist ivity, however, none of them show zero-resist ivity. The \nsuperconducting -like behavior in LK -99 most likely originates from a magnitude \nreduction in resistivity caused by the first -order structural phase transition of Cu 2S. \n \nEmail address: # welyman@iphy.ac.cn \n $ jlluo@iphy.ac.cn \n \nREFRENCES \n[1] Bednorz , J. G. and Müller, K. A. Z. Phys. B 64, 189 (1986). \n[2] Gao, L. et al, Superconductivity up to 164 K in HgBa 2Cam-1CumO2m+2+ δ (m = 1, 2, and 3) under \nquasihydrostatic pressures. Phys. Rev. B 50, 4260 -4263 (1994). \n[3] Drozdov, A. P. Eremets, M. I. Troyan, I. A. Ksenofontov, V . & Shylin, S. I. Conventional \nsuperconductivity at 203 kelvin at high pressures in the sulfur hydride sys tem, Nature 525, 73 \n(2015). \n[4] Kong, P. et al. Superconductivity up to 243 K in the yttrium -hydrogen system under high \npressure. Nat. Commun. 12, 5075 (2021). \n[5] Lee, S. Kim, J. H. & Kwon, Y . W. The First Room -Temperature Ambient -Pressure \nSuperconductor. arXiv:2307.12008 (2023). \n[6] Lee, S. et al. Superconductor Pb 10-xCux(PO 4)6O showing levitation at room temperature and \natmospheric pressure and mechanism. arXiv:2307.12037 (2023). \n[7] Wu, H.et al. Successful growth and room temperature ambient -pressure magnetic levitation of \nLK-99, arXiv:2308.01516 (2023). \n[8] Li, L. et al. Semiconducting transport in Pb 10-xCux(PO 4)6O sintered from Pb 2SO 5 and Cu 3P, \narXiv:2307.16802 (2023). \n[9] Kumar, K. Karn, N.K. and Awana, V .P.S. Synthes is of possible room temperature \nsuperconductor LK -99: Pb 9Cu(PO 4)6O, arXiv:2307.16402 (2023) \n[10] Hou, Q. et al. Observation of zero resistance above 100 K in Pb 10-xCux(PO 4)6O, \narXiv:2308.01192 (2023) \n[11] Hirahara E. The Physical Properties of Cuprous Sulfides -Semiconductors; J. Phys. Soc. \nJpn. 6, 422-427 (1951). \n[12] Nierodaa , P. et al. Thermoelectric properties of Cu 2S obtained by hi gh temperature synthesis \nand sintered by IHP method, Ceramics International , 46, Part A , 25460 (2020). \n \n " }, { "title": "2211.01005v1.Umklapp_electron_electron_scattering_in_bilayer_graphene_moiré_superlattice.pdf", "content": "Umklapp electron-electron scattering in bilayer graphene moir\u0013 e superlattice\nChristian Moulsdale1, 2,\u0003and Vladimir Fal'ko1, 2, 3\n1School of Physics and Astronomy, University of Manchester, Manchester M13 9PL, UK\n2National Graphene Institute, University of Manchester, Manchester M13 9PL, UK\n3Henry Royce Institute, Institute for Advanced Materials, Manchester M13 9PL, UK\n(Dated: November 3, 2022)\nRecent experimental advances have been marked by the observations of ballistic electron trans-\nport in moir\u0013 e superlattices in highly aligned heterostructures of graphene and hexagonal boron\nnitride (hBN). Here, we predict that a high-quality graphene bilayer aligned with an hBN substrate\nfeaturesT2-dependent resistivity caused by umklapp electron-electron (Uee) scattering from the\nmoir\u0013 e superlattice, that is, a momentum kick by Bragg scattering experienced by a pair of elec-\ntrons. Substantial Uee scattering appears upon p-doping of the bilayer above a threshold density,\nwhich depends on the twist angle between graphene and hBN, and its contribution towards the\nresistivity grows rapidly with hole density until it reaches a peak value, whose amplitude changes\nnon-monotonically with the superlattice period. We also analyse the in\ruence of an electrostatically\ninduced bandgap in the bilayer and trigonal warping it enhances in the electron dispersion on the\nelectron-electron umklapp scattering.\nUmklapp electron-electron (Uee) scattering is a funda-\nmental process contributing towards the electrical resis-\ntivity of ultraclean metals. In this process, a pair of elec-\ntrons interact via Coulomb repulsion and simultaneously\ntransfer momentum, ~g, to the crystalline lattice, where\ngis a reciprocal lattice vector (Bragg vector) of this\nlattice. Taking into account this momentum kick, the\nwavevectors of the incoming ( k1=2) and outgoing ( k3=4)\nelectron states satisfy the following condition:\nk3+k4=k1+k2+g: (1)\nWhen such a process relocates a pair of electrons across\nthe Fermi surfaces, as illustrated in Fig. 1 (left-hand-side\npanel), the resulting two-electron back-scattering gener-\nates resistivity, in contrast to \\normal\" Coulomb scat-\ntering, which conserves the total momentum of the pair.\nThe Uee contribution towards the resistivity typically has\naT2temperature dependence [1], but it is di\u000ecult to\notherwise vary its strength in metals, where the electron\ndensity and a size of the Fermi surface are set by the ma-\nterial's chemistry, and the latter may not contain states\nthat satisfy the condition in Eq. (1).\nWith the availability of long-period superlattices, such\nas moir\u0013 e superlattices (mSL) in incommensurate het-\nerostructures of graphene [2{10] or twisted graphene bi-\nlayers [11{14], it becomes feasible to vary the electron\ndensity across the range where Uee processes can be\nswitched on/o\u000b and, then, its strength substantially var-\nied. In a monolayer graphene/hexagonal boron nitride\n(hBN) heterostructure, it has been observed that, above\na well-de\fned threshold density (which depends on the\nmSL period and, therefore, on the twist angle between\ngraphene and hBN crystals), the rate of mSL-Uee grad-\nually increases, becoming a dominant factor in the resis-\ntivity at room temperature [10, 15].\n\u0003christian.moulsdale@postgrad.manchester.ac.uk\nFigure 1. Left: Umklapp electron-electron (Uee) scattering\nby a moir\u0013 e superlattice in BLG. \u000fFand\u000fLare the Fermi\nenergy and saddle point energy in the \frst mSL miniband on\nthe valence side, respectively, counted from the conduction-\nvalence band edge. Right: The non-monotonic evolution of\nthe contribution, \u001aUee=T2f(n), of Uee scattering to the\nelectrical resistivity against electron density, n, for various\ntwists angles, \u0012, between graphene and hBN, at T= 100 K\n(Uee processes dominate when T\u001cj\u000fFj=kB;j\u000fF\u0000\u000fLj=kB).\nInset: Peak value of the Uee resistivity, whose magnitude,\n\u001amax\nUee, is shown as a function of the mSL period, \u0015(and\u0012).\nHere, we claim that mSL-Uee processes are important\nfor understanding electronic transport in highly aligned\nbilayer graphene (BLG)/hBN heterostructures, where\nthey make a substantial contribution,\n\u001aUee/T2jn\u0000n\u0003j1=2; (2)\ntowards the resistivity, Fig. 1. As for the mSL in mono-\nlayer graphene, this contribution appears only above a\nthreshold density, n\u0003, growing rapidly just above the\nthreshold. However, at higher densities and speci\fcally\nfor bilayer graphene, the resistivity falls o\u000b with the den-\nsity of states, which results in a prominent peak, \u001amax\nUee,arXiv:2211.01005v1 [cond-mat.mes-hall] 2 Nov 20222\nin the density-dependent resistivity. The size of this\npeak increases non-monotonically with the mSL period,\n\u0015, (maximum value for \u0015\u001913 nm) as a result of the in-\nterplay between the trigonal warping of the dispersion of\nelectrons in BLG [16, 17] and the mSL periodicity. This\ncontrasts with the mSL in monolayer graphene, where the\nUee resistivity increases monotonically with both density\nand mSL period [10, 15], \u001aUee/T2jn\u0000n\u0003j3=2, due to\nthe suppressed backscattering of Dirac electrons.The above predictions are derived by considering Uee\nscattering in the BLG/hBN heterostructure sketched in\nFig. 2, enabled by the mSL at the graphene/hBN inter-\nface, which period is determined by a \u000e= 1:8% lattice\nmismatch between graphene and hBN and a misalign-\nment angle, \u0012. Projecting onto the low-energy bands of\nbilayer graphene in its K\u0018valley (\u0018=\u0006), the electronic\nproperties of this system can be described by a 2 \u00022\ne\u000bective Hamiltonian, [2, 4, 18{20]\n^H=\u00001\n2m\u0003\u0012\n0 ^\u0019y2\n^\u001920\u0013\n+v3\u00120 ^\u0019\n^\u0019y0\u0013\n+\u000b^p2\n2m\u0003\u0012\n1 0\n0 1\u0013\n\u0000\u0001\n2\u0012\n1\u0000^p2\nm\u0003\r1\u0013\u0012\n1 0\n0\u00001\u0013\n+5X\nm=0^Mgm;\n^Mgm= \n[u0+i(\u00001)mu3]eigm\u0001r 1p2m\u0003\r1u1(\u00001)m+1e\u0000i\u0018m\u0019= 3eigm\u0001r^\u0019y\n1p2m\u0003\r1u1(\u00001)m+1ei\u0018m\u0019= 3^\u0019eigm\u0001r 1\n2m\u0003\r1[u0\u0000i(\u00001)mu3]^\u0019eigm\u0001r^\u0019y!\n;(3)\nwhere ^\u0019=~(\u0000i\u0018@x+@y) and ^p2=\u0000~2(@2\nx+@2\ny). The\n\frst three terms are intrinsic to BLG, representing the\ne\u000bective electron mass, m\u0003=\r1=(2v2)\u00190:032me, from\nthe intralayer ( v) and vertical interlayer ( \r1) couplings,\ntrigonal warping from the skew interlayer ( v3) cou-\nplings [21] and a parabolic shift which lifts the particle-\nhole (eh) symmetry ( \u000b) [22], respectively. The fourth\nterm represents an electrostatically controlled interlayer\npotential asymmetry, \u0001.\nThe \fnal term in Eq. (3) represents the e\u000bects of\nthe mSL sketched in Fig. 2, with harmonics correspond-\ning to the \frst star of mSL Bragg vectors, gm\u0019\u000e\u0001\nGm\u0000\u0012(ez\u0002Gm) (m= 0;1;\u0001\u0001\u0001;5), where Gm=\n4\u0019p\n3a(\u0000sinm\u0019\n3;cosm\u0019\n3) is a graphene Bragg vector. This\nis parameterised by u0=1=3, corresponding to an energy\nshift, gauge \feld and mass term in the graphene layer\nclosest to the hBN layer, respectively [23]. Each har-\nmonic, ^Mgm, couples plane wave states separated by gm,\nwhich reconstructs the conduction and valence bands of\nisolated BLG into minibands (see Fig. 1).\nFor electrons on a superlattice, Coulomb interaction\nleads to mSL-Uee processes (see Fig. 1): two electrons\nfrom one side of the Fermi line backscatter together to\nthe other side, receiving a momentum kick ( g=gm)\nfrom the mSL. Such processes only occur when the size\nof the Fermi contour is su\u000eciently large compared to\njgj, giving a threshold electron density, n\u0003, which de-\ncreases with the size of the mSL unit cell as seen in\nFig. 2 for the gapless spectrum with \u0001 = 0. The mSL-\nnormalised threshold, n\u0003=n0, (due to spin-valley degen-\neracy,n0= 4=Acorresponds to one \flled miniband) in-\ncreases non-monotonically with \u0012as the isoenergy lines\nbecome decreasingly concave with density, a consequence\nof the trigonal warping and most signi\fcant when jnj<\n2m2v2\n3=\u0019. This distinguishes the threshold behaviour in\nbilayer graphene, pulling down from the value jn\u0003j=n0=\n\u0019=(8p\n3)\u00190:23 established for the the isotropic Dirac\nspectrum of monolayer graphene. Also, it is important to\nFigure 2. Left: The lattice mismatch ( \u000e\u00191:8%) and twist, \u0012,\nbetween BLG and hBN gives rise to a moir\u0013 e superlattice with\nperiod,\u0015\u0019a=p\n\u000e2+\u00122, and unit cell of area, A=p\n3\u00152=2.\nRight: The mSL-normalised magnitude, jn\u0003j=n0(n0= 4=A)\nof the threshold density of holes, n\u0003<0, or electrons, n\u0003>0,\nat which Uee scattering becomes possible due to a su\u000eciently\nlarge Fermi line. The threshold density, n\u0003, was calculated as\na function of twist angle \u0012taking into account the particle-\nhole asymmetry in the BLG Hamiltonian ( \u000b= 0:15), and\ncompared to the symmetric cases of \u000b= 0 and the monolayer\ngraphene superlattice ( jn\u0003j\u00190:23n0).\nconsider the e\u000bects of a conduction-valence band asym-\nmetry in the bilayer dispersion, accounted for by the\nthird term in Eq. (3) with \u000b= 0:15 [21]. The latter\na\u000bects the concavity of the isoenergy lines, especially in\nthe \frst valence miniband, making the threshold density,3\njn\u0003j, slightly di\u000berent for n- andp-doping of BLG mSL -\nsee Fig. 2.\nIn the following, we derive the amplitudes for mSL-Uee\nprocesses, treating the mSL and electron-electron inter-\nactions in the lowest-order perturbation scheme. This is\nimplemented for densities just above the threshold, n\u0003,where the resonant mixing of plane wave states is negligi-\nble, and we neglect the reconstruction of the electron dis-\npersion into minibands. We account for the four leading\nFeynman diagrams involving Coulomb and mSL scatter-\ning of electrons o\u000b and back on to the Fermi level via an\nintermediate virtual state,\nWg=k1+g\nk2−k4k1 k3\nk2 k4+\nk2+gk3−k1k1 k3\nk2 k4+k3−g\nk4−k2k1 k3\nk2 k4+ k1−k3\nk4−gk1 k3\nk2 k4.\n(4)\nIn each diagram, the initial and \fnal momenta are related\nby Eq. (1);\nq\u0011~V(q)\u0019Wis the screened Coulomb\ninteraction; \u0004\u0011^Mgis an mSL interaction harmonic\nwhich imparts momentum kick ~g; and\np is a propa-\ngator of an electron in the virtual state [24{28]. To men-tion, the mSL scattering amplitudes feature a particle-\nhole asymmetry, generic for graphene/hBN heterostruc-\ntures, with values typically an order of magnitude larger\nin the valence miniband as compared to the conduction\nminiband [2{5].\nEquipped with the amplitudes in Eq. (4), we use linear\ntransport theory [10, 29, 30] (see SM) to calculate the\ncontribution of Uee processes to the resistivity,\n\u001aUee=h\n6e2(kBT)25X\nm=0Zd\u00121d\u00122\njk3\u0002k4jk1k2k3k4\njvk1vk2vk3vk4jjWgmj2vx1(vx1+vx2\u0000vx3\u0000vx4)\u001e\u0012Z\nd\u0012k\njvkjv2\nx\u00132\n: (5)\nIn this expression, ki=ki(cos\u0012i;sin\u0012i) is the wave vec-\ntor of each electron ( i= 1;2;3;4) on the Fermi line,\nandviits group velocity. In Fig. 1, the results of this\nanalysis are summarised for the vertically unbiased het-\nerostructure, \u0001 = 0. The Uee contribution is isotropic\n(\u001aUee\n\u000b\f\u0011\u001aUee\u000e\u000b\f) due to the C3symmetry of the mSL.\nAlso, note that the \\normal\" (momentum-conserving)\nelectron-electron scattering suppresses higher order har-\nmonics in the non-equilibrium distribution of electrons,\nso that accounting for Uee becomes the same as account-\ning for an additional momentum transfer from the accel-\nerated electrons (by the electric \feld) in the scattering\ntime approximation (see SM). Here, we limit the analy-\nsis of Uee to the density range of 0 :1n0\r1) primarily located on these dimer atoms, alongside the low-energy bands primarily located on the non-dimer\natoms which dominate the contribution of Umklapp electron-electron (Uee) processes to the resistivity.\nWe use a Schrie\u000ber-Wol\u000b transformation to project the high-energy bands onto the low-energy bands, giving an\ne\u000bective 2\u00022 Hamiltonian at the K\u0006points:\n^H0=\u00001\n2m\u0003\u0012\n0 ^\u0019y2\n^\u001920\u0013\n+v3\u00120 ^\u0019\n^\u0019y0\u0013\n+\u000b1\n2m\u0003\u0012\n^\u0019y^\u00190\n0 ^\u0019^\u0019y\u0013\n\u0000\u0001\n2\u0014\u0012\n1 0\n0\u00001\u0013\n\u00001\nm\u0003\r1\u0012\n^\u0019y^\u0019 0\n0\u0000^\u0019^\u0019y\u0013\u0015\n: (S6)\nNote that we neglect a constant energy term corresponding to the mean on-site potential. Particle-hole symmetry\nbreaking is achieved by the third term ( \u000b1\u00190:15), alongside a small, additional parabolic shift,\u000b2^p2\n2m\u0003I(\u000b2\u00180:01),\nwhich accounts for the the next-nearest-neighbour intralayer couplings and non-orthogonality of graphene orbitals in\nSec. I, expanded at the K\u0006points. The momentum operators commute in the absence of an external magnetic \feld,\nand Eq. (S6) simpli\fes to give the \frst four terms in Eq. (3) of the main text, with \u000b=\u000b1+\u000b2\u00190:15 [S21, S22].\nConsidering plane wave states of wave vector, ( K\u0006+)k, in the Brillouin zone, this Hamiltonian features a conduction\nand valence band of energies, \u000f\u0006(k) (\u000f+>\u000f\u0000), and wavefunctions, j \u0006(k)i=eik\u0001ru\u0006(k), respectively, satisfying the\neigenvalue equation, ^H0j \u0006(k)i=\u000f\u0006(k)j \u0006(k)i.\nThe \fnal term in the Hamiltonian in Eq. (3) of the main text represents the moir\u0013 e superlattice (mSL) interaction\nwith the hBN layer, featuring harmonics, ^Mgm, for each of the \frst star of mSL Bragg vectors, gm(m= 0;1;\u0001\u0001\u00015) [S2{\nS5, S39]. The harmonic ^Mgmcouples plane wave states of wavevector kandk+gm:h s0(k+gm)j^Mgmj s(k)i6=2\n0 (s;s0=\u0006). Hence, we derive the reconstructed dispersion from the eigenvalue equation with the zone-folded\nwavefunction,\nj\t(k)i=X\ngX\ns=\u0006cs\nk+gj s(k+g)i; (S7)\nwherecs\nk+gare complex coe\u000ecients and we sum over the mSL Bragg vectors, g[S2, S4]. This gives a collection of\nminibands (see Fig. 1) in the moir\u0013 e Brillouin zone (mBZ), and the conduction and valence minibands are converged\nwhen we sum over mSL Bragg vectors, g, which are the sum of at most two of the \frst star vectors, gm. As discussed in\nthe main text, we can neglect the mSL-induced reconstruction of the bands in the relevant density ranges, n=n\u0003\u001c4.\nDepending upon the interlayer potentially asymmetry, \u0001, and density, n, the Fermi line will have one of three\nforms:\n1. A single contour centred on the origin.\n2. Two non-touching contours, each centred on the origin. We neglect this regime, since it is narrow on the energy\naxis, and the resistivity will be dominated by impurity scattering at the van Hove singularities [S31, S32].\n3. Three non-touching contours (minivalleys), p= 0;1;2, centred on the three band edges, k(p)\nc =\nkc(cosp2\u0019\n3;sinp2\u0019\n3) (kc>0), respectively [S17]. The contours do not enclose the origin, and are related by\nthe three-fold rotational symmetry. We expand about the centre of the minivalley, k!k(p)\nc+k:\nk=k\u0012\ncos\u0012\n\u0012+p2\u0019\n3\u0013\n;sin\u0012\n\u0012+p2\u0019\n3\u0013\u0013\n; (S8)\nsuch thatk(\u0012) is the same for each minivalley. We suppress the contour index for simplicity in the following\nsections, implicitly summing over the valid contours.\nIII. SCREENED COULOMB INTERACTION\nThe unscreened Coulomb potential in real space is\nV0(r)\u0011V0(r) =e2\n4\u0019\u0014\u000f0r; (S9)\nwhere\u0014\u00192:5 is the dielectric constant of bilayer graphene in hBN [S26]. Performing the in-plane Fourier transfor-\nmation on this potential gives\n~V0(Q)\u0011~V0(Q) =e2\n2\u0014\u000f0Q; (S10)\nfor the momentum transfer, ~Q. Electron states screen this potential and, in the random phase approximation [S24{\nS27], the screened potential is given by\n~V(Q) =~V0(Q)\n1 +~V0(Q)\u00050(Q); (S11)\nwhere the static polarisation, including the two-fold spin degeneracy, is given by\n\u00050(Q) =\u00002X\ns;s0=\u0006X\n\u0018=\u0006Zd2k\n(2\u0019)2f0(\u000fs(k))\u0000f0(\u000fs0(k+Q))\n\u000fs(k)\u0000\u000fs0(k+Q)jh s(k)j s0(k+Q)ij2; (S12)\nin terms of the equilibrium distribution function for Fermi energy, \u000fF,\nf0(\u000f) =1\ne(\u000f\u0000\u000fF)=(kBT)+ 1: (S13)\nIn the low-temperature regime discussed in the main text, we approximate the distribution function as a step, @f0=@\u000f\u0019\n\u0000\u000e(\u000f\u0000\u000fF), and the polarisation is dominated by intra-band overlaps and small momentum transfers, Q\u00190:\n\u00050(Q)\u0019\u00050(0) =1\n\u00192Z\nd\u0012k\njvkj; (S14)3\nwhere we integrate over the Fermi surface, and the electron group velocity is v(k) =~\u00001rk\u000f(k). Since the screening\nis strong ( ~V0(Q)\u00050(Q)\u001d1) in the region of interest, we approximate the screened potential as a contact potential,\nV(r)\u0019W\u000e(r), where\nW= \u0005 0(0)\u00001: (S15)\nIV. MATRIX ELEMENTS\nThe matrix element, Wg, for Uee scattering by the mSL Bragg vector, g=gm, is the sum of four diagrams where\nelectrons 1, 2, 3 and 4 scatter o\u000b the mSL, respectively, shown as Feynman diagrams in Eq. (4) of the main text.\nThese give the respective terms in the explicit expression,\nWg=Xg(1;2;3;4) +Xg(2;1;4;3) +X\u0003\n\u0000g(3;4;1;2) +X\u0003\n\u0000g(4;3;2;1); (S16)\nwhere\nXg(a;b;c;d ) =X\ns0=\u0006h s0(ka+g)j^Mgj s(ka)i\n\u000fs(ka)\u0000\u000fs0(ka+g)~V(kb\u0000kd)h s(kc)j s0(ka+g)ih s(kd)j s(kb)i; (S17)\nsumming over both bands for the intermediate virtual electron [S10, S15]. Spatial inversion is equivalent to taking\nthe complex conjugate of the matrix elements, which leaves the magnitude of Eq. (S17) unchanged. In the main text,\nwe restrict the integral to the Fermi surface, \u000f1=2=3=4=\u000fF.\nV. LINEAR RESPONSE THEORY\nThe Boltzmann equation for the electron distribution function, f(k), of an electron with wave vector, k, in the\npresence of an external electric \feld, E, is given by\neE\u0001rkf(k) =Iff(k)g; (S18)\nwhere the collision integral, Iff(k)g, is determined by electron scattering [S10, S29, S30]. Note that the electron\ncharge is negative, e <0. The equilibrium distribution (S13), appropriate for E= 0, satis\fes the detailed balance\ncondition,Iff0(\u000f)g= 0. We expand about this equilibrium distribution to \frst order in the chemical potential shift,\n\u001e(k):\nf(k) =f0(\u000f(k))\u0000@f0\n@\u000f\f\f\f\f\n\u000f=\u000f(k)\u001e(k): (S19)\nExpanding Eq. (S18) to \frst order in \u001e(k) gives the linearised Boltzmann equation,\neEvE(k)@f0\n@\u000f\f\f\f\f\n\u000f=\u000f(k)=If\u001e(k)g; (S20)\nwhich relates the kinetic function, vE(k) =v(k)\u0001E=E, to\u001e(k). This equation is inverted to give the corresponding\nshift,\u001e(k), for the known kinetic function, vE(k), from which we calculate the scattering-limited longitudinal electrical\nconductivity as\n\u001b=4e\nEZd2k\n(2\u0019)2vE@f0\n@\u000f\u001e; (S21)\nwith corresponding resistivity, \u001a\u0011\u001b\u00001. As a result of the C3symmetry, the resistivity is isotropic and independent\nof the \feld direction, so we set E= (E;0;0) for simplicity.\nThe collision integral for Uee scattering is given by [S10, S15, S29, S30]\nIUeef\u001e(k1)g=4\nkBT5X\nm=0Zd2k2d2k3d2k4\n(2\u0019)62\u0019\u000e(\u0001\u000f)(2\u0019)2\u000e(2)(\u0001k\u0000gm)jWgmj2\u0001\u001e1\n164Y\ni=1sech\u0012\u000fi\u0000\u000fF\n2kBT\u0013\n; (S22)4\nin terms of the change,\n\u0001f=f(k3) +f(k4)\u0000f(k1)\u0000f(k2); (S23)\nof the total f=\u001e;\u000f;kduring the process. The Dirac delta functions ensure energy and momentum (including kick\n~g) conservation of the incoming and outgoing electrons. At low temperatures, we restrict this to an integral over the\nFermi surface since\n\u000e(\u000f3+\u000f4\u0000\u000f1\u0000\u000f2)4Y\ni=1sech\u0012\u000fi\u0000\u000fF\n2kBT\u0013\n\u001932\u00192(kBT)3\n34Y\ni=1\u000e(\u000fi\u0000\u000fF): (S24)\nWe simplify using the constant relaxation time approximation, where the collision integral, Iff(k)g, of a scattering\nprocess is approximated by\nIff(k)g=\u0000~f(k)\u0000f0(\u000f(k))\n\u001c; (S25)\nin terms of a constant scattering time, \u001c[S10, S15]. Expanding to \frst order in the chemical potential shift, \u001e(k),\nthe collision integral simpli\fes to\nIf\u001e(k)g=~\n\u001c@f0\n@\u000f\f\f\f\f\n\u000f=\u000f(k)\u001e(k): (S26)\nInserting this into the linearised Boltzmann equation in Eq. (S20), we easily \fnd the solution,\n\u001e(k) =\u0000eE\u001cvx(k)=~; (S27)\nwith scattering time,\n~\n\u001c=Z\nd2kIfvxgvx\u001e\u0012Z\nd2k@f0\n@\u000fv2\nx\u0013\n; (S28)\nfound self-consistently for a collision integral linear in \u001e. Then, the Uee contribution to the resistivity, \u001aUee, in the\nlow-temperature regime is given by Eq. (5) of the main text.\nVI. LOW-DENSITY RESISTIVITY\nAt density, n, just above the threshold, n\u0003, Uee scattering will be restricted to the immediate vicinity of the points\nshown in Fig. 2 of the main text. The wavevector, k, velocity, v, and scattering amplitudes, Wg, are approximately\nconstant in this range, so the resistivity has the approximate form,\n\u001aUee/T25X\nm=0Zd\u00121d\u00122\njsin(\u00123\u0000\u00124)j: (S29)\nThe size of the phase space in the integral scales according to jn\u0000n\u0003j, so we have \u001aUee/T2jn\u0000n\u0003j1=2, except for\n\u001aUee/T2jn\u0000n\u0003jin the region discussed in the main text corresponding to split Uee scattering, where sin( \u00123\u0000\u00124)\nis also approximately constant." }, { "title": "1705.06132v1.Mathematical_Analysis_of_the_Probability_of_Spontaneous_Mutations_in_HIV_1_Genome_and_Their_Role_in_the_Emergence_of_Resistance_to_Anti_Retroviral_Therapy.pdf", "content": "arXiv:1705.06132v1 [q-bio.OT] 15 May 2017Mathematical Analysis of the Probability of Spontaneous Mu tations\nin HIV-1 Genome and Their Role in the Emergence of Resistance to\nAnti-Retroviral Therapy\nEslam Abbas1\n1MBChB, Kobri El Koba Medical Complex, El Khalifa El Maamoun St.\nIntersection of El Fangary St., Heliopolis, Cairo, 11766, Egypt.\nSummary\nBackground: High mutability of HIV is the driving\nforce of antiretroviral drug resistance, which repre-\nsents a medical care challenge.\nMethod and Model Equation: To detect\nthe mutability of each gene in the HIV-1 genome;\na mathematical analysis of HIV-1 genome is per-\nformed, depending on a linear relation wherein the\nprobability of spontaneous mutations emergence is\ndirectly proportional to the ratio of the gene length\nto the whole genome length.\nPgSi=g\nG\nResults: tat ,vprandvpuare the least mu-\ntant genes in HIV-1 genome. Protease PROTgene\nis the least mutant gene component of polymerases\npol.\nConclusion: tat ,vprandvpuare the best\ncandidates for HIV-1 recombinant subunit vac-\ncines or as a part of “prime and boost” vaccine\ncombinations. Also; the protease inhibitor-based\nregime represents a high genetic barrier for HIV to\novercome.\nINTRODUCTION:\nRetroviruses depend on their genetic instability as an\nevolutionary advantage to boost adaptive mutations.\nHIV has a very high genetic variability, which is a\nresult of its fast replication cycle coupled with a\nhigh mutation rate [1]. HIV is capable of rapidly\nresponding to the selective pressures imposed by\nthe immune system and antiretroviral drugs. Drugs\ntarget only specific molecules, which are almost\nalways proteins. Because the drug is so specific, any\nmutation in these molecules will interfere with or\nnegate its effect, resulting in drug resistance [2].Biologicalsystems areparamountexamples of com-\nplex dynamical systems, so mutation emergence is a\nfundamental property. The ability to calculate the\nprobability of spontaneous mutations in a specific\ngene, will help provide an overview of the possibility\nof emergence of resistance to the protein translated\nfrom that gene during antiviral drug development.\nAlso, this ability will be beneficial during protocol-\ning of combination therapy.\nMODEL EQUATION:\nThe method used to formulate the mutability map\nof HIV-1 genetic pool, is a linear relation in which\nthe probability of spontaneous mutation emergence,\nis directly proportional to the ratio of the gene length\nto the whole genome length.\nPg∝g\nG\nAnd so;\nPgSi=g\nG\nWherein;\nPgis the probability of spontaneous mutation emer-\ngence in a gene per duplicate.\ng\nGis the ratio between the gene length and the whole\ngenome length.\nSiis the stability index, which is a genome specific\nfixed value, and so:\nPGSi= 1\nPGis the probability of spontaneous mutation\nemergence in the genome per duplicate.\nAnd so; the stability index represents the degree of\nstability of a genome.\nThe mutation rate of HIV-1 is approximately 3 ×\n10−5per nucleotide base per cycle of replication [3].\nThe HIV-1 genome contains 9181 bases [4] and ac-\ncordingly; the stability index of the HIV-1 genome is:\nSi=1\nPG2\nSo;\nSi≈3.63\nRESULTS:\nA mathematical analysis, using the proposed equa-\ntion, is performed and data are collected in 3\ntables. Table 1 describes the analysis of the HIV-1\ngenetic pool, which indicates that the probability\nof spontaneous mutation emergence is lesser for\ntat,vprandvpu. Table 2 describes the detailed\nanalysis of the probability of spontaneous mutations\nemergence in the components of polgene: reverse\ntranscriptase, integrase and protease genes. These\ngenes are translated into the main target proteins of\nantiretroviral therapy. The analysis indicates that\nreverse transcriptase RTis the most mutant gene of\nthe polymerases and protease PROT is the least.\nTable 3 describes the analysis of the structural genes\nofgagandenv, which indicates that gp120is more\nsusceptible to spontaneous mutations emergence, and\nso has a higher diversity, than gp41.\nTable 1:\nAnalysis of the probability of spontaneous mutation\nin HIV-1 genetic pool; wherein gis the gene length,\nPgis the probability of spontaneous mutation emer-\ngence in a specific gene and % PGis % of spontaneous\nmutation probability of the genome.\nGene (g)Pg%PG\ngag1502≈0.04916.36%\npol3011≈0.09832.8%\nvif569≈0.0176.2%\nvpr236≈0.0082.57%\ntat259≈0.0082.9%\nrev349≈0.0113.8%\nvpu248≈0.0082.7%\nenv2570≈0.07728%\nnef371≈0.0124%\nTable 2:\nThe probability of spontaneous mutations in RT,\nINTandPROTgenes of HIV-1, that are translated\ninto the main target proteins of antiretroviral ther-\napy.\nGene (g)Pg%PG\nRT2078≈0.06722.63%\nINT 464≈0.0155.05%\nPROT 296≈0.013.23%Table 3:\nAnalysis of the probability of spontaneous mutations\nin structural genes gagandenv.\nGenemPeptide (g)Pg%PG\ngag P17 452≈0.0154.9%\nP24 692≈0.0227.54%\nP2 41≈0.0010.45%\nP7 164≈0.0051.79%\nP6 155≈0.0051.7%\nenv gp120 1442≈0.04715.7%\ngp41 1034≈0.03411.26%\nDISCUSSION:\nSpontaneous mutation can arise from a variety of\nsources, and whatever the cause is, a large gene\nprovides a large target and tend to mutate more\nfrequently. Thus; the probability of spontaneous\nmutation is related to the ratio between the gene\nlength and the whole genome length. This basic\nlinear relation is used to formulate an equation that\ncalculates the probability of emergence of spon-\ntaneous mutation in a certain gene per duplicate,\ndepending on the ratio between the gene length and\nthe whole genome lengthg\nGin addition to the fixed\ngenome-specific stability index Si.\nThe drawbacks, which halt the development of\nHIV vaccines, are high mutability and variability of\nthe virus. The mathematical analysis of each gene\nin HIV-1 genome (Table 1) indicates that tat,vpr\nandvpuare the least mutant genes per duplicate, so\nthey are the best candidates for HIV-1 recombinant\nsubunit vaccines or as a part of “prime and boost”\nvaccine combinations. Also; the analysis indicates\nthat the probability percent of spontaneous mutation\nemergence in the major three genes of HIV-1 genome\ngag,polandenvis 16.36 %, 32.8 % and 28 %\nrespectively. So; polgene, which translated into\npolymerases enzymes, is the most susceptible gene for\nspontaneous mutations. Polymerases are currently\nthe main targets for antiretroviral therapy and\nfurther analysis of polgene indicates that reverse\ntranscriptase RTgene is the most mutant among the\npolymerases.\nThe probability percent of spontaneous mutations\nin theRT, accounts for 22.63 % of the total probabil-\nity of spontaneous mutation emergence of the whole\nHIV-1 genome. Despite its high mutability; reverse\ntranscriptase inhibitors should stay as a backbone of\nany highly active antiretroviral therapy (HAART).\nReverse transcriptase, and due to its recombogenic\nproperties and the absence of proofreading activity,\nis the core source of mutations in the HIV replication3\ncycle. On the other hand; protease PROTgene is\nthe least mutant in the polymerases. The probability\npercent of spontaneous mutations in the PROT\naccounts for 3.23 % of the total probability of\nspontaneous mutation emergence of the whole HIV-1\ngenome (Table 2). Accordingly; protease inhibitors\nare better candidates, as a base, for antiretroviral\ncombination therapy and the protease inhibitor-based\nregime represents a high genetic barrier for HIV to\novercome.\nThe proposed mathematical analysis has many\nsupportive clinical data. For example; The United\nKingdom has one of the highest reported rates of\nprimary resistance to HIV drugs worldwide. UK\nGroup on Transmitted HIV Drug Resistance stated\nthat the prevalence of resistance to any antiretroviral\ndrug; to nucleoside or nucleotide reverse transcrip-\ntase inhibitors (NRTI), to non-nucleoside reverse\ntranscriptase inhibitors (NNRTI), or to protease\ninhibitors (PI) were 19.2 %, 12.4 %, 8.1 %, and\n6.6 %, respectively [5]. In Spain; a study stated\nthat the prevalence was 5.8 % for NRTI, 5 % for\nNNRTI and 3.8 % for PI [6]. In Turkey; a study\nstated that the percentage of HIV-1 primary drug\nresistance mutations, in antiretroviral therapy-naive\npatients, was 5.2 % for NRTI, 3.1 % for NNRTI and\n2.1 % for PI [7]. In Djibouti; a study indicated that\namong 16 patients with first-line ART failure, 56.2\n% showed reverse transcriptase inhibitor-resistant\nHIV-1 strains. But on the contrary; no protease\ninhibitor resistant strains were detected [8]. All\nthese findings indicate that resistance emergence to\nprotease inhibitors is much lesser than that of reverse\ntranscriptase inhibitors.\nIn a wider scope; the main advantage of the\nproposed mathematical approach is providing a\nlinear equation to calculate the probability of spon-\ntaneous mutation per duplicate for simpler viral\ngenomes. Otherwise; further analysis is needed\nbefore recruiting this equation to make a mutability\nmap for more complicated bacterial or eukaryotic\ngenomes. If the equation is applicable on these more\ncomplex genomes, it will indicate that noncoding\ngenome segments, which present in the genome of\nprokaryotes and eukaryotes by different portions, not\nonly perform regulatory functions, but also protect\nthe genetic information of the coding genome by\nproviding a wider genetic pool.\nMoreover; the proposed equation is useful for an-\ntiviral drug activity interpretation, as the mutability\nof the targeted protein plays an integral role in\ndetermining in vivo drug activity. Furthermore; the\nequation provides a general picture about the muta-\nbility of each gene in a targeted viral genome. This\ncan be helpful during drug development researches,and during protocoling of combination therapy. The\ndevelopers can target proteins translated from the\nrelatively lesser mutating genes.\nOn the other hand; the main disadvantage of the\nproposedequation is numericalbias during expressing\nit with numerical values. As an example; the numer-\nical value of the stability index for HIV-1 genome\ncan be biased. Mansky and Temin reported that\nthe forward mutation rate for HIV-1 was 3 .4×10−5\nmutations per bp per cycle [9], while Cuevas et al\nused the intrapatient frequency of premature stop\ncodons to quantify the HIV-1 genome-wide rate\nof spontaneous mutation in DNA sequences from\nperipheral blood mononuclear cells, which revealed a\nmutation rate of (4 .1±1.7)×10−3per base per cell\n[10].\nIn addition to the fact that the emergence of\nantiviral drug resistance is a multifactorial pro-\ncess [11]; the proposed equation only provides the\nprobability of spontaneous mutation emergence in\na specific gene, but does not determine which of\nthese emergent mutations are lethal and which are\nnot. The lethally mutated viral genomes fail to reach\nthe plasma leading to mass deletion of the emergent\nmutation.\nCONCLUSION:\nThe mathematical analysis of HIV-1 genome indi-\ncates that tat,vprandvpuare the least mutant\ngenes per duplicate, so they are the best candidates\nfor HIV-1 recombinant subunit vaccines or as a part\nof“prime and boost” vaccine combinations. Also;\nprotease inhibitors are better candidates, as a base,\nfor antiretroviral combination therapy and the pro-\ntease inhibitor-based regime represents a high genetic\nbarrier for HIV to overcome. In a wider scope; The\nproposed equation offers a wider array of options\nfor drug developers and during drug combination\nprotocoling to help predict in vivo antiviral drug\nactivity and to deal with mutation-induced drug\nresistance.\nACKNOLEDGEMENT:\nThe author states that there is no conflict of interest\nregarding this article.4\nREFERENCES:\n1. Rambaut, Andrew, et al. ”The causes and\nconsequences of HIV evolution.” Nature Reviews\nGenetics 5.1 (2004): 52-61.\n2. Davies, Julian, and Dorothy Davies. ”Origins\nand evolution of antibiotic resistance.” Microbi-\nology and molecular biology reviews 74.3 (2010):\n417-433.\n3. Robertson, David L., Beatrice H. Hahn, and\nPaul M. Sharp. ”Recombination in AIDS\nviruses.” Journal of molecular evolution 40.3\n(1995): 249-259.\n4. Petropoulos, C. ”Retroviral taxonomy, protein\nstructures, sequences, and genetic maps.” Retro-\nviruses. Cold Spring Harbor Laboratory Press,\nCold Spring Harbor, NY (1997): 757-805.\n5. Cane, P., et al. ”Time trends in primary\nresistance to HIV drugs in the United Kingdom:\nmulticentre observational study.” BMJ (Clinical\nresearch ed.) 331.7529 (2005): 1368-1368.\n6. Yebra, Gonzalo, and Africa Holguin. ”Epidemi-\nology of drug-resistant HIV-1 transmission in\nnaive patients in Spain.” Medicina clinica 135.12\n(2010): 561-567.\n7. Yal¸ cınkaya, T., and S. K¨ ose. ”Investigation of\nHIV-1 primary drug resistance mutations in an-\ntiretroviral therapy-naive cases.” Mikrobiyoloji\nbulteni 48.4 (2014): 585-595.\n8. Abar, Aden Elmi, et al. ”HIV-1 drug resis-\ntance genotyping from antiretroviral therapy\n(ART) na¨ ıve and first-line treatment failures in\nDjiboutian patients.” Diagnostic pathology 7.1\n(2012): 138.\n9. Mansky, Louis M., and Howard M. Temin.\n”Lower in vivo mutation rate of human immun-\nodeficiency virus type 1 than that predicted\nfrom the fidelity of purified reverse transcrip-\ntase.”Journalofvirology69.8(1995): 5087-5094.\n10. Cuevas, Jos´ e M., et al. ”Extremely high mu-\ntation rate of HIV-1 in vivo.” PLoS Biol 13.9\n(2015): e1002251.11. Paydary, Koosha, et al. ”The emergence of drug\nresistant HIV variants and novel anti–retroviral\ntherapy.” Asian Pacific journal of tropical\nbiomedicine 3.7 (2013): 515-522." }, { "title": "1912.04594v2.Structural_properties_and_magnetoresistance_of_La___1_952__Sr___0_048__CuO__4__thin_films.pdf", "content": "arXiv:1912.04594v2 [cond-mat.supr-con] 17 Dec 2019Structural properties and magnetoresistance of La 1.952Sr0.048CuO4thin films\nI Zaytseva1, R Minikayev1, E Dobroˇ cka2, MˇSpankova2, N Bruyant3and Marta Z Cieplak1\n1Institute of Physics, Polish Academy of Sciences, 02 668 War saw, Poland\n2Institute of Electrical Engineering SAV, D´ ubravsk´ a cest a 9, 84104 Bratislava, Slovakia and\n3CNRS - LNCMI 143, avenue de Rangueil, 31400 Toulouse, France\nThe evolution of the structural and transport properties of underdoped La 1.952Sr0.048CuO4thin\nfilms under compressive epitaxial strain has been studied. T he films of different thicknesses d(from\n26 nm to 120 nm) were deposited using an insulating target. Th e onset of superconductivity in\nthe films is observed at temperatures as high as 26 K, while sma ll residual resistance persists at\nlow temperatures, indicating that superconductivity is in homogeneous. The resistance measured\nunder perpendicular magnetic field saturates below about 0. 65 K, suggesting a possible existence\nof nonconventional metallic state. The magnetic-field-tun ed superconductor-insulator transition is\nobserved at magnetic field of about 32 T.\nPACS numbers: 74.78.-w, 74.72.-h, 74.25.F-, 68.55.-a, 61. 05.cp\nI. INTRODUCTION\nThe properties of disordered or inhomogeneous super-\nconducting systems are still not fully understood. Exper-\niments show1that a superconductor to insulator transi-\ntion (SIT) may be induced in thin superconducting films\nby decreasing of the film thickness, what enhances the\ndisorder2, or by increasing the external magnetic field3.\nIn many such systems the scaling analysis of the resis-\ntance in the vicinity of the SIT follows the predictions for\nthe dirty boson model in the vicinity of quantum critical\npoint4,5. On the other hand, the SIT is observed also\nin strongly inhomogeneous systems, such as granular su-\nperconducting films or Josephson junction arrays, which\nmay be understood as a set of superconducting islands\nimmersed in the metallic matrix6–9. In this case the SIT\ndepends sensitively on the competition between the two\nenergies: energy of Josephson coupling EJ(that corre-\nsponds to the coupling between superconducting islands,\nallowing Cooper pair transport) and charging energy EC,\nwhich, in turn, depends on two other parameters: dis-\ntance between superconducting areas and the resistance\nof non-superconducting matrix7–9. Interestingly, some of\nthese inhomogeneous systems appear to be metallic at\nlow temperatures, but with unusually high resistance10,\ninconsistent with the conventional theory of metals11. It\nhas been suggested recently that the island systems may\nbe a ”failed superconductor”, in which current is carried\nby bosonic fluctuations, but the system fails to condense\nin theT= 0 limit12. The experimental study of su-\nperconducting films with tunable inhomogeneity should\nprovide valuable insight into the nature of ground states\nin such systems.\nIn this work we tune the inhomogeneity of the super-\nconducting films by utilizing the strain introduced by the\nlattice mismatch between the substrate and the film. It\nhas been shown in the past that such strain is a very effi-\ncient method to modify superconductivity in thin films.\nIn case of La 2−xSrxCuO4(LSCO) films the supercon-\nducting transition temperature, Tc, may be either sup-\npressed or enhanced by the tensile or compressive strain,respectively, applied in the CuO 2plane13–15. As we have\nshown previously16, the compressive in-plane strain may\neven induce superconductivity in the films deposited from\nnon-superconducting LSCO target with x= 0.048. In\nthe present study we investigate structural and magneto-\ntransport properties of La 1.952Sr0.048CuO4thin films of\ndifferent thicknesses, with a various epitaxial compres-\nsive built-in strain. At low TtheT-dependence of the\nresistance shows that the films behave as a system of\nirregular array of superconducting islands immersed in\nnon-superconducting matrix. The transition to the zero-\nresistance superconducting state is not complete down\ntoT= 2K, what may indicate that the value of EJis\nsmall due to the large distance between the supercon-\nducting island, or due to the strong inhomogeneity of\ncharge carrier density17,18. The SIT is observed in the\nhigh transverse magnetic field in the film with thickness\nof 35 nm, with T-independent isotherm crossing at the\nmagnetic field of about 32 T, and a finite-size scaling of\nresistance in the vicinity of the Bc. At the lowest tem-\nperatures the resistance appears to saturate, what may\nindicate the presence of anomalous metallic state.\nII. EXPERIMENTAL DETAILS\nEpitaxial La 1.952Sr0.048CuO4(LSCO) thin films were\ndeposited from stoichiometric ceramic target by a pulsed\nlaser deposition (PLD) using Nd:YAG laser ( λ= 266\nnm), with a repetition rate of 1 Hz and a pulse energy\ndensity 1.2 J/cm2at the target surface. The target with\nthe Sr content x= 0.048 is not a bulk superconduc-\ntor. Films were grown on SrLaAlO 4(SLAO) substrates\nof the area 5x5 mm2. During deposition the substrates\nwere held at temperature of 760◦C in the oxygen atmo-\nsphere of 300 mTorr. After deposition, the O 2pressure\nin the chamber was increased to 500 Torr, and the films\nwere slowly cooled down to room temperature with a\nrate of 3 K per minute. The films were studied using\nX-ray diffraction with help of a laboratory X’Pert Pro\nMPD diffractometer. The out-of-plane lattice parame-2\nterscwere determined using XRD techniques for a series\nof thin films with thickness dranging between 26 nm\nand 120 nm. The reciprocal space maps of the films were\nmeasured in high-resolution mode on Bruker D8 DIS-\nCOVER diffractometer with rotating Cu anode operat-\ning at 12 kW (40 kV/ 300 mA). Superconducting transi-\ntion temperature and magnetoresistance were measured\non photolitographically patterned films using standard\nfour-probe method in a Quantum Design PPMS (Phys-\nical Properties Measurement System) at T≥2 K and\nin fields up to 9 T. In addition, some magnetotransport\nmeasurements were carried out at the Toulouse LNCMI\nhigh field facility, in pulsed high magnetic field up to\n50 T, and in the temperature range 0.4 K < T < 25K\n(H/bardblc,I/bardblab).\nIII. RESULTS AND DISCUSSION\nA. Structural properties\nThea-axis (in-plane) lattice parameter of SLAO sub-\nstrates is equal to 3.757 ˚A. This value is less than the in-\nplane lattice parameters of LSCO target with x= 0.048,\nwhich are equal to 3.806 ˚A and 3.784 ˚A foraandblat-\ntice parameters, respectively. Therefore, it may be ex-\npected that films will be compressed in-plane and ex-\npanded out-of-plane at the beginning of the deposition\nprocess. In order to quantify the strain induced by the\nlattice mismatch we define the following strain parame-\nters,εl= (lfilm/lbulk−1)∗100%, where lis the corre-\nsponding lattice parameter value.\nFigure 1(a) shows the dependence of out-of-plane\nstrain parameter εcon the films thickness, d, for a large\ngroup of films. It is evident that the εcis quite scat-\ntered for various films. Nevertheless, it is obvious that\nεcis the largest for the thinnest film, and decreases as\nthe film thickness increases. Figure 1(b) compares the\nd-dependence of in-plane strains ( εaandεb) and the out-\nof-plane strain εcfor three selected films with thicknesses\n26 nm, 35 nm and 65 nm. In order to evaluate the in-\nplane lattice parameters two symmetric 006 and 0010\ndiffraction peaks and four asymmetric 1011 peaks at the\nazimuthal angles 0◦, 90◦, 180◦and 270◦were measured.\nThe parameters aandbwere determined separately using\nthe the azimuthal orientation 0◦−180◦and 90◦−270◦,\nrespectively.\nFig. 1(b) confirms that the in-plane compression of\naandbparameters is accompanied by the expansion of\ntheclattice parameter. It also confirms that the largest\nvalue ofεis for the thinnest films, consistent with the ex-\npectation that the strain induced by lattice mismatch is\nthe largest at the beginning of the film deposition. As the\nthickness of the film increases, the atomic layers grow less\ncompressed, and the film lattice parameters tend to the\nparameters of the bulk material. Finally, in Fig.1(c) we\nshow the d-dependence of the relative change of film pa-\nrameters with respect to the parameters of the substrate,/s50/s48 /s52/s48 /s54/s48 /s56/s48/s45/s49/s46/s50/s45/s48/s46/s57/s45/s48/s46/s54/s45/s48/s46/s51/s48/s46/s48/s48/s46/s51/s48/s46/s54/s48/s46/s57/s50/s48 /s52/s48 /s54/s48 /s56/s48 /s49/s48/s48 /s49/s50/s48/s48/s46/s50/s48/s46/s51/s48/s46/s52/s48/s46/s53/s48/s46/s54/s48/s46/s55\n/s50/s48 /s52/s48 /s54/s48/s48/s46/s48/s48/s50/s48/s46/s48/s48/s51/s48/s46/s48/s48/s52/s48/s46/s48/s48/s53/s115 /s108/s40/s37/s41/s108/s40/s37/s41\n/s100/s32/s40/s110/s109/s41/s32/s32\n/s99/s32 /s32/s32\n/s97/s32 /s32/s32\n/s98/s40/s98/s41/s99/s40/s37/s41\n/s32/s32\n/s100/s32/s40/s110/s109/s41/s40/s97/s41\n/s32/s115\n/s97/s32/s32 /s32/s115\n/s98/s40/s99/s41\n/s100/s32/s40/s110/s109/s41\n/s32/s32\nFIG. 1: (a) Dependence of εcon film thickness dfor a series\nof films. (b) Strain parameters εa,εbandεcversusdfor three\nselected films. (c) The relative change of in-plane film latti ce\nparameters with respect to the substrate parameters versus\nd. The size of the errors is less than the size of the symbols.\nFIG. 2: RSM of layer maxima 006 for films with thickness\n(from left to right): 26 nm, 35 nm, 65 nm. RSM are presented\nwith the coordinates handlhaving the units 1 h= 1/d100,\nand 1l= 1/d001, respectively. dhklis the corresponding inter-\nplanar spacing of the substrate lattice.\nεs\nl= (lfilm/lsubstrate−1)∗100%. It is clear that in-plane\nparameters for all films are expanded in comparison with\nsubstrate in-plane parameters, and the expansion grows\nas film thickness increases. Nevertheless, the value of εs\nl\nremains very small in comparison to εl, confirming rea-\nsonably good matching between the lattice parameters of\nthe substrate and the parameters of the strained films.\nThese results may be verified by measurements of the\nreciprocal space maps (RSM). In Fig.2 we show the RSM\nof the same three films, for which strain is shown in3\nFig.1(b). Symmetric diffractions 006 are used for recipro-\ncal space mapping, but only the layer peaks are mapped,\nwithout the substrate ones. The maps were recorded\nin the azimuthal direction 0◦−180◦. Reciprocal space\nmaps are presented with the coordinates handlhaving\nthe units 1 h= 1/d100, and 1l= 1/d001, respectively. dhkl\nis the corresponding interplanar spacing of the substrate\nlattice. It is the advantage of this representation that\nthe coordinates acquire an integer value at the diffrac-\ntion spots of the reference substrate crystal. The maps\nindicate that the thinnest film (left on Fig.2) is an undis-\ntorted thin film with almost constant lattice parameters\nacross the whole film thickness. For thicker films the\nwidth of the layer maxima increases with thickness. This\nindicates the presence of the diffuse scattering due to\ndistorted material, presumably resulting from misfit dis-\nlocations, producing the increase of mosaicity for thicker\nfilms.\nB. Temperature dependence of resistance\nThe temperature dependence of resistance, normalized\nto room-temperature resistance, R/R300, for several films\nwith different thickness and different strain is shown in\nFig. 3(a), and, on a double logarithmic scale, in Fig.\n3(b). Except for one film with small εc= 0.099 (la-\nbeled 1), all other films with larger εcshow dramatic\ndecrease of R/R300at low temperatures, suggesting the\npossible onset of superconductivity induced by in-plane\ncompressive strain, as described previously in a prelimi-\nnary report16. Several features are evident. First, sam-\nples with the same dmay show substantially different\nT-dependence of R/R300[Fig. 3(a)], indicating that, in\naddition to thickness, the strain strongly affects the re-\nsistance. The other feature, displayed in Fig. 3(b), is\nthat the resistance does not reach zero as Tis lowered.\nInstead, all films show residual resistance, Rres, atT=\n2 K.\nFor further analysis, we define the onset of supercon-\nductivity, Ton\nc, as the temperature, at which the resis-\ntance starts to deviate from the normal state resistance.\nFig. 3(c) shows the dependence of the Ton\ncon strain εcfor\nfilms with different d. We observe reasonably good cor-\nrelation between d,εc, and the Ton\nc: as the film thickness\nincreases, the strain relaxation occurs, and superconduc-\ntivity weakens. Note that correlation between dandTon\nc\nis not strict, because in some thinner films the strain re-\nlaxation occurs, as seen in case of film 1 ( d= 35 nm,\nεc= 0.099), in which no traces of superconductivity are\nvisible. On the other hand, the correlation between the\nεcandTon\ncappears to hold well. Interestingly, films with\nlarge strain, εc>0.25, show relatively weak decrease of\ntheTon\ncwith decreasing εc, from 27 K down to about 20\nK, while more rapid decrease occurs for εc<0.25. Thus,\nit seems that d= 120 nm is a limiting film thickness,\nabove which rapid strain relaxation destroys supercon-\nductivity and the films become insulating, just as a bulk,/s48 /s53/s48 /s49/s48/s48/s48/s46/s48/s48/s46/s53/s49/s46/s48\n/s49 /s49/s48 /s49/s48/s48/s49/s48/s45/s52/s49/s48/s45/s51/s49/s48/s45/s50/s49/s48/s45/s49/s49/s48/s48\n/s45/s48/s46/s49 /s48/s46/s48 /s48/s46/s49 /s48/s46/s50 /s48/s46/s51 /s48/s46/s52 /s48/s46/s53 /s48/s46/s54 /s48/s46/s55/s48/s49/s48/s50/s48/s51/s48/s49\n/s40/s99/s41/s40/s98/s41\n/s32/s32/s82/s47/s82\n/s51/s48/s48\n/s84/s32/s40/s75/s41/s98/s108/s117/s101 /s32/s45 /s32/s32/s32/s32/s32/s32/s32/s32/s32/s32/s32/s32/s49/s50/s48/s110/s109\n/s98/s108/s97/s99/s107/s32/s45 /s54/s53/s110/s109/s32\n/s109/s97/s103/s101/s110/s116/s97/s32 /s45/s32 /s51/s53/s110/s109\n/s103/s114/s101/s101/s110 /s32/s45 /s50/s54/s110/s109\n/s40/s97/s41\n/s54\n/s55\n/s51/s52\n/s50/s53\n/s32/s32/s82/s47/s82\n/s51/s48/s48\n/s84/s32/s40/s75/s41/s49/s98/s108/s117/s101 /s32/s45 /s32/s32/s32/s32/s32/s32/s32/s32/s32/s32/s32/s32/s49/s50/s48/s110/s109\n/s98/s108/s97/s99/s107/s32/s45 /s54/s53/s110/s109/s32\n/s109/s97/s103/s101/s110/s116/s97/s32 /s45 /s51/s53/s110/s109\n/s103/s114/s101/s101/s110 /s32/s45 /s50/s54/s110/s109\n/s32/s32/s32/s32/s32/s32 /s32/s32\n/s99/s32\n/s49/s32/s32/s32/s32/s32/s48/s46/s48/s57/s57\n/s50 /s32/s32/s32/s32/s32 /s48/s46/s50/s54/s56\n/s51/s32/s32/s32/s32/s32/s48/s46/s50/s55/s50/s32 /s32/s32/s32\n/s52/s32/s32/s32/s32/s32/s48/s46/s51/s51/s52\n/s53/s32/s32/s32/s32/s32/s48/s46/s52/s57\n/s54/s32/s32/s32/s32/s32/s48/s46/s53/s52/s55\n/s55/s32/s32/s32/s32/s32/s48/s46/s53/s53/s57\n/s32\n/s32/s49/s50/s48/s110/s109\n/s32/s54/s53/s110/s109\n/s32/s51/s53/s110/s109\n/s32/s50/s54/s110/s109/s84\n/s99/s111/s110\n/s32/s40/s75/s41\n/s99/s32/s40/s37/s41\nFIG. 3: R/R300vsTon a linear scale (a) and on a log-log\nscale (b) for films with different d: 26 nm (green points), 35\nnm (magenta), 65 nm (black), and 120 nm (blue), and with\ndifferent εc, as indicated in (b) by labels 1 to 7. (c) Ton\ncversus\nεcfor films with different d. The size of errors is comparable\nto the size of symbols.\nunderdoped target, with the Sr content x= 0.048.\nWhile we see good correlation between εcandTon\nc, the\nbehavior of resistance on the decrease of TbelowTon\ncis\nmore complicated. Instead of zero-resistive state, the re-\nsistance of these films either flattens out at finite value,\nor, after reaching some minimum, it increases with fur-\nther lowering of T. For example, while several films with\nd= 35 nm exhibit similar Ton\nc[see Fig. 3(c)], the film\nwith highest strain in this group (film 6) shows sharpest\ndecrease of the resistance just below Ton\nc, followed by a4\nminimum at 10 K, and semiconducting-like increase of\nthe resistance on further decrease of T[Fig. 3(b)]. As\na result, film 6 shows larger value of Rresat 2 K than\nthe film 5, in which strain is smaller. Such lack of cor-\nrelation between the Rresandεcis also visible in case\nof two thick films, 2 and 3, which show almost identical\n(partially relieved) strain, but Rresin these films differs\nby an order of magnitude.\nThe existence of residual resistance suggests that su-\nperconductivity in these strained films is inhomogeneous,\nso that no global phase coherence is reached, at least not\ndown to 2 K. Inhomogeneous superconductivity may have\nmany possible origins, including structural, chemical or\ncharge density inhomogeneity. Structural origin has been\nreported, for example, in case of quench-deposited ultra-\nthin amorphous Bi films, which display thickness varia-\ntion of about 13% of total film thickness17. On the other\nhand, charge density inhomogeneity, not directly related\nto the topography of the sample surface, has been ob-\nserved in ultrathin NbN films, suggesting that relation\nbetween the structural disorder and the charge inhomo-\ngeneity may be quite complex18.\nIn case of LSCO with Sr content in the range 0 .04<\nx < 0.16 nuclear quadruple resonance experiments on\nbulk crystals and ceramic material uncovered charge den-\nsity inhomogeneities of unknown origin on small length\nscales of 6-10 nm, while other probes (electron micro-\nprobe and X-ray diffraction) show uniform charge distri-\nbution on larger length scales19. Therefore, we cannot\nexclude the possibility that similar small length scale in-\nhomogeneity occurs in our films. However, close correla-\ntion between the εcandTon\ncsuggests that the principal\norigin in the present case is the heteroepitaxial growth of\nstrained LSCO films on SLAO substrates. Such growth\nusually leads to the nucleation of misfit dislocations,\nwhich produce nonuniform strain distribution within the\nfilm. This, in turn, most likely leads to nucleation of su-\nperconductivity in spatially limited areas of the film, in\nwhich the strain is the strongest. In this case the sys-\ntem resembles granular superconductor, and it may be\nmodeled as an disordered array of superconducting is-\nlands embedded in a metallic, non-superconducting ma-\ntrix. This type of model has been used recently to dis-\ncuss the experiment on an array of Nb dots deposited\non a gold substrate, in which the Josephson coupling EJ\nand the charging energy ECdepend on the thickness of\nsuperconducting islands (i.e., Nb dots), and on distances\nbetween them9.\nConsidering such model of superconducting islands we\nmay explain the difference in the correlation between\nstrain and the two parameters measured in our exper-\niment, the Ton\ncand the Rres. TheTon\ncmarks the tem-\nperature, at which on cooling of the very thin film the\nsuperconductivity first nucleates inside of the ”islands”,\nwhich in the present case are the areas of the film with\nthe largest strain. Therefore, the Ton\ncis directly depen-\ndent on the magnitude of strain, which decreases with\nthe increasing film thickness. On the other hand, the be-havior of the resistance below Ton\ncdepends not only on\nstrain, but also on the thickness of the islands, and on the\ncoupling between them, which, in turn, depends on the\nresistivity of the metallic matrix. In a very thin film the\nhighly strained areas are limited in thickness, and the re-\nsistivity of the metallic matrix is increasing with lowering\nofTdue to carrier localization. Thus, after a rapid drop\nof resistance just below the Ton\nc, we observe either a sat-\nuration of resistance at relatively large value of Rres, or\neven an increase of resistance on lowering of T, as seen in\ncase of film 6. As the film thickness increases, the local-\nized superconducting order within highly strained areas\nbecomes more robust, and the coupling between islands\nbecomes stronger, because the resistivity of the metallic\nmatrix decreases. This evolution leads to a decrease of\ntheRresin thicker films, although it may not be enough\nto achieve the global phase coherence.\nC. Magnetoresistance\nTheT-dependence of the resistance per square, Rsq,\nmeasured in the presence of perpendicular magnetic field\nB, is presented on a double logarithmic scale in Fig. 4 for\nthree films, one with d= 120 nm and εc= 0.268 (4a), and\ntwo films with d= 35 nm but with different strain, film 5\nwithεc= 0.49 (4b) and film 6 with εc= 0.547 (4c). We\nobserve that the suppression of superconductivity by the\nmagnetic field becomes less effective as the strain grows,\nthat is, on going from (4a) to (4c).\nIt is also interesting to see that the weak magnetic field\nhas a different effect on the magnitude of residual resis-\ntance in these films. In the case of thickest film with\nd= 120 nm the Rresincreases by 3 orders of magnitude\nwhen the magnetic field increases from 0 to 4 T, what\nis the result of the usual broadening of superconduct-\ning transition, caused by the decrease of the activation\nenergy for vortex pinning with the increasing magnetic\nfield. On the other hand, in case of the films with d= 35\nnm theRreseither does not change at all in the same field\nrange (film 6) or it changes only weakly (film 5); more-\nover, the T-dependence of the resistance at the lowest T\nremains insulating-like in the first case, and metallic in\nthe second case. This suggests that at weak magnetic\nfields the principal contribution to the Rresoriginates\nfrom the resistance of the normal, metallic regions of the\nsample. With increasing magnetic field the resistance\nminimum, which is seen in case of film 6, shifts towards\nlower temperatures. Faster increase of Rresstarts only\nafter the minimum disappears, when Bexceeds about\n4 T. This is when the broadening of superconducting\ntransition due to vortex unpinning from locally supercon-\nducting areas starts to contribute to the Rres. We may\nconclude that the evolution of resistance with magnetic\nfield supports the scenario of inhomogeneous supercon-\nductivity in the strained LSCO films.\nFurther increase of the magnetic field induces a\nsuperconductor-insulator transition. This is illustrated5\n/s49/s48/s45/s50/s49/s48/s45/s49/s49/s48/s48/s49/s48/s49/s49/s48/s50\n/s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51\n/s49 /s49/s48 /s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s40/s97/s41\n/s32/s82\n/s115/s113/s32/s40 /s41\n/s32/s48/s84\n/s32/s48/s46/s49/s84\n/s32/s48/s46/s52/s84\n/s32/s49/s84\n/s32/s50/s84\n/s32/s51/s84\n/s32/s52/s84\n/s32/s53/s84\n/s32/s54/s84\n/s32/s55/s84\n/s32/s56/s84\n/s32/s57/s84/s35/s50\n/s100/s61/s49/s50/s48/s110/s109\n/s99/s32/s61/s32/s48/s46/s50/s54/s56\n/s40/s98/s41\n/s32\n/s32/s32\n/s35/s53\n/s100/s61/s51/s53/s110/s109\n/s99/s32/s61/s32/s48/s46/s52/s57/s48/s82\n/s115/s113/s32/s40 /s41\n/s40/s99/s41\n/s32/s35/s54\n/s100/s61/s51/s53/s110/s109\n/s99/s32/s61/s48/s46/s53/s52/s55/s82\n/s115/s113/s32/s40 /s41\n/s84/s32/s40/s75/s41\nFIG. 4: RsqvsTon a log-log scale, for Bin the range 0 to\n9 T, for three films: film 2 with d= 120 nm and εc= 0.268\n(a), film 5 with d= 35 nm and εc= 0.49 (b), and film 6 with\nd= 35 nm and εc= 0.547 (c).\nin Fig. 5(a), which shows the T-dependence of the Rsq\nfor the film 5 ( d= 35 nm, εc= 0.49), measured in\nhigh pulsed magnetic fields up to 50 T. The data were\nextracted from the magnetoresistance measurements at\nfixedT. There is some scatter of the data, particularly\nforT= 0.4 K and T= 1.2 K, probably due to tempera-\nture instability during the measurements. Nevertheless,\nthe curves show clearly a gradual transition from the re-\ngion with dRsq/dT > 0 at low magnetic fields to the\nregion with dRsq/dT < 0 at high magnetic fields. The\ncrossover between these two regions occurs in the vicinity\nofB= 32 T. Interestingly, the resistance shows a ten-\ndency to saturate at the lowest temperatures ( T < 0.65\nK). We also note that this saturation seems to persists\nup to highest fields (45 T and 50 T).\nReplotting the data versus Bin Fig. 5(b) we find a\npoint of isotherm crossing at Bc= 31.79 T and Rc=\n15.44 kΩ for the temperature range 0.5 K < T < 1.6 K\n(except for slight deviations at temperatures 0.4 K and\n1.2 K, as mentioned above). Such crossing is usually\nidentified as a quantum critical point, at which the SIT\ntakes place1,3. Scaling analysis has been performed in the/s49 /s49/s48 /s49/s48/s48/s48/s46/s48/s48/s46/s53/s49/s46/s48/s49/s46/s53/s50/s46/s48/s50/s46/s53/s51/s46/s48\n/s48 /s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48/s48/s49/s50/s51\n/s48/s46/s48/s49 /s48/s46/s49 /s49 /s49/s48/s48/s46/s48/s48/s46/s53/s49/s46/s48/s49/s46/s53/s50/s46/s48/s100/s32/s61/s32/s51/s53/s110/s109\n/s99/s32/s61/s32/s48/s46/s52/s57/s48/s82\n/s115/s113/s32/s40/s107 /s41\n/s84/s32/s40/s75/s41/s32/s48/s84/s32 /s32/s50/s48/s84\n/s32/s49/s84/s32 /s32/s50/s51/s84\n/s32/s50/s84/s32 /s32/s50/s53/s84\n/s32/s51/s84/s32 /s32/s50/s56/s84\n/s32/s52/s84/s32 /s32/s51/s48/s84\n/s32/s54/s84/s32 /s32/s51/s50/s84\n/s32/s56/s84/s32 /s32/s51/s53/s84\n/s32/s49/s48/s84/s32 /s32/s51/s56/s84\n/s32/s49/s50/s84/s32 /s32/s52/s48/s84\n/s32/s49/s53/s84/s32 /s32/s52/s53/s84\n/s32/s49/s55/s84/s32 /s32/s53/s48/s84\n/s40/s97/s41\n/s40/s98/s41\n/s32/s32/s32/s40/s66\n/s99/s44/s32/s82\n/s99/s41/s82\n/s115/s113/s32/s40/s107 /s41\n/s66/s32/s40/s84/s41/s32/s48/s46/s53/s32/s75\n/s32/s48/s46/s54/s53/s32/s75\n/s32/s48/s46/s57/s32/s75\n/s32/s49/s46/s54/s32/s75/s40/s99/s41\n/s32/s32\n/s32/s32\n/s124/s66/s45/s66\n/s99/s124/s47/s84/s49/s47 /s122/s82/s47/s82\n/s99\n/s122 /s32/s61/s32/s50/s46/s48/s50/s32 /s32/s48/s46/s50/s56\nFIG. 5: (a) RsqvsTfor various Bfor film with d= 35 nm.\n(b) The T-independent crossing point of isotherms at B=\n31.79 T and Rc= 15.44 kΩ. (c) Resistance as a function of\nscaling variable, |B−Bc|T−1/νz.\nvicinity of the Bc. Fig. 5(c) shows the data plotted versus\nscaling variable according to equation, R/Rc=f(|B−\nBc|T−1/νz), where zandνare dynamic and correlation\ncritical exponents, respectively. The scaling exponents\nνzare found to be 2.02 ±0.28. The value of νz > 1\ncorresponds to the exponent predicted in the framework\nof a dirty boson picture ( ν >1, assuming z= 1) and this\nmodel describes the quantum transition at T= 0 in a 2D\ndisordered system4,5.\nIt is worth noting that we have not observed two cross-\ning points in the same film, as reported by Shi et al.20\nfor LSCO films with x= 0.07. In that case two different\ncrossing points have been found, one at high- T(lowB)\nrange, and another at low- T(highB) range, with crit-\nical exponents νzequal to 0.737 and 1.15, respectively.\nThese crossing points have been attributed to two tran-\nsitions, one from pinned vortex solid to vortex glass, and\nanother from vortex glass to insulator, respectively. It\nis the first transition, at temperatures just below Ton\nc,\nwhich apparently does not occur in the case of strained\nfilm 5, measured in the present experiment. It is possible\nthat the pinned vortex solid state is absent in present\ncase, because of inhomogeneous nature of superconduc-\ntivity in our films. Another possibility is that it cannot\nbe observed because the resistance contains contributions\nfrom two different regions of the film, superconducting is-\nlands, and the normal metallic matrix. What is interest-\ning is that despite such two contributions we still observe\na second transition, at low T(highB) range.\nFinally, we note that the saturation of the resistance at\nvery low temperatures (below about 0.65 K), which seems\nto persist up to high fields [Fig.5(a)], deserves further\nstudy. Similar phenomenon, which has been reported in6\nmany other superconducting systems with SIT12, is at-\ntributed to the existence of unconventional metallic state.\nThe nature of this state, which so far is not well under-\nstood, is a subject of many studies and discussions.\nIV. CONCLUSIONS\nWe report on the resistance and magnetoresistance\nmeasurements in La 1.952Sr0.048CuO4thin films, in which\nin-plane compressive strain is induced by lattice pa-\nrameters mismatch to the substrate. The strain in-\nduces superconductivity in thin films deposited from non-\nsuperconducting target. The evolution of resistance with\ntemperature and magnetic field supports the scenario of\ninhomogeneous superconductivity, which resembles a dis-ordered array of superconducting islands immersed in a\nnonsuperconducting matrix. The magnetic-field-tuned\nsuperconductor-insulator transition is observed at mag-\nnetic field of about 32 T, while the saturation of resis-\ntance below about 0.65 K may indicate the existence of\nnonconventional metallic state.\nAcknowledgments\nWe would like to thank M. Berkowski and M. G/suppress lowacki\nfor experimental support. This research was partially\nperformed in the NanoFun laboratories co-financed by\nthe ERDF Project NanoFun POIG.02.02.00-00-025/09.\nWe acknowledge the support of LNCMI-CNRS a member\nof the European Magnetic Field Laboratory (EMFL).\n1GantmakherVFandDolgopolov V.F. 2010 Phys.-Uspekhi\n531\n2Markovi´ c N, Christiansen C and Goldman A M 1998 Phys.\nRev. Lett 815217\n3Steiner M A, Breznay N P and Kapitulnik A 2008 Phys.\nRev. B77212501 Hebard A F and Paalanen M A 1990\nPhys. Rev. Lett. bf 65 927 Aubin H, Marrache-Kikuchi C\nA, Pourret A Behnia K, Berg L, Dumoulin L and Lesueur\nJ 2006Phys. Rev. B 73094521\n4Fisher M P A 1990 Phys. Rev. Lett. 65923\n5Fisher M P A, Grinstein G and Girvin S M 1990 Phys.\nRev. Lett. 64587\n6Jaeger H M, Haviland D B, Orr B G and Goldman A M\n1989Phys. Rev. B 40182\n7van der Zant H S J, Elion W J, Geerligs L J and Mooij J\nE 1996Phys. Rev. B 5410081\n8Han Z, Allain A, Arjmandi-Tash H, Tikhonov K, Feigel-\nman M, Sacepe B and Bouchiat V 2014 Nat. Phys. 10380\n9Eley S, Gopalakrishnan S, Goldbart P M and Mason N\n2012Nat. Phys. Lett. 859\n10Abrahams E, KravchenkoS V and Sarachik M P 2001 Rev.\nMod. Phys. 7325111Abrahams E, Anderson P W, Licciardello D C and Ra-\nmakrishnan T V 1979 Phys. Rev. Lett. 42673\n12A. Kapitulnik, S. A. Kivelson, B. Spivak, Rev. Mod. Phys.\n91 (2019) 11002.\n13Sato H, Naito M, 1997 Physica C 274221\n14Locquet J P, Perret J, Fompeyrine J, Machler E, Seo J W\nand Van Tendeloo G 1998 Nature394453\n15Bozovic I, Logvenov G, Belca I, Narimbetov B and Sveklo\nI 2002Phys. Rev. Lett. 89107001\n16Zaytseva I, Cieplak M Z, Paszkowicz W, Abaloshev A and\nBerkowski M 2012 Acta Phys. Pol. A 121858\n17Lin Yen-Hsiang, Nelson J and Goldman A M 2012 Phys.\nRev. Lett. 109017002\n18Carbillet C, Caprara S, Grilli M, Brun C, Cren T, Debon-\ntridderF, Vignolle B, Tabis W,Demaille D,Largeau L, Ilin\nK, Siegel M, Roditchev D and Leridon 2016 Phys. Rev. B\n93144509\n19Singer P M, Hunt A W and Imai T 2002 Phys. Rev. Lett.\n88047602\n20ShiX, LinP V,Sasagawa T, Dobrosavljevi´ c Vand Popovi´ c\nD 2014Nat. Phys. 10437" }, { "title": "1012.5525v1.Superconductivity_at_32_K_in_single_crystal_Rb___0_78__Fe__2_Se___1_78___.pdf", "content": "arXiv:1012.5525v1 [cond-mat.supr-con] 26 Dec 2010Superconductivity at 32 K in single crystal Rb 0.78Fe2Se1.78\nA. F. Wang, J. J. Ying, Y. J. Yan, R. H. Liu, X. G. Luo†, Z. Y. Li, X.\nF. Wang, M. Zhang, G. J. Ye, P. Cheng, Z. J. Xiang and X. H. Chen∗\nHefei National Laboratory for Physical Science at Microsca le and Department of Physics,\nUniversity of Science and Technology of China, Hefei, Anhui 230026, People’s Republic of China\n(Dated: November 15, 2018)\nWe successfully grew the high-quality single crystal of Rb 0.78Fe2Se1.78, which shows sharp super-\nconducting transition in magnetic susceptibility and elec trical resistivity. Resistivity measurements\nshow the onset superconducting transition ( Tc) at 32.1 K and zero resistivity at 30 K. From the\nlow-temperature iso-magnetic-field magnetoresistance, l arge upper critical field Hc2(0) has been\nestimated as high as 180 T for in-plane field and 59 T for out-of -plane field. The anisotropy\nHab\nc2(0)/Hc\nc2(0) is around 3.0, right lying between those observed in K xFe2Se2and Cs xFe2Se2.\nPACS numbers: 74.70.Xa, 75.30.Gw, 72.15.-v\nThe newly discoverediron-basedsuperconductorshave\nattracted worldwide attention in past three years[1–5]\nbecause of their high superconducting transition tem-\nperature ( Tcas high as 55 K) and the fact that su-\nperconductivity emerges proximity to magnetically or-\ndered state.[6, 7] The fact that superconductivity in iron-\npnictide compounds is closely related to magnetic cor-\nrelations inspires researchers tending to connect them\nwith the high- Tccuprates, in which superconductivity\nis realized by suppressing the antiferromagnetic Mott-\ninsulating state, and attempting to understand the su-\nperconducting mechanism in the same theoretical sce-\nnario for the both families. Up to now, a variety of Fe-\nbasedsuperconductors,suchasZrCuSiAs-type LnFeAsO\n(Ln-1111,Lnis rare earth elements) [1–3], ThCr 2Si2-\ntypeAeFe2As2(Ae-122,Aeis alkali earth elements) [4],\nFe2As-typeAFeAs (A-111,AisLi orNa) [8–10] and anti-\nPbO-type Fe(Se,Te) (11)[12], have been discovered. An-\ntiferromagnetic spin density wave instability usually ex-\nists in the parent compound of superconducting Ln-1111\nandAe-122,andevencoexistswiththesuperconductivity\nin slightly doping levels of Ln-1111,Ae-122 and A-111.\nWhile for 11 phase, the magnetism is quite complicated\nand its relationship to superconductivity remains more\nunrecognized.\nAll of the above mentioned Fe-base superconductors\nhave a common structural feature with the edge-sharing\nFeAs4(FeSe4) tetrahedra forming FeAs (FeSe) layers.\nThe superconductivity in these compounds is thought\nto be intimately associated with the height of anion\nfrom Fe layer [11]. FeAs-based compounds usually pos-\nsess cations or building block between the FeAs layers,\nwhile Fe(Se,Te) family has an extremely simple structure\nwith only FeSe layers stacking along c-axis without other\ncations between them.[12] High pressure has been used\nto change the height of anion from Fe layer in Fe(Se,Te).\nEspecially, Tccan reach37 K (onset) under 4.5GPa from\n∗E-mail of X.H.C: chenxh@ustc.edu.cn\n†E-mail of X.G.L: xgluo@mail.ustc.edu.cn8 K in FeSe[13] with a pressure dependent ratio of Tcas\nlarge as d Tc/dP∼9.1 K/GPa, which is the highest pres-\nsure effect among all the Fe-base superconductors.[13] Tl\nhas been attempted to intercalate into between the FeSe\nlayers to change the local structure of FeSe family. How-\never, an antiferromagnetic ordering forms at the temper-\nature as high as 450 K,[14] and no superconductivity is\nobserved in TlFe 2Se2. Very recently, the alkali atoms\nK and Cs are successfully intercalated into between the\nFeSe layers, and superconductivity has been enhanced\nfromTc= 8 K of pure FeSe to 30 K and 27 K (onset)\nwithout any external pressure.[15–18] It indicates that\nTcin FeSe family can really be enhanced by intercalating\ncations into between the FeSe layers.\nIn this communication, we successfully grew the sin-\ngle crystals of a new superconductor Rb xFe2Se2by using\nself-flux method. The crystals showed the onset Tcof\n32.1 K and zero resistivity at about 30 K. Nearly 100%\nsuperconducting volume fraction was observed through\nthe zero-field-cooling(ZFC) magnetic susceptibility mea-\nsurements. Upper critical field Hc2(0) was estimated\nfrom iso-magnetic-field magnetoresistance as high as 180\nT with field applied in ab-plane and 59 T with field ap-\nplied along c-aixs.\nSingle crystals Rb xFe2Se2were grown by self-flux\nmethod. Starting material FeSe was obtained by react-\ning Fe powder with Se powder with Fe: Se = 1: 1 at\n700◦C for 4 hours. Rb pieces and FeSe powder were put\ninto a small quartz tube with nominal composition of\nRb0.8Fe2Se2. Due to the high activity of Rb metal, the\nsingle wall quartz tube will be corrupted and broken dur-\ning the growth procedure. Therefore, double wall quartz\ntube is used here. The small quartz tube was sealed un-\nder high vacuum, and then was put in a bigger quartz\ntube following by evacuating and being sealed. The mix-\nture was heated to 980◦C in 10 hours and kept for 4\nhours, and then melt at 1080◦C for 2 hours, and later\nslowlycooleddownto780◦Cwith6◦C/hour. Afterthat,\nthe temperature was cooled down to room temperature\nby shutting down the furnace. The obtained single crys-\ntals show the flat shiny surface with dark black color.\nThe crystals are easy to cleave and thin crystals with2\n/s48/s49/s50/s51\n/s32/s32\n/s32/s82/s98\n/s120/s70/s101\n/s50/s65 /s115\n/s50/s40/s97/s41\n/s49/s48 /s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48/s48/s49/s50/s51/s52\n/s48/s48/s49/s48/s48/s48/s56/s48/s48/s54/s48/s48/s52/s48/s48/s50\n/s48/s49/s57/s49/s50/s51/s49/s49/s54/s48/s48/s56/s48/s50/s48/s49/s49/s52/s48/s49/s53/s49/s49/s50/s49/s49/s48/s48/s49/s51/s48/s48/s52/s49/s48/s49\n/s32/s32/s73/s110/s115/s116/s101/s110/s115/s105/s116/s121/s32/s40/s65/s114/s98/s46/s32/s85/s110/s105/s116/s115/s41\n/s50 /s32/s40/s100/s101/s103/s114/s101/s101/s41/s48/s48/s50/s40/s98/s41\nFIG. 1: (Color online) X-ray diffraction patterns for\nRbxFe2Se2, (a): The single crystal X-ray diffraction pattern;\n(b): X-ray diffraction pattern of the powdered Rb xFe2Se2.\nthickness less than 100 µm can be easily obtained.\nThe singlecrystalswerecharacterizedby X-raydiffrac-\ntion (XRD), Energy dispersive X-ray (EDX) spec-\ntroscopy,magneticsusceptibility, andelectricaltransport\nmeasurements. Powder XRD and single crystal XRD\nwere performed on TTRAX3 theta/theta rotating anode\nX-ray Diffractometer (Japan) with Cu K αradiation and\na fixed graphite monochromator. Magnetic susceptibil-\nity measurements were carried out using the Quantum\nDesignMPMS-SQUID. The measurement of resistivity\nand magnetoresistance were done on the Quantum De-\nsignPPMS-9.\nFigure1showstheX-raysinglecrystaldiffraction(Fig.\n1a) and powder XRD (Fig. 1b) after grounding the sin-\ngle crystals into powder. Only (00 l) reflections were\nrecognized in Fig. 1a, indicating that the crystals of\nRbxFe2Se2were perfectly grown along c-axis. From the\npowder XRD patterns in Fig. 1b, the lattice constants\nwere calculated based on the symmetry I4/mmm with\nlattice parameters a= 3.925˚A andc= 14.5655 ˚A. Lat-\ntice constants of aandclie between those of K xFe2Se2\nand Cs xFe2Se2, respectively. It is consistent with the ex-\npectation based on variation of the radius of the K, Rb,\nCs ions (K 1.51 ˚A, Rb 1.63 ˚A, Cs 1.78 ˚A).[19] The actual\ncompositionsof the crystalsweredetermined by EDXus-\ning an average of different 4 points. It is found that the\ncomposition is homogeneous in the crystals. The actual\ncomposition is Rb: Fe: Se = 0.78: 2: 1.78, indicating\nthe existence of deficiencies at K sites and Se sites. Such\ndeficiency is similar to our previous report for K xFe2Se2[18], but is sharply in contrast to other reports of both K\nand Fe deficiencies in K xFe2Se2and Cs xFe2Se2.[15–17]\n/s48 /s53 /s49/s48 /s49/s53 /s50/s48 /s50/s53 /s51/s48 /s51/s53/s45/s50/s48/s45/s49/s53/s45/s49/s48/s45/s53/s48\n/s32/s32/s40/s101/s109/s117/s32/s109/s111/s108/s45/s49\n/s41\n/s84/s40/s75/s41/s82/s98\n/s120/s70/s101\n/s50/s83/s101\n/s50/s32/s32/s49/s48/s32/s79/s101\n/s32/s90/s70/s67\n/s32/s70/s67\nFIG. 2: (Color online) Temperature dependence of the Zero-\nfield cooling and field cooling susceptibility taken at 10 Oe\nwith the magnetic field parallel to the ab-plane for the single\ncrystal Rb 0.78Fe2Se1.78.\nFigure 2 shows magnetic susceptibility as a function of\ntemperaturebelow35KforsinglecrystalRb 0.78Fe2Se1.78\nunder a magnetic field of 10 Oe. The zero-field-cooling\n(ZFC) and field cooling (FC) susceptibilities show that\nthe superconducting shield begins to emerge at about\n30.6 K and then show a sharp transition. The ZFC mag-\nnetic susceptibility becomes saturation below 10 K, indi-\ncating high quality of single crystal. The superconduct-\ning volume fraction estimated from the ZFC magnetiza-\ntion at 4 K is 100%. All of these demonstrate a bulk\nsuperconductivity nature in Rb 0.78Fe2Se1.78single crys-\ntals.\n/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48 /s51/s53/s48 /s52/s48/s48/s51/s46/s52/s48/s51/s46/s52/s52/s51/s46/s52/s56/s51/s46/s53/s50/s40/s49/s48/s45/s51\n/s101/s109/s117/s32/s109/s111/s108/s45/s49\n/s41\n/s84/s32/s40/s75/s41/s82/s98\n/s120/s70/s101\n/s50/s83/s101\n/s50/s32/s32/s53/s84\n/s72/s32/s47/s47/s32 /s97/s98\n/s49/s46/s54/s50/s46/s48/s50/s46/s52/s50/s46/s56\n/s72/s32/s47/s47/s32 /s99\nFIG. 3: (Color online) The magnetic susceptibility at 5 T for\nsingle crystal Rb 0.78Fe2Se1.78with the magnetic field along\nand perpendicular to c-axis.\nFigure 3 shows the magnetic susceptibility of\nRb0.78Fe2Se1.78with the magnetic field of 5 T applied\nparallel and perpendicular to the c-axis from 10 K to 4003\nK.Atlowtemperature, superconductingtracecanstillbe\nfound because ofadrop ofsusceptibility. When magnetic\nfield was applied along c-axis, the magnetic susceptibil-\nity gradually decreases with decreasing the temperature.\nThe susceptibility showsa minimum at about 120K with\nthe magnetic field applied within ab-plane. Above120K,\nthesusceptibilitymonotonicallyincreaseswith increasing\ntemperature; while gradually increases with decreasing\ntemperature down to about 40 K just above supercon-\nducting transition temperature. Although the in-plane\nχ(T) shows a minimum 120 K above Tc, the magnitude\nof the susceptibility only changes by less than 2.5 % in\nthe temperature range from 40 K to 400 K. Such behav-\niorofsusceptibility in Rb 0.78Fe2Se1.78isexactlythe same\nas that observed in Cs 0.86Fe1.66Se2.[18] Therefore, such\npeculiar behavior of susceptibility is common feature.\nThe continuous decrease of susceptibility with decreas-\ning the temperature suggests a strong antiferromagnetic\nspin fluctuation. Such spin fluctuation could be related\nto the superconductivity.\n/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48/s48/s49/s48/s50/s48/s51/s48/s52/s48\n/s32/s32/s32/s40 /s32/s99/s109/s41\n/s84/s32/s40/s75/s41/s82/s98\n/s120/s70/s101\n/s50/s83/s101\n/s50\n/s50/s57 /s51/s48 /s51/s49 /s51/s50 /s51/s51 /s51/s52/s48/s50/s52\n/s32/s32/s32/s40 /s32/s99/s109/s41\n/s84/s32/s40/s75/s41\nFIG. 4: (Color online)Temperature dependence of resistivi ty\nfor single crystal Rb 0.78Fe2Se1.78. The inset is the zoom plot\nof resistivity around superconducting transition.\nFigure 4 shows the in-plane resistivity as the func-\ntion of temperature for the Rb 0.78Fe2Se1.78. The\nRb0.78Fe2Se1.78showsthesemiconductor-likebehaviorat\nthe high temperature, and displays a maximum resistiv-\nity at about 150 K, and shows a metallic behavior below\n150 K and a superconducting transition at about 32 K.\nSimilar resistivity has been observedin K xFe2Se2.[15, 18]\nIt seems that the resistivity behavior observed here is\ncommon feature. The temperature corresponding to the\nmaximum resistivity in Rb 0.78Fe2Se1.78is higher than\nthat for K xFe2Se2reported by Guo et al.(around 100\nK) [15] and by Ying et al.(around 120 K) [18], while less\nthanthatreportedbyMizuguchi et al.(∼200K).[16]The\nmaximum resistivityin Rb 0.78Fe2Se1.78crystalhere( ∼37\nΩ cm) is much larger than that of K xFe2Se2in previous\nreport (∼3 Ω cm).[16] The temperature of the maximum\nresistivity strongly depends on the sample. The different\ntemperature of the maximum resistivity could arise fromthevacanciesatFeorSesites. Theresidualresistancera-\ntio between 150K and 33 K is as large as 9. With further\ndecreasing the temperature, superconductivity emerges\nat about 32.1 K and resistivity reaches zero at around\n30 K. These values are very close to those observed in\nKxFe2Se2.[15, 16] The resistivity of Rb 0.78Fe2Se1.78crys-\ntalare6Ω cm at roomtemperature, which is muchlarger\nthan those of FeSe single crystals [20] and the other iron-\npnictide superconductors[21]. This may arise from the\nlarge disorder induced by deficiencies of Fe or Se. Oc-\ncurrence of superconductivity in a system with so high\nresistivity demands further theoretical and experimental\ninvestigation.\n/s48/s50/s52\n/s48/s50/s52\n/s32/s32 /s32/s40 /s32/s99/s109/s41/s32\n/s32/s72/s47/s47 /s97/s98\n/s32/s48/s84\n/s32/s48/s46/s50/s84\n/s32/s48/s46/s53/s84\n/s32/s49/s84\n/s32/s50/s84\n/s32/s52/s84\n/s32/s54/s84\n/s32/s57/s84/s40/s97/s41/s82/s98\n/s120/s70/s101\n/s50/s83/s101\n/s50\n/s40/s98/s41\n/s32/s48/s84\n/s32/s48/s46/s50/s84\n/s32/s48/s46/s53/s84\n/s32/s49/s84\n/s32/s50/s84\n/s32/s52/s84\n/s32/s54/s84\n/s32/s57/s84/s72/s47/s47 /s99/s32 /s32/s40 /s32/s99/s109/s41\n/s32/s32\n/s50/s48 /s50/s53 /s51/s48 /s51/s53/s48/s51/s54/s57/s40/s99/s41\n/s72/s47/s47 /s99/s72/s47/s47 /s97/s98\n/s32/s32/s72\n/s99/s50/s32/s40/s84/s41\n/s84/s32/s40/s75/s41\nFIG. 5: (Color online) (a) and (b) show the temperature\ndependence of resistivity for Rb 0.78Fe2Se1.78with the mag-\nnetic field parallel and perpendicular to the ab-plane, respec-\ntively; (c): The temperature dependenceof uppercritical fi eld\nHc2(T) for Rb 0.78Fe2Se1.78.\nResistivityasafunctionoftemperatureunderthemag-\nnetic field applied in ab-plane and along the c-axis is\nshown in Fig. 5a and 5b. The transition temperature of4\nsuperconductivity is suppressed gradually and the tran-\nsition is broadened with increasing the magnetic field.\nObvious difference for the effect of field along different\ndirection on the superconductivity can observed. In or-\nder to study this difference clearly, we defined the Tcas\nthe temperature where the resistivity was 90% drop right\nabove the superconducting transition. The anisotropic\nHc2(T) are shown in Fig. 5c for the two field directions,\nrespectively. Within the weak-coupling BCS theory, the\nupper critical field at T=0 K can be determined by\nthe Werthamer-Helfand-Hohenberg (WHH) equation[22]\nHc2(0) = 0.693[−(dHc2/dT)]TcTc. From Fig. 5c, we\ncan have [ −(dHab\nc2/dT)]Tc= 8.09 T/K, [ −(dHc\nc2/dT)]Tc\n= 2.66 T/K and Tc= 32.1 K. Then the Hc2(0) can be\nestimated to be 180 T and 59 T with the magnetic field\napplied in ab-plane and along the c-axis, respectively.\nThese values are less than that in K xFe2Se2[16, 18],\nwhile larger than that in CsFe 2Se2.[18] The anisotropy\nHab\nc2(0)/Hc\nc2(0) is about 3.0 and this value just lies right\nbetween K xFe2Se2and Cs xFe2Se2. This anisotropyvalue\nis larger than 1.70 ∼1.86 in Ba 0.60K0.40Fe2As2[23], while\nless than 4 ∼6 in F-doped NdFeAsO[24].\nWe have systematically grown the single crystals\nAxFe2Se2(A= K, Cs and Rb). It is found that\nthere exist some common features in resistivity and\nmagnetic properties for these crystals. A maximum\nresistivity as shown in Fig. 4 is widely observed in\nKxFe2As2[15, 16, 18] and Rb xFe2As2. Another com-\nmon feature is that peculiar behavior of normal state\nsusceptibility as shown in Fig.3 is widely observed in\nCsxFe2As2[18] and Rb xFe2As2. It is found based on\nthe observation in Fig. 3 and 4 that the maximum resis-\ntivity nearly coincides with the minimum susceptibilitywith magnetic field applied within ab-plane. It suggests\nthat there exists a correlation between the maximum\nresistivity and the minimum in-plane susceptibility. It\nshould be addressed that the deficiency of Fe and Se is\nrelated to the ionic radius of alkali metals K, Rb and Cs.\nThe actual compositions of superconducting crystals are\nK0.86Fe2Se1.82[18], Rb 0.78Fe2Se1.78and Cs 0.86Fe1.66Se2.\nIt indicates that the vacancy in conducting FeSe layers\nchanges from Se site to Fe site with increasing the ionic\nradius of alkali metals from K to Cs. It is found that nor-\nmal state resistivity and susceptibility strongly depend\non the vacancy in conducting FeSe layers. Further study\non the origin of the deficiency of Fe and Se should be\nrequired to understand the normal state behavior, even\nthe superconductivity of AxFe2Se2materials.\nIn conclusion, we successfully grew a new supercon-\nductor Rb 0.78Fe2Se1.78single crystals. Tonset\ncis 32.1\nK determined by resistivity measurement and zero\nresistivity is reached at 30 K. The ZFC dc magnetic\nsusceptibility indicates that the crystal is fully diamag-\nnetic. The large Hc2(0) is observed, being similar to\nthat in other the iron-pnictide superconductors[25]. The\nanisotropy Hab\nc2(0)/Hc\nc2(0) is 3.0, right lying between\nthose of K xFe2Se2and Cs xFe2Se2. A common pecu-\nliar susceptibility at the normal state is observed in\nRb0.78Fe2Se1.78.\nACKNOWLEDGEMENT This work is supported\nby the Natural Science Foundation of China and by the\nMinistry of Science and Technology of China, and by\nChinese Academy of Sciences.\n[1] Yoichi Kamihara, Takumi Watanabe, Masahiro Hirano\nand Hideo Hosono, J. Am. Chem. Soc. 130, 3296 (2008).\n[2] X. H. Chen, T. Wu, G. Wu, R. H. Liu, H. Chen and D.\nF. Fang, Nature 453, 761(2008).\n[3] Z. A. Ren, W. Lu, J. Yang, W. Yi, X. L. Shen, Z. C. Li,\nG. C. Che, X. L. Dong, L. L. Sun, F. Zhou and Z. X.\nZhao, Chin. Phys. Lett. 25, 2215(2008).\n[4] M. Rotter, M. Tegel, D. Johrendt, Phys. Rev. Lett. 101,\n107006(2008).\n[5] R. H. Liu, G.Wu, T. Wu, D. F. Fang, H. Chen, S. Y. Li,\nK. Liu, Y. L. Xie, X. F.Wang, R. L. Yang, L. Ding, C.\nHe, D. L. Feng and X. H. Chen, Phys. Rev. Lett. 101,\n087001 (2008).\n[6] H. Chen, Y. Ren, Y. Qiu, Wei Bao, R. H. Liu, G. Wu, T.\nWu, Y. L. Xie, X. F. Wang, Q. Huang and X. H. Chen,\nEurophys. Lett. 85, 17006(2009).\n[7] Clarina de la Cruz, Q. Huang, J. W. Lynn, Jiying Li, W.\nRatcliff II, J. L. Zarestky, H. A. Mook, G. F. Chen, J.\nL. Luo, N. L. Wang and Pengcheng Dai, Nature 453899\n(2008).\n[8] X. C. Wang, Q. Q. Liu, Y. X. Lv, W. B. Gao, L. X. Yang,\nR. C. Yu, F. Y. Li, and C. Q. Jin, Solid State Commun.\n148, 538 (2008).[9] J. H. Tapp, Z. Tang, B. Lv, K. Sasmal, B. Lorenz, Paul\nC.W. Chu, and A.M. Guloy, Phys.Rev. B 78, 060505(R)\n(2008).\n[10] D. R. Parker, M. J. Pitcher, P. J. Baker, I. Franke, T.\nLancaster, S. J. Blundell, and S. J. Clarke, Chem. Com-\nmun. (Cambridge), 2009, 2189.\n[11] Y. Mizuguchi, Y. Hara, K. Deguchi, S. Tsuda, T. Yam-\naguchi, K. Takeda , H, Kotegawa, H. Tou and Y. Takano,\nSupercond. Sci. Technol. 23054013(2010).\n[12] F. C. Hsu, J. Y. Luo, K. W. The, T. K. Chen, T. W.\nHuang, P. M. Wu, Y. C. Lee, Y. L. Huang, Y. Y. Chu,\nD. C. Yan and M. K. Wu, Proc. Nat. Acad. Sci. 105,\n14262 (2008).\n[13] S. Medvedev, T. M. McQueen, I. Trojan, T. Palasyuk,\nM. I. Eremets, R. J. Cava, S. Naghavi, F. Casper, V.\nKsenofontov, G. Wortmann and C. Felser, Nature Mater.\n8630(2009)\n[14] J. J. Ying, A. F. Wang, Z. J. Xiang, X. G. Luo, R. H. Liu,\nX. F. Wang, Y. J. Yan, M. Zhang, G. J. Ye, P. Cheng\nand X. H. Chen, arXiv: 1012.2929.\n[15] J. Guo, S. Jin, G. Wang, S. Wang, K. Zhu, T. Zhou, M.\nHe and X. Chen, Phys. Rev. B 82, 180520 (2010).\n[16] Yoshikazu Mizuguchi, Hiroyuki Takeya, Yasuna5\nKawasaki, Toshinori Ozaki, Shunsuke Tsuda, Takahide\nYamaguchi and Yoshihiko Takano, arXiv:1012.4950\n(unpublished).\n[17] A. Krzton-Maziopa, Z. Shermadini, E. Pomjakushina, V.\nPomjakushin, M. Bendele, A. Amato, R. Khasanov, H.\nLuetkens and K. Conder, arXiv:1012.3637.\n[18] J. J. Ying, X. F. Wang, X. G. Luo, A. F. Wang, M.\nZhang, Y. J. Yan, Z. J. Xiang, R. H. Liu, P. Cheng, G.\nJ. Ye and X. H. Chen, arXiv: 0169761\n[19] R. D. Shannon, Acta. Cryst. A 32, 751 (1976).\n[20] D. Braithwaite, B. Salce, G. Lapertot, F. Bourdarot, C.\nMarin, D. Aoki, and M. Hanfland, J. Phys.: Condens.\nMatter21232202 (2009).[21] X. F. Wang, T. Wu, G. Wu, R. H. Liu, H. Chen, Y. L.\nXie, X. H. Chen, New J. Phys. 11, 045003 (2009).\n[22] N. R. Werthamer, E. Helfand, and P. C. Hohenberg,\nPhys. Rev. 147, 295 (1966).\n[23] ZhaoSheng Wang, Hui-Qian Luo, Cong Ren and Hai-hu\nWen, Phy. Rev. B 78, 140501(R) (2008).\n[24] Ying Jia, Peng Cheng, Lei Fang, Huiqian Luo, Huan\nYang, Cong Ren, Lei Shan, Changzhi Gu, and Hai-Hu\nWen, Appl. Phys. Lett. 93, 032503 (2008).\n[25] H. Q. Yuan, J. Singleton, F. F. Balakirev, S. A. Baily,\nG. F. Chen, J. L. Luo and N. L. Wang, Nature 457, 565\n(2009)." }, { "title": "1810.08232v1.Topological_Hall_effect_in_thin_films_of_Mn___1_5__PtSn.pdf", "content": "arXiv:1810.08232v1 [cond-mat.mtrl-sci] 18 Oct 2018Topological Hall effect in thin films of Mn 1.5PtSn\nPeter Swekis,1,2Anastasios Markou,1,∗Dominik Kriegner,1Jacob Gayles,1Richard\nSchlitz,2,3Walter Schnelle,1Sebastian T. B. Goennenwein,2,3and Claudia Felser1,†\n1Max-Planck Institute for Chemical Physics of Solids, 01187 Dresden, Germany\n2Institut f¨ ur Festk¨ orper- und Materialphysik, Technisch e Universit¨ at Dresden, 01062 Dresden, Germany\n3Center for Transport and Devices of Emergent Materials,\nTechnische Universit¨ at Dresden, 01062 Dresden, Germany\n(Dated: November 24, 2021)\nSpin chirality in metallic materials with non-coplanar mag netic order can give rise to a Berry\nphase induced topological Hall effect. Here, we report the ob servation of a large topological Hall\neffect in high-quality films of Mn 1.5PtSn that were grown by means of magnetron sputtering on\nMgO(001). The topological Hall resistivity is present up to µ0H≈4 T below the spin reorientation\ntransition temperature, Ts= 185 K. We find, that the maximum topological Hall resistivit y is of\ncomparable magnitude as the anomalous Hall resistivity. Ow ing to the size, the topological Hall\neffect is directly evident prior to the customarily performe d subtraction of magnetometry data.\nFurther, we underline the robustness of the topological Hal l effect in Mn 2-xPtSn by extracting the\neffect for multiple stoichiometries (x = 0.5, 0.25, 0.1) and fi lm thicknesses (t = 104, 52, 35 nm) with\nmaximum topological Hall resistivities between 0 .76µΩcm and 1 .55µΩcm at 150 K.\nI. INTRODUCTION\nTopological magnetic structures have become of great\ninterest recently, attributed to the emergent transport\nphenomena associated with the magnetic texture [1].\nOne of these phenomena is the transverse Hall current,\nthat arises from the interplay of magnetic order and in-\ntrinsic band structure or scattering [2]. Experimentally,\nthe measured Hall resistivity can be separated into the\nordinary Hall effect (OHE) [3] dependent on the exter-\nnal field ( H) and the anomalous Hall effect (AHE) which\nscales with the saturation magnetization. The modern\nunderstanding of the AHE ascribes the effect to scat-\ntering mechanisms [4–6] and the intrinsic momentum\nspace Berry curvature [7]. However, recently an addi-\ntional Hall-type contribution was proposed that scales\nneither with the magnetization ( M) nor with the ex-\nternally applied field, termed the topological Hall effect\n(THE) [8, 9]. This THE has been proposed to origi-\nnate from a finite scalar spin chirality [10], skyrmions [8],\nand Weyl points [11]. The prior two are connected\nthrough the magnetic texture and the latter is connected\nto the momentum space dispersion. Here, we focus on\nthe magnetic texture induced THE which has become of\ngreat interest in Heusler compounds due to their tunabil-\nity [12, 13].\nThere are two limiting cases for the stabilization of\nmagnetic textures: the scalar spin chirality and the\nskyrmionic lattice [14], which originate from a compe-\ntition of exchange, e.g. Heisenberg and Dzyaloshinki-\nMoriya interaction [15, 16], with anisotropy and external\nfields. In the limit ofdiscrete spins, there is a finite scalar\nspin chirality Si·(Sj×Sk) caused by three non-coplanar\n∗Anastasios.Markou@cpfs.mpg.de\n†Claudia.Felser@cpfs.mpg.despins that subtend a finite cone angle and give rise to\nthe momentum space dependent THE [10, 17]. In the\nadiabatic limit, the spin chirality is taken to be continu-\nous as the integer winding of the real space Berry curva-\nture [8, 9, 18]. As electrons couple to such spin textures,\nthey acquire a finite Berry phase acting as a magnetic\nfield. This in turn results in an additional contribution\nto the Hall effect [19].\nThe THE has been observed in a variety of ma-\nterials including the B20 compounds [18, 20, 21],\nperovskites [22, 23] and Heusler compounds [24–26].\nThe Heusler compounds are of particular interest,\nowing to the recent discovery of antiskyrmions in\nMn1.4Pt0.9Pd0.1Sn, a new type oftopologicaltexture due\nto theD2dsymmetry [27]. The ferrimagnetic Mn 2YZ\n(Y being a transition metal and Z a main-group el-\nement) inverse Heusler compounds that crystallize in\na non-centrosymmetric structure with D2dsymmetry\nare promising candidates to realize such spin textures\nthrough competing interactions of the magnetic sublat-\nticesandmagnetocrystallineanisotropycausedbytetrag-\nonal distortion [28]. In thin films the presence of geomet-\nric constraints can additionally stabilize the desired spin\ntextures in a wider field and temperature range [29]. Re-\ncently, the THE was observed in single crystal thin films\nof Mn2RhSn [24] as well as in bulk Mn 2PtSn [25] below a\nspin reorientation transition temperature ( Ts) [28], and\ninpolycrystallineMn 2PtSn[26]filmsforalltemperatures\nbelowtheCurietemperature. Conversely,theworkofJin\net al.showsno topologicalHall signal or Tsin epitaxially\ngrown films of Mn 2PtSn [30].\nIn this paper, we focus on Mn 1.5PtSn thin films, with\nthe closest stoichiometry relation to the antiskyrmion\ncompoundMn 1.4Pt0.9Pd0.1Sn. Wedemonstratethepres-\nence of a THE below a spin reorientation transition\ntemperature Tsand up to high fields, evident prior to\nthe customarily performed subtraction of magnetometry\ndata. Further, we point out the robustness of the THE2\nin Mn 2−xPtSn by comparing different compositions and\nfilm thicknesses, as well as previously reported results on\nMn2PtSn films.\nII. EXPERIMENTAL DETAILS\nHigh-quality Mn 2-xPtSn films were grown on single\ncrystal MgO (001) substrates in a BESTEC UHV mag-\nnetron sputtering system. Mn, Pt and Sn were deposited\nfrom 2” targets using DC magnetron co-sputtering. The\nstoichiometry was controlled by adjusting the power of\nthe magnetrons. The deposition was performed in con-\nfocal geometry with a target to substrate distance of\n200 mm. Prior to deposition, the chamber was evacu-\nated to a base pressure below 2 ×10-8mbar, while during\ndeposition a process gas pressure of 3 ×10-3mbar (Ar,\n15 sccm) was maintained. The films were deposited at\n350◦C and post-annealed for 30 min at the same tem-\nperature in order to improve the chemical ordering. The\nannealed films were capped at room temperature with\n3 nm Al, in order to prevent oxidization.\nThe film compositions were confirmed using energy-\ndispersive x-ray (EDX) microscopy. The film surface\ntopography was analyzed by atomic force microscopy\n(AFM) on an Asylum Research MFP-3D Origin by Ox-\nfordInstruments. Structuralcharacterizationwascarried\nout using x-ray diffractometry (XRD) with Cu-K α1 ra-\ndiation ( λ= 1.5406˚A) on a PANalytical X’Pert PRO\nsystem. The film thickness ( t) was determined by x-ray\nreflectivity (XRR) measurements.\nMagnetization measurements were performed on a vi-\nbrating sample magnetometer (MPMS 3, Quantum De-\nsign). In order to infer the magnetization of the films, we\nsubtractedthediamagneticsubstratecontributionaswell\nasalow-temperatureparamagneticcontributionfromthe\nraw data. Here, the paramagnetic contribution can be\nattributed to impurities in the MgO substrate. The dia-\nmagnetic susceptibility ( χ=−19.066×10-6) of MgO was\ndetermined from reference measurements. The paramag-\nnetic contributionwasfitted andsubtractedfromthe raw\ndata using the Brillouin function.\nFour-probe and five-probe measurements were per-\nformed to obtain the resistivity along the longitudinal\ndirection and the Hall resistivity, respectively. There-\nfore, an in-plane current, Ix= 50µA, was applied along\na film stripe with a width of W= 1.29 mm (y-direction).\nVoltages were recorded simultaneously along the current\ndirection ( Vx), with a lead distance of L= 7.4 mm (x-\ndirection), as well as perpendicular to the current direc-\ntion (Vy), with a lead distance of w= 0.77 mm. The\nmagnetic field was applied along the out-of-plane ( z) di-\nrection (MgO [001]). In order to obtain a clean resis-\ntivity,ρxx, the raw resistivity, ρraw\nxx=VxWt/(LIx), was\nsymmetrized by averaging ρraw\nxxat positive and negative\nfields with respect to the field sweep directions. To ob-\ntain a clean Hall resistivity, ρxy, the raw Hall resistivity,\nρraw\nxy=VyWt/(wIx), was antisymmetrized by averaging/s48/s46/s52 /s48/s46/s54 /s48/s46/s56 /s49/s46/s48 /s49/s46/s50/s49/s48/s45/s51/s49/s48/s45/s50/s49/s48/s45/s49/s49/s48/s48\n/s50/s48 /s51/s48 /s52/s48 /s53/s48 /s54/s48 /s55/s48 /s56/s48 /s57/s48 /s49/s48/s48/s49/s48/s49/s49/s48/s50/s49/s48/s51/s49/s48/s52/s49/s48/s53/s49/s48/s54\n/s40/s98/s41/s73/s110/s99/s105/s100/s101/s110/s116/s32/s97/s110/s103/s108/s101/s32/s40/s100/s101/s103/s41/s32/s68/s97/s116/s97\n/s32/s70/s105/s116/s40/s97/s41\n/s49/s32/s181/s109 /s48/s46/s53\n/s45/s49/s45/s48/s46/s53/s48\n/s77/s103/s79\n/s40/s48/s48/s50/s41/s77/s103/s79\n/s40/s48/s48/s52/s41\n/s40/s54/s48/s48/s41/s40/s52/s48/s48/s41/s73/s110/s116/s101/s110/s115/s105/s116/s121/s32/s40/s97/s114/s98/s46/s32/s117/s110/s105/s116/s41\n/s83/s99/s97/s116/s116/s101/s114/s105/s110/s103/s32/s97/s110/s103/s108/s101/s32/s40/s100/s101/s103/s41/s40/s50/s48/s48/s41/s49/s110/s109\nFIG. 1. Structural characterization of the 104 nm thick\nMn1.5PtSn film. (a) XRR pattern with Kiessig fringes in-\ncluding fit. Inset: AFM image. (b) ω- 2θ-scans recorded\nin out-of-plane geometry showing the (200), (400) and (600)\npeaks as well as the (002) and (004) substrate Bragg peaks.\nInset: tetragonal crystal structure.\nthe difference of ρraw\nxyat positive and negative fields with\nrespect to the field sweep directions.\nIII. RESULTS AND DISCUSSION\nA. Structural Characterization\nIn the following, we discuss the properties of a\nMn1.5PtSn thin film in detail, since it has the closest\nstoichiometry relation to the antiskyrmion compound\nMn1.4Pt0.9Pd0.1Sn. In Fig. 1(a) we show the x-ray re-\nflectivity, together with AFM analysis, confirming the\nsmoothness of the film with a r.m.s roughness of 0.3 nm\nin the obtained 5 µm×5µm scan. The Kiessig fringes,\nreaching beyond the measurement range, are further ev-\nidence of a high-quality surface as well as a high-quality\nsubstrate to film interface. A thickness of 104.7 nm and\na roughness of less than 0.5 nm is inferred from XRR\nfitting.\nFurthermore, we use x-ray diffraction radial scans\n(ω−2θ) as shown in Fig. 1(b) and Fig. S1 (see the Sup-\nplemental Material [31]) to determine the crystal struc-\nture of our film. The symmetric radial scans in Fig. 1(b)\nconfirm epitaxial growth since only the ( h00) series of\nBragg peaks, attributed to the Mn 1.5PtSn film, can be\nobserved. The full-width at half-maximum of the (400)\nout-of-plane rocking curve of 1.147◦verifies high crys-\ntallinity. Additionally, more than 10 asymmetric Bragg3\n/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48/s49/s48/s48/s49/s50/s48/s49/s52/s48/s49/s54/s48/s49/s56/s48/s50/s48/s48/s50/s50/s48\n/s49/s48/s48 /s50/s48/s48 /s51/s48/s48 /s52/s48/s48/s48/s49/s48/s48/s50/s48/s48/s51/s48/s48/s40/s98/s41\n/s120/s120/s32/s40/s181 /s99/s109/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s181\n/s48/s72 /s61/s48/s84/s77 /s32/s40/s107/s65/s47/s109/s41\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s70/s67\n/s72/s124/s124/s77/s103/s79/s91/s49/s48/s48/s93\n/s181\n/s48/s72 /s61/s49/s84/s40/s97/s41\nFIG. 2. In-plane magnetization (a) and zero field resistiv-\nity (b) of the 104 nm thick Mn 1.5PtSn film as a function of\ntemperature. The kink at Ts= 185 K in (b) marks the spin\nreorientation transition temperature.\npeaks (Fig. S1) can be indexed using a unit cell sim-\nilar to bulk Mn 1.4PtSn [27]. Analogous to the bulk\nstructure, we describe our unit cell by the space group\nI42d(#122), which is derived from the inverse tetrag-\nonal Heusler structure. This is supported by the ob-\nservation of a systematic absence of Bragg peaks cor-\nresponding to this crystal symmetry (Fig. S1). By mod-\neling the peak intensities we find that Mn atoms occupy\nthe 4cand 8d(x= 0.75) positions, while the Pt and\nSn atoms occupy the 8 c(z= 0.23) and 8 d(x= 0.29)\npositions, respectively. A detailed analysis of the peak\npositions shows that the film geometry stabilizes the c\naxis in the film plane, slightly breaking the equivalence\nof theaandbparameters, reflected in the lattice param-\netersa= 6.338˚A±0.004˚A,b= 6.36˚A±0.01˚A and\nc= 12.22˚A±0.03˚A.\nFrom the {112}pole figure and the comparison of the\ncorresponding azimuthal scan as well as the splitting of\nhigh-angle peaks (see Fig. S1 and S2 in the Supplemental\nMaterial [31]) we conclude that two orientations of the\ncaxis, along [1 ¯10] and [110] of the MgO substrate are\npresent. For the two lattice directions within the film\nplane, this corresponds to a lattice mismatch of 2.5%\nand 6.5%, respectively.\nB. Magnetometry and Magnetotransport\nProperties\nFigure 2(a) depicts the temperature dependence of the\nmagnetization at 1 T with a single transition at 400 K\nrepresenting the Curie temperature for the 104 nm thick\nMn1.5PtSn film. A spin reorientation is not clearly ev-\nident for this field. Figure 2(b) shows the temperature\ndependence of the longitudinal resistivity. In analogy\nto the case of Mn 2RhSn [28], a change in the slope at\nTs= 185 K marks a transition from a collinear ( T > T s)\ninto a non-collinear ( T < T s) magnetic structure follow-\ning spin reorientation of one Mn sub-lattice. A similar\nfeature was also observed in related compounds [25–27].\nThe out-of-plane magnetization Mfor the 104 nm\nthickMn 1.5PtSnfilm isshownfor10K,150K, and300K/s48/s50/s48/s48/s52/s48/s48/s54/s48/s48\n/s45/s49/s48/s45/s56/s45/s54/s45/s52/s45/s50/s48/s50\n/s48 /s49 /s50 /s51 /s52 /s53 /s54 /s55/s48/s49/s50/s51\n/s48 /s49 /s50 /s51 /s52 /s53 /s54 /s55/s45/s48/s46/s52/s48/s46/s48/s48/s46/s52/s48/s46/s56/s49/s46/s50/s32/s49/s48/s75\n/s32/s49/s53/s48/s75\n/s32/s51/s48/s48/s75/s77 /s32/s40/s107/s65/s47/s109/s41/s48/s72 /s32/s124/s124/s32/s111/s117/s116/s45/s111/s102/s45/s112/s108/s97/s110/s101\n/s40\n/s120/s120/s40/s72 /s41/s45\n/s120/s120/s40/s48/s41/s41 /s47\n/s120/s120/s40/s48/s41/s32\n/s40/s100/s41 /s40/s99/s41/s40/s98/s41/s120/s121/s32/s40/s181 /s99/s109/s41\n/s181\n/s48/s72 /s32/s40/s84/s41/s40/s97/s41\n/s84/s72/s69 /s120/s121/s32/s40/s181\n/s99/s109/s41\n/s181\n/s48/s72 /s32/s40/s84/s41\nFIG. 3. 1st quadrant of magnetization curve and resistiviti es\nat 10 K, 150 K, and 300 K as a function of applied field in the\n104 nm thick Mn 1.5PtSn film. (a) Out-of-plane magnetiza-\ntion, (b) magnetoresistance ratio ( Horiented out-of-plane),\n(c) Hall resistivity (Eq. 1) and (d) topological Hall resist ivity\nafter subtraction (Eq. 2) with averaged sweep directions.\natmagneticfieldsupto7TinFig.3(a). The M(H)loops\nare reminiscent of hard-axis behavior with a small coer-\ncive field. We attribute this to the tetragonal caxis lying\nin the film plane. Here, the saturation magnetization Ms\nis 415 kA/m, 550 kA/m and 590 kA/m at 300 K, 150 K,\nand 10 K, respectively, which is comparable to Msde-\ntermined for the bulk material [27]. The saturation field\nis estimated to be about 1.2 T at 300 K, increasing to\nabout 3.5 T at 10 K.\nThe magneto-resistance (MR) in Fig. 3(b), recorded\nwith the Happlied along the out-of-plane direction, is\ndepicted as the ratio ( ρxx(H)−ρxx(0))/ρxx(0). The MR\nis negative for all temperatures and is composed of two\nparts: First, a steep part leveling off around 4 T and vis-\nible at 10 K. This likely originates from the alignment of\nthe spins in the non-coplanar phase and scales with the\nmagnetometry data (Fig. 3(a)). Second, a linear field\ndependent part which does not saturate at 7 T. Further-\nmore, the absolute value of the MR ratio at 7 T clearly\ndecreases with increasing temperature.\nThe Hall resistivity at 300 K in Fig. 3(c) resembles\nM(H) (Fig. 3(a)) with a steep increase at low fields and\nand a linear behavior at high fields. Those two regimes\ncan be attributed to the AHE and the OHE, respectively.\nBelowTs, at 150 K and 10 K, an additional non-linear\npart appears up to approximately 4 T. Here, ρxydoes\nnot trace M(H), which is reminiscent of the THE. The\nthree different contributions can be summarized as:\nρxy=ρOHE\nxy+ρAHE\nxy+ρTHE,\nxy (1)\nwhereρOHEcorrespondstothe OHEscalinglinearlywith\napplied field ( µ0H),ρAHEis the AHE scaling with the\nmagnetization component perpendicular to the film and\nρTHErepresents the THE.4\n/s48 /s49 /s50 /s51 /s52 /s53 /s54/s48/s46/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48/s49/s46/s50/s49/s46/s52/s49/s46/s54\n/s32/s32/s77/s110\n/s49/s46/s55/s53/s80/s116/s83/s110/s32/s40/s53/s50/s32/s110/s109/s41\n/s32/s32/s77/s110\n/s49/s46/s53/s80/s116/s83/s110/s32/s40/s49/s48/s52/s32/s110/s109/s41\n/s32/s32/s77/s110\n/s49/s46/s57/s80/s116/s83/s110/s32/s40/s51/s53/s32/s110/s109/s41/s84/s72/s69 /s120/s121/s32/s40/s181\n/s99/s109/s41\n/s48/s72 /s32/s40/s84/s41\nFIG.4. Topological Hallresistivity(after subtractionwi thav-\neraged sweep directions) at 150K as afunctionof applied fiel d\nfor Mn 1.5PtSn (104 nm), Mn 1.75PtSn (52 nm) and Mn 1.9PtSn\n(35 nm).\nThe AHE can arise from intrinsic and/or extrinsic\nmechanisms scaling with different powers of the resis-\ntivity [2]. Therefor, we write ρAHE= (SAρ2\nxx+αρxx)M,\nwithSAcorresponding to intrinsic and side-jump scat-\ntering and αcorresponding to skew scattering. In an\nindependent analysis, we determined from the scaling re-\nlationρxy∝ρβ\nxxthat the underlying mechanism is of\nprimarily of intrinsic origin with β= 2.2 (see Fig. S3\nin the Supplemental Material [31]). The zero-field con-\nductivity σxx≈10−4Sm−1, supports the notion that\nthe intrinsic and side jump mechanisms dominate [2, 32].\nTherefore, we focus on the skew scattering independent\ncontributions in our evaluation in the following, taking\nα= 0.\nIn order to quantify the different contributions to the\nfield dependant Hall resistivity, we follow the customar-\nily performed separation process [20]. Therefore, we take\ninto account that only the AHE and OHE contribute to\nthe Hallresistivityoncethe magnetizationissaturatedat\nhigh fields. Hence, R0andSAcan be obtained through\na linear fit to our transport data taken at high mag-\nnetic fields, using the resistivity ρxxand the (separately\nmeasured) magnetization as ρxy/H=R0+SAρ2\nxxM/H.\nFinally, we can calculate the topological Hall resistivity\nas\nρTHE\nxy=ρxy−R0H+SAρ2\nxxM. (2)\nAs evident from Fig. 3(d) the THE in the 104 nm\nthick Mn 1.5PtSn film can be observed up to fields of\nµ0H≈4 T with a maximum topological Hall resistivity\nρTHE\nmax= 1.2µΩcm at 150 K. From an anolagous analysis\nin films of Mn 1.75PtSn (52 nm) and Mn 1.9PtSn (35 nm)\nwe obtained ρTHE\nmax= 1.55µΩcm and ρTHE\nmax= 0.76µΩcm,\nrespectively at 150 K (Fig. 4). Our data shows that\na large THE is present in a wide range of stoichiome-\ntries, underlining the robustness of the effect. This is in\nagreement with the presence of a (weaker) THE, previ-/s48 /s53/s48 /s49/s48/s48 /s49/s53/s48 /s50/s48/s48 /s50/s53/s48 /s51/s48/s48/s48/s46/s48/s48/s46/s53/s49/s46/s48/s49/s46/s53/s50/s46/s48/s50/s46/s53/s51/s46/s48\n/s32/s65/s72/s69\n/s32\n/s32\n/s48/s72/s84/s72/s69\n/s109/s97/s120\n/s84/s101/s109/s112/s101/s114/s97/s116/s117/s114/s101/s32/s40/s75/s41/s120/s121/s32/s40/s181 /s99/s109/s41\n/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s46/s48/s49/s46/s50/s49/s46/s52\n/s48/s72/s84/s72/s69 /s109/s97/s120\n/s32/s40/s84/s41\nFIG. 5. Anomalous and topological Hall resistivities and fie ld\nof maximal topological Hall effect as a function of tempera-\nture in 104 nm thick Mn 1.5PtSn film. The anomalous Hall\nresistivity was obtained by extrapolating the linear high- field\npart of the Hall resistivity to 0 T. The maximal topological\nHall resistivity is the peak of the non-linear curvature for each\nrespective temperature at µ0HTHE\nmax.\nously reported in bulk Mn 2PtSn [25] and polycrystalline\nMn2PtSn films ( I4m2) [26]. Notably, in single crystalline\nMn2PtSn films ( I4m2) [30] with the caxis in the plane,\nnoTsand no THE were observed. We therefore propose\nthat the contradicting observations (presence or absence\nof the THE in seemingly similar thin films) might be at-\ntributed to the different crystal structures and crystal\norientations relative to the applied field.\nFigure 5 summarizes the evolution of ρAHE,ρTHE\nmaxand\nthe field µ0HTHE\nmaxat which THE reaches its maximum,\nwith temperature. ρAHEdecreases continuously with\ntemperature,havingthelargestslopearound Ts= 185K.\nThe THE appears below Ts, and thus must be con-\nnected with a non-coplanar spin texture at finite fields,\nwithρTHE\nmaxpeaking at 150 K. Interestingly, ρTHE\nmaxand\nρAHEhave the same magnitude between 100 K and\n10 K, suggesting that a similar microscopic mechanisms\nis responsible for both effects. The field at which the\nmaximal topological Hall resistivity is observed increases\ncontinuously with decreasing temperature following the\nsame trend as the saturation field in the magnetization\n(Fig. 3(a)).\nSince magnetization experiments in films are challeng-\ning, the employed extraction procedure is highly sus-\nceptible to small misalignments in sample mounting or\ntemperature differences between the transport and mag-\nnetometry measurements. This can result in significant\nerrors of the THE values or even mimic non-existent ef-\nfects. It is therefore unclear whether the THE signa-\nture at low fields and above Ts(Fig. 3(d)) is genuine or\nattributable to the THE extraction process [33]. How-\never, our findings would agree with the presence of anti-\nskyrmions above Tsin Mn 1.4Pt0.9Pd0.1Sn [27].\nIn contrast to the majority of reports on the THE in\nconjunction with the AHE, we find that in Mn 1.5PtSn\nthin films the size of the THE is of the same magnitude\nas the corresponding AHE. Typically, the AHE by far5\nsurpasses the THE [18, 21, 24, 34]. Nevertheless, simi-\nlar behavior as in Mn 1.5PtSn was also presented in the\nnon-collinear metallic Mn 5Si3and the correlated oxide\ncharge-transferinsulator(Ca,Ce)MnO 3[17,23]. Interest-\ningly, one can also find a few examples where the THE\nappears in conjunction with a vanishing AHE, such as\nthe Weyl semimetal GdPtBi and the helimagnetic metal\nMnGe [20, 35]. Thus, the dependence of the underlying\nmechanism (i.e. skyrmions/bubbles, Weyl points or non-\ncoplanar magnetic structure) in the respective material\nsystem (e.g. thin film, bulk or multilayer) determines\nthe relation of the THE to the AHE, which can range\nover several orders of magnitude. The physics regard-\ning the relation of the THE to the AHE have not been\ncompletely explored or understood, where in our films we\nclearly observe a difference in the relation that depends\non the spin reorientation transition temperature.\nIV. CONCLUSION\nIn this work, we report a non-trivial behavior of the\nHall responsein Mn 1.5PtSn thin films (space group I42d)\nidentified as the THE. The signature is clearly evidenteven prior to the customarily performed subtraction of\nmagnetometry data. The THE is present up to a spin\nreorientation transition temperature, Ts= 185 K, and a\nfield ofµ0H≈4 T. The same magnitude of ρTHE\nmaxand\nρAHEbelow 100 K implies a similar microscopic mech-\nanism for the AHE and THE. While we focused on a\n104 nm thick Mn 1.5PtSn film, similar experiments in dif-\nferent Mn 2−xPtSn films show that the THE is robust\nover various stoichiometries and thicknesses, reaching up\ntoρTHE\nmax= 1.55µΩcmat150K.Alltogether, Mn 2−xPtSn\nisaninterestingcompoundforthe understandingandap-\nplicationoftransportphenomenaintopologicalmagnetic\nstructures.\nACKNOWLEDGEMENT\nThe authors acknowledge funding by the Deutsche\nForschungsgemeinschaft (DFG, German Research Foun-\ndation) under SPP 2137 (Project number 403502666),\nERC Advanced Grant 742068 “TOPMAT” and EU FET\nOpen RIA Grant No. 766566 grant (ASPIN). P. S. ac-\nknowledges financial support by the International Max\nPlanck Research School for Chemistry and Physics of\nQuantum Materials (IMPRS-CPQM).\n[1] A. Fert, V. Cros, and J. Sampaio, Nature Nanotechnol-\nogy8, 152 (2013).\n[2] N. Nagaosa, J. Sinova, S. Onoda, A. H. MacDonald, and\nN. P. Ong, Rev. Mod. Phys. 82, 1539 (2010).\n[3] E. H. Hall, American Journal of Mathematics 2, 287\n(1879).\n[4] R. Karplus and J. M. Luttinger, Physical Review 95,\n1154 (1954).\n[5] J. Smit, Physica 24, 39 (1958).\n[6] L. Berger, Physical Review B 2, 4559 (1970).\n[7] Z. Fang, N. Nagaosa, K. S. Takahashi, A. Asamitsu,\nR. Mathieu, T. Ogasawara, H. Yamada, M. Kawasaki,\nY. Tokura, and K. Terakura, Science 302, 92 (2003).\n[8] J. Ye, Y. B. Kim, A. J. Millis, B. I. Shraiman, P. Ma-\njumdar, and Z. Teˇ canovi´ c, Physical Review Letters 83,\n3737 (1999).\n[9] P. Bruno, V. K. Dugaev, and M. Taillefumier, Physical\nReview Letters 93, 096806 (2004).\n[10] Y. Taguchi, Y. Oohara, H. Yoshizawa, N. Nagaosa, and\nY. Tokura, Science 291, 2573 (2001).\n[11] J. K¨ ubler and C. Felser, EPL (Europhysics Letters) 108,\n67001 (2014).\n[12] K.Manna, Y.Sun,L.Muechler, J. K¨ ubler, andC.Felser,\nNature Reviews Materials 3, 244 (2018).\n[13] L.Wollmann, A.K.Nayak,S.S.P.Parkin, andC.Felser,\nAnnual Review of Materials Research 47, 247 (2017).\n[14] U. K. R¨ oßler, A. N. Bogdanov, and C. Pfleiderer, Nature\n442, 797 (2006).\n[15] I. Dzyaloshinsky, Journal of Physics and Chemistry of\nSolids4, 241 (1958).\n[16] T. Moriya, Physical Review 120, 91 (1960).[17] C. S¨ urgers, G. Fischer, P. Winkel, and H. L¨ ohneysen,\nNature Communications 5, 3400 (2014).\n[18] A. Neubauer, C. Pfleiderer, B. Binz, A. Rosch, R. Ritz,\nP. G. Niklowitz, and P. B¨ oni, Physical Review Letters\n102, 186602 (2009).\n[19] M. V. Berry, Proceedings of the Royal Society of London.\nA. Mathematical and Physical Sciences 392, 45 (1984).\n[20] N. Kanazawa, Y. Onose, T. Arima, D. Okuyama,\nK. Ohoyama, S. Wakimoto, K. Kakurai, S. Ishiwata, and\nY. Tokura, Physical Review Letters 106, 156603 (2011).\n[21] S. X. Huang and C. L. Chien, Physical Review Letters\n108, 267201 (2012).\n[22] M. Nakamura, D. Morikawa, X. Yu, F. Kagawa,\nT. Arima, Y. Tokura, and M. Kawasaki, Journal of the\nPhysical Society of Japan 87, 074704 (2018).\n[23] L. Vistoli, W.Wang, A.Sander, Q.Zhu, B. Casals, R. Ci-\nchelero, A. Barth´ el´ emy, S. Fusil, G. Herranz, S. Valen-\ncia, R. Abrudan, E. Weschke, K. Nakazawa, H. Kohno,\nJ. Santamaria, W. Wu, V. Garcia, and M. Bibes, Nature\nPhysics (2018), 10.1038/s41567-018-0307-5.\n[24] K. G. Rana, O. Meshcheriakova, J. K¨ ubler, B. Ernst,\nJ. Karel, R. Hillebrand, E. Pippel, P. Werner, A. K.\nNayak, C. Felser, and S. S. P. Parkin, New Journal of\nPhysics18, 085007 (2016).\n[25] Z. H. Liu, A. Burigu, Y. J. Zhang, H. M. Jafri, X. Q. Ma,\nE. Liu, W. H. Wang, and G. H. Wu, Scripta Materialia\n143, 122 (2018).\n[26] Y. Li, B. Ding, X. Wang, H. Zhang, W. Wang, and\nZ. Liu, Applied Physics Letters 113, 062406 (2018).\n[27] A. K. Nayak, V. Kumar, T. Ma, P. Werner, E. Pippel,\nR. Sahoo, F. Damay, U. K. R¨ oßler, C. Felser, and S. S. P.\nParkin, Nature 548, 561 (2017).6\n[28] O. Meshcheriakova, S. Chadov, A. K. Nayak, U. K.\nR¨ oßler, J. K¨ ubler, G. Andr´ e, A. A. Tsirlin, J. Kiss,\nS. Hausdorf, A. Kalache, W. Schnelle, M. Nicklas, and\nC. Felser, Physical Review Letters 113, 087203 (2014).\n[29] A. B. Butenko, A. A. Leonov, U. K. R¨ oßler, and A. N.\nBogdanov, Physical Review B 82, 052403 (2010).\n[30] Y. Jin, S. Valloppilly, P. Kharel, J. Waybright, P. Luka -\nshev, X. Z. Li, and D. J. Sellmyer, Journal of Applied\nPhysics124, 103903 (2018).\n[31] See Supplemental Material at for additional x-ray\ndiffraction radial scans, pole figure, φ-scan, power law\nscaling and topological Hall data of Mn 1.75PtSn.[32] T. Miyasato, N. Abe, T. Fujii, A. Asamitsu, S. Onoda,\nY. Onose, N. Nagaosa, and Y. Tokura, Physical Review\nLetters99, 086602 (2007).\n[33] H. Ishizuka and N. Nagaosa, Science Advances 4(2018).\n[34] C. S. Spencer, J. Gayles, N. A. Porter, S. Sugimoto,\nZ. Aslam, C. J. Kinane, T. R. Charlton, F. Freimuth,\nS. Chadov, S. Langridge, J. Sinova, C. Felser, S. Bl¨ ugel,\nY. Mokrousov, and C. H. Marrows, Physical Review B\n97, 214406 (2018).\n[35] T. Suzuki, R. Chisnell, A. Devarakonda, Y. T. Liu,\nW. Feng, D. Xiao, J. W. Lynn, and J. G. Checkelsky,\nNature Physics 12, 1119 (2016)." }, { "title": "1411.5114v1.Towards_pristine_graphene_metal_interface_and_microstructures__Laser_assisted_direct_patterning_on_Epitaxial_graphene.pdf", "content": "1 \n Towards pristine graphene -metal interface and microstructures: Laser \nassisted direct p atterning on Epitaxial graphene \nA. Nath*1, M. Currie2, V.D. Wheeler2, M.J. Tadjer2, A.D. Koehler2, Z.R. Robinson2 , \nK. Sridhara3, S. C. Hernandez2, J. A. Wollmershauser2, J. T Robinson2, R.L. Myers -Ward2, \nC.R. Eddy, Jr.2, M.V.Rao1 and D.K. Gaskill2 \n \n1George Mason University, 4400 University Dr. Fairfax, Virginia, VA 22030, USA \n2U.S. Naval Research Laboratory, 4555 Overlook Ave., SW, Washington, DC 20375, USA \n3University of Maryland, College Park, MD 20742, USA \n \nAbstract: Graphene -metal contact resistance is governed by both intrinsic and extrinsic factors. \nIntrinsically, both the density of states bottleneck near the Dirac point and carrier reflecti on at the \ngraphene -metal interface lead to a high contact resistance. Moreover, graphene exhibits insulating \nbehavior for out -of-the-plane conduction. Extrinsically, surface contamination introduced by \nphotoresist residue or different adsorbed species duri ng standard lithography processing alters \ngraphene's intrinsic properties by uncontrolled doping and increased scattering which results in \nhigh and inconsistent contact resistance. Here we demonstrate a femto -second laser assisted direct \npatterning of grap hene microstructures that enables us to study both intrinsic and extrinsic effects \non the graphene -metal interface. We show that a clean graphene -metal interface is not sufficient \nto obtain contact resistance approaching the intrinsic limit set by the quan tum resistance. We also \ndemonstrated that unlike CVD graphene, edge state conduction (or end -contact) is not \nspontaneously formed by metal deposition in case of graphene grown on SiC(0001). We conclude \nthat for epitaxial graphene, intentional end -contact formation is necessary to obtain contact \nresistance near the quantum contact resistance limit. \n \n \n \n*Corresponding author‘s email: anath@gmu.edu \n 2 \n Understanding and controlling the graphene -metal interaction poses an intriguing challenge for the \ngraphene community. Controlled tailoring of the graphene -metal contact resistance (R C) is \nessential for applications that exploit its extraordinary electronic, optical, thermal and mechanical \nproperties .1,2 Both intrinsic and extrinsic effects contribut e to graphene -metal R C. Intrinsically, \neven though graphene is a semi -metal, the density of states (DOS) bottleneck near the Dirac point \nleads to an elevated R C.3 Moreov er it has been suggested that the successive transformation \nbetween Dirac -like and Schrodinger -like carriers at the graphene -metal interface decreases the \ncarrier transmission probability which results in a higher R C.4 Extrinsically, surface contamination \nintroduced by polymers, solvents, chemicals and other adsorbates such as water -vapor during \nstandard semiconductor processing modify the intrinsic properties of graphene through increased \nscattering . 5 Resist residue at the interface between the graphene and the metal has been shown to \ninhibit conformal deposition of metal on graphene, resultin g in increased and inconsistent R C.6 Yet, \nunlike conventional semiconductors, resist residue on graphene surface cannot be cleaned using \nstandard p lasma -ashing due to the low selectivity between carbon -based resists and graphene .7 \nAlso, p -n junction formation due to different work function between graphene and me tal contacts \nmay contribute significantly to R C.8 \nIn recent years, various strategies have been employed to achieve reproducible low contact \nresistance. Several groups have attributed low R C to a clean graphene -metal interface . 9,10 Other \napproaches have enhanced the interfacial DOS and/or graphene -metal carrier transmission by \nintentionally damaging graphene by oxygen plasma ,11 ultraviolet/ozone treatment ,12 contact area \npatterning , 13 or by one -dimensional side con tact.14 Additionally, Wallace et al, used in-situ X-ray \nphotoelectron spectroscopy (XPS) to show that metal deposition (Ti and Pd ) on as -grown chemical \nvapor deposition (CVD) graphene spontaneously form defects resulting in end -contact 3 \n (i.e. conduction through reactive edge -states or defects) . 15 Another viable approach to tailor R C is \nmetal -graphene work function engineering .16 Several groups have achieved relatively low contact \nresistance using Pd or Ni and h igher contact resistance with Ti, Cr, and Al contacts .17 Yet, Ti/Au \ncontact resistances approaching quantum R C values were recently reported . 7,9 It has been \ntheoretically suggested that a change in the graphene dispersion relation occurs when the metal \nchemisorbs on the graphene (Ti, Ni, Co, Cr and Pd) and not when the metal physisorbs (Au, Ag, \nand Pt) 3,15 contradicting other experimental findings . 17 In contrast, Robinson et al.11 have reported \nno significant dependence of R C with contact metal. \nOne of the major limitations of prior contact resistance reports is that the graphene was \nalways contaminated and/or modif ied before metal deposition by lithography resists, plasma \ndamage, etc. Recently two different groups 18,19 have reported a resist -free process to study residue \nfree graphene -metal interface. Yet, in both st udies, exfoliated graphene was used and due to t he \nsmall size of the flakes end -contacting of the graphene was unavoidable.19 In this work, we have \ndeveloped a resist -free technique, which when used on large area epitaxial graphene (EG) grown \non SiC permits the study of graphene –metal interaction without process induced artifacts such as \nresist residue or end -conta cts due to mesa formation. Using this approach, we are able to determine \nwhether a resist -free interface is sufficient and/or necessary to obtain a low R C (limited by quantum \ncontact resistance), and to verify if spontaneous defect formation during Ni met al deposition is \ninherent to graphene. \n \n The graphene samples were prepared by silicon sublimation from a semi -insulating SiC \n(0001) substrate in an Ar atmosphere. The growth conditions have previously been shown to result \nin uniform graphene thickness on terraces where the bounding steps contain an extra layer .20 4 \n Van der Pauw (vdP) Hall and transfer length measurement (TLM) structures were fabricated by a \nphotoresist -free process implemented with a custom made shadow mask (Fig. 1 (a)). In order to \nfabricate the shado w mask for contact metal deposition, a one µm thick SiO 2 film was deposited \nby plasma enhanced CVD (Oxford Instruments PECVD) on a standard 4 -inch Si (100) wafer \nthinned to 100 µm by chemical -mechanical polishing. The test structures were then patterned on \nto the SiO 2/Si wafer by standard photolithography. The exposed SiO 2 was subsequently etched by \na combination of wet -etch (buffered oxide etch) and dry -etch in a commercial deep reactive ion \netching chamber (Oxford Instruments DRIE, 1000 W ICP, 150 W RF, 5 0 sccm CHF 3, 30 sccm O 2, \n40 mT, 20°C). An SF 6-based chemistry was used to cryo -etch through the silicon wafer \nanisotropically in the same DRIE chamber (1000 W ICP, 9 W RIE, 100 sccm SF 6, 5 sccm O 2, 15 \nmT, -110 °C).21 The resulting SiO 2/Si mask was then placed on the gra phene surface and a 50 nm \nthick Ni film was deposited by electron -beam evaporation (Fig . 1(b)). \n For device isolation (Fig . 1(c)), the samples were illuminated by optical pulses from an \namplified Ti:sapphire laser (Coherent RegA) at a repetition rate of 250 kHz with 800 -nm center \nwavelength and 35 -nm optical bandwidth (full width at half maximum) .22 An optical pulse shaper \nlocated between the Ti:sapphire seed laser and amplifier pre -compensated for the system \ndispersion. BioPhotonic Solution's MIIPS system implemented the necessary feedback to the pulse \nshaper to produce 50 -fs pulses at the sample. \n The laser was focused wit h a 50x objective lens to approximately 1 -μm-diameter focal \nspot. Due to the tight focus, the Rayleigh range is also on the order of 1 μm. Thus, to maintain the \nfocal spot while laterally scanning, the sample requires <1 μm of height variation between our \nlaser and the sample. To accomplish this, the sample was positioned on a 5 -axis stage. The laser \nwriting was performed by opening an electro -mechanical shutter and moving the sample in the 5 \n two lateral dimensions to produce the desired pattern. Ablation of the graphene on a sub -\nmicrometer scale was achieved with an average optical irradiance < 80 kW cm-2, more than 4x less \nthan for damage (not ablation) induced in graphene by continuous wave (cw) lasers . 23 Our average \noptical irradiance corresponds to < 3 nJ pulse energy and < 0.3 J cm-2 optical pulse fluence. \n Post isolation device electrical measurements were performed in a custom low vacuum \n(10-4 mbar) probe station. Measurements were performed in-situ at room temperature (RT) before \nand after the sample was subjected to a 24 hr vacuum anneal at 200 °C. Immediately after the \nin-situ anneal, RT Hall measurements were performed in air on 12 × 12 μm2 vdP structures \nadjacent to the TLM structures. Post annealing characterization included scanning electron \nmicroscopy (SEM, Carl Zeis) and Raman spectroscopy (Thermo DXR) using a 9 mW 532 nm \nlaser. Kelvin probe force microscopy (KPFM) was performed on a Veeco D5000 scanning probe \nmicroscope in ambient conditions using a double pass technique with Co/Cr -coated silicon tip \n(radius of curvat ure of ~50 nm). Atomic force microscopy (AFM, Bruker Dimension Icon) and \noptical microscopy ( Olympus BX51, edge detection Sobel filter mode) were employed to measure \nTLM pad distances (150 µm width, spacing range was 5 -60 µm). \n \n Fig. 2(a) depicts a SEM image of an isolated TLM structure before in-situ anneal. The \ndeposited metal was conformal and extremely smooth with rms roughness ≈ 0.25 nm (Fig . 2(a)) \ninset). The isolation line width was about 800 nm as measured by SEM (not shown ) and AFM \n(Fig. 2(b)). A Raman map of the graphene 2D peak intensity (Fig. 2(c)) shows the complete \nremoval of graphene from the areas damaged with the laser. Some debris were produced during \nlaser isolation and could be observed in the vicinity (≈1µm) of the isolation line, however, the 6 \n active regions of the devices were free from debris, as can be seen in Fig. 2(d). It should be noted \nthat the graphene immediately neighboring the isolation line was not altered due to the use of a \npulsed laser. Here, grap hene is ablated on a femtosecond time scale where the irradiation is 4x \nlower than needed to damage the graphene with cw laser (the cw laser damage is likely due to \nlocal heating).23 For cw lasers, the high heat capacity and thermal conductivity of graphene \ninfluence the ablation process and cre ate a damaged area much larger than the laser spot size. \nHowever, pulsed lasers have the potential for nonlinear absorption. Their subpicosecond energy \nabsorption times are much faster than thermal or acoustic processes, thereby enabling patterning \nthat ha s smaller damage areas with sharper boundaries. \n This nonlinear optical effect depends on the irradiance/fluence of the optical beam, thus, \nthe size of the damaged region is controlled by the laser's spatial profile. A common metric for \nfocused Gaussian be ams is the diameter measured at the points where the irradiance drops to 1/e2 \nof the peak value. However, a peak irradiance (e.g., >80% of the maximum value) occurs over a \nsmaller diameter. Our 1 -μm diameter laser spot (measured at 1/e2 ) used in this stu dy produced a \nsomewhat smaller damaged region in the graphene film. This is explained by our nonlinear damage \nand laser -ablated region occurring at an irradiance greater than at the focussed laser's 1 -μm (1/e2) \ndiameter, thereby producing a damaged region s maller than the 1/e2 diameter. \n Fig. 3(a) shows an optical image of a representative Hall structure. The use of thinned Si \nwafer and optimized cryo -etch facilitated a shadow mask with a resolution ≈ 2 µm and SEM \nimages (not shown) revealed no ragged edges indicating smooth sidewalls of the deposited metal. \nThe Raman 2D peak full width at half maximum (FWHM) map of an isolated vdP cross is shown \nin Fig . 3(b), where the isolation line is evident due to the absence of graphene 2D peaks. Fig . 3(c) \ndepicts AFM hei ght image of a TLM structure. In this AFM image, a shadowing effect was 7 \n observed due to the finite gap between the physical mask and graphene. However, it was ≈ 1 µm \nand included in the TLM calculation. \n The KPFM image of the same TLM structure (Fig. 3(c )) reveals an average work function \ndifference, Δ ϕ = ϕNi - ϕgraphene ≈ 0.35 eV between Ni pads and graphene. This difference is roughly \nconsistent with prior measurements of the Ni -(CVD) graphene work function difference of \n-0.2 to 0.4 eV by ultraviolet p hotoelectron spectroscopy (UPS) . 16 \n Fig. 4(a) depicts the RT I -V characteristics for various TLM separations of a representative \ndevice after annealing for 24 hr at 200° C. Ohmic behavior was observed for all three measured \ndevices both before and after annealing. The inset of the Fig . 4(a) shows the I -V behavior between \ntwo adjacent TLM structures where the resistance between two adjacent but isolated devices is \n>1012 Ω, which indicates good isolation. Fig 4 . (b) plots the total resistance as a function of TLM \npad spacing before and after in-situ annealing. The contact resistances were calculated to be \n2625± 105 Ω·µm and 1200 ± 107 Ω·µm before and after annealing, respecti vely. However, the \nsheet resistance under the metal before and after annealing were nearly identical, ≈ 840 Ω/□ as \nfound from the slope of the TLM measurements. \n The conductance (G) of a graphene -metal junction can be described by the Landauer -\nButtiker model for one dimensional wire, 𝐺=2𝑒2\nℎ𝑇𝑀 24,25 where T is the carrier transmission \nprobability, M is the conduction mode in graphene, e is the electron charge and h is Planck’s \nconstant. Considering two valleys of graphene, it can be shown that the graphene -metal contact \nresistance is, R C = 1\n𝑇ℎ𝜋1\n2\n4𝑒2𝑛1\n2,14,24 (1) 8 \n where n is the sheet density underneath the metal. We can calculate the quantum limited contact \nresistance from Eq. (1) by assuming perfect transmission ( T=1) and usin g the measured Hall carrier \nconcentration as an approximation of n. The room temperature 12 × 12 μm2 vdP measurement \nshowed an electron concentration ≈ 1.7 ×1012 cm-2, mobility ≈ 1700 cm2 V-1.s-1 and sheet resistance \n(RSh) ≈ 2000 Ω/□. This results in a R C of 88 Ω -μm, which is 12.5x lower than the value we obtained \nafter annealing (1200 ± 107 Ω·µm). \n The origin of such disparity can be attributed to reduction in carrier transmission \nprobability for two reasons, neither of which is accounted for in our calcu lation. The carrier \ntransmission probability ( T) depends on TM-G (transport from the metal into the graphene) and TC \n(transport from graphene beneath the metal to the graphene channel), which can be expressed as T \n= TCTM-G/(1-(1-TC)(1-TM-G)).25 The first reason for reduction is, even though graphene is a semi -\nmetal and exhibits high lateral conductivity, it essentially serves as an insulator for out -of-the plane \nconduction .26 Hence, in the absence of edge -state conduction , the TM-G is reduced significantly. \nThe second reason for reduction stems fr om the possible formation of p -n junction at the interface \nof graphene underneath the metal and the bare graphene. Theoretical work predicts that metal \ninduced graphene doping depends on both the work function difference and graphene -metal \nsurface distance . 27 In this study, the graphene Fermi level ( F) resides 0.35 eV above the Ni Fermi \nlevel, and it is expected that the graphene underneath the metal is p doped because of charge \ntransfer, as recently shown experimentally by Yang et al. 16 This effect is also manifested by our \nRT vdP Hall measurement showing the sheet resistances under the Ni were markedly different \nthan the bare graphene. Since the graphene channel remains n -type, this will create a p -n junction. \nThe transmission probability ( TC) through such a p -n junction will be smaller than unity . 25 \nMoreover intrinsic effects such as the momentum mismatch between the metal and graphene, finite 9 \n graphene -metal distance, successive transformation from Dirac -like to Schrodinger -type carriers \nat the i nterface, and carrier reflection due to non -normal incident angles also impede carrier \ntransmission and should result in a higher contact resistance. \n In this study, a significant improvement (55%) of the R C was achieved by vacuum \nannealing. From Eq. 1, c ontact resistance can be improved either by elevated carrier concentration \nor by enhanced carrier transmission. Since R Sh is primarily dictated by the carrier concentration \nand vacuum anneal reduces adsorbates (which are responsible for weak p -type doping in \ngraphene28) at the graphene -metal interface, annealing generally results in a reduction of R Sh \nunderneath the metal .7 This phenomenon was not observed in this study. We postulate that R Sh \n(hence n) was not changed by annealing either due to the absence of any extrinsic adsorbates at \nthe interface or because of graphene Fermi level pinning due to its strong interaction with Ni . 17 \nHence we attribute the improvement of R C to an enhancement of the carrier transmission, which \nis consistent with the previous studies .13 The enhancement in transmission is likely due to \nimproved proximity of the metal to the graphen e. \n Since it is possible that Ni is reacting with EG to form e nd-contatcs, similar to the CVD \ngraphene case ,15 we next determine the effect of metal deposition on graphene lattice integrity . \nFour 4x4 mm2 epitaxial graphene samples (EG_1, 2, 3, 4; synthesized from one semi -insulating \nnominally on -axis SiC (0001) wafer) were patterned to contain large -area vdP Hall structures with \nTi/Au (10 nm/300 nm) contacts deposited using e -beam evaporation through a shadow ma sk. Two \nof these samples (EG_2 and EG_4) were subsequently exposed to lift -off resist and S1811 \n(MICROPOSIT), flood exposed in deep UV and developed by CD -26 to simulate the effect of \nresist residue before metal deposition. 50 nm Ni was deposited by e -beam evaporation on all four \nEG samples and two CVD grown graphene samples (CVD_1, 2) after transferring on Si/SiO 2 by 10 \n standard wet -transfer .29 Samples (EG_3, EG_4 and CVD_2) were then annealed at 200 ˚C under \nvacuum (~10-4 mbar) for 24 hrs prior to etching the Ni fi lm. The Ni was then etched by Ni etchant \nTFB at RT and confirmed by optical microscope and AFM. It was found that a much longer time \n(~15- 20 min .) was needed to completely etch the annealed Ni films as opposed to the un -annealed \nsamples (~5 min.) . \n The Raman spectra of EG and CVD samples are depicted in Fig . 5(a) and Fig. 5(b), \nrespectively . The EG samples did not exhibit any defect peak (D peak ~1350 cm-1) due to Ni \ndeposition before or after vacuum annealing. However, the CVD films exhibited an increase in the \nD peak with the introduction of Ni. Additionally, the CVD films with the combination of Ni \ndeposition and anneal treatment, have a prominent D peak indicative of significant dama ge to the \nunderlying graphene lattice, as shown in Fig . 5 (b). Such a finding is in congruence with previous \nreports . 15, 19 Unlike CVD samples, as Ni deposition on E G does not produce end -contact, we \nconclude that in the discussion on annealing (above) the improvement in R C is due to proximity to \nthe graphene plane. \n To gain more insight about the role of resist contamination at the graphene -metal interface, \nXPS was p erformed on all four samples with a Thermo Scientific k -Alpha system (spot size \n∼400 µm) using Al k -α radiation. Both the samples (EG_2 and EG_4) which were exposed to \npolymers showed (Fig . 5(c)) an additional peak at 288.4 eV which are attributed to either NiCO 3 \nor Ni(CO) 4. 30 However, samples with such contaminations showed similar or higher sheet \nresista nce than those where no such peaks were observed (EG_1 and EG_3). Hence we infer that \nsuch contaminations are providing higher resistance paths than the graphene surface. Such non -\nconducting residues at graphene -metal interface potentially hinder carrier t ransmission which, \nincidentally, may alter the contact resistance. 11 \n Nevertheless, two important conclusions can be drawn from this study. First, a clean graphene -\nmetal interface is not sufficient to obtain a R C limited only by quantum resistance, even tho ugh \nthat might be a necessary condition for conformal metal deposition and reproducible contact \nresistance. Second, unlike CVD graphene, metal deposi tion does not form spontaneous end -\ncontacts in epitaxial graphene, hence intentional edge -state conduction formation is required to \nobtain a low R C.15 \n In summary, we demonstrate femto -second laser assisted direct patterning of graphene \nmicrostructures that enables us to study both intrinsic and extrinsic effects on the graphene -metal \ninterface on the graphene planar surface without modifying the graphene by photoresist polymer \nresidue or other chemicals. We show that a clean graphene -Ni interface does not lead to R C \napproaching the intrinsic limit set by the quantum resistance. We also found that the R C is \nprimarily limited by graph ene-Ni vertical carrier transmission and the effect of induced doping by \nthe metal. Furthermore, we showed that the Ni interactions with EG when deposited by e-beam \nevaporation are much weaker than that of the CVD graphene , before o r after annealing . Lastly, \nannealing prob ably is increasing the proximity of metal to the graphene plane which facilitates \nimproved R C. Yet, planar Ni contacts have R C over an order of magnitude higher than the \ncalculated value , implying that edge state conduction is necessary to obtain R C near the quantum \ncontact resistance limit. \nAcknowledgement. M.J.T and Z.R.R are grateful for ASEE postdoctoral fellowship. We \nacknowledge useful discussions with M. S. Osofsky, D.J. Meyer (U.S. Naval Research Laboratory) \nand M.S.Fuhrer ( Monash University) . This work was sponsored by the Office of Naval Research . \n 12 \n 1 A.K. Geim and K.S. Novoselov, Nat. Mater. 6, 183 (2007). \n2 Z. Cheng, Q. Zhou, C. Wang, Q. Li, C. Wang, and Y. Fang, Nano Lett. 11, 767 (2011). \n3 R. Ifuku, K. Nagashio, T. Nishimura, and A. Toriumi, Appl. Phys. Lett. 103, 033514 (2013). \n4 D. Dragoman, J. Appl. Phys. 113, 214312 (2013). \n5 Y.-C. Lin, C. -C. Lu, C. -H. Yeh, C. Jin, K. Suenaga, and P. -W. Chiu, Nano Lett. 12, 414 (2011). \n6 P. Blake, R. Yang, S.V. Morozov, F. Schedin, L.A. Ponomarenko, A.A. Zhukov, R.R. Nair, \nI.V. Grigorieva, K.S. Novoselov, and A.K. Geim, Solid State Commun. 149, 1068 (2009). \n7 A. Nath, A.D. Koehler, G.G. Jernigan, V.D. Wheeler, J.K. Hite, S.C. Hernández, Z.R. \nRobinson, N.Y. Garces, R.L. Myers -Ward, C.R.E. Jr, D.K. Gaskill, and M.V. Rao, Appl. Phys. \nLett. 104, 224102 (2014). \n8 Y. Wu, V. Perebeinos, Y. Lin, T. Low, F. Xia, and P. Avouris, Nano Lett. 12, 1417 (2012). \n9 J.S. Moon, M. Antc liffe, H.C. Seo, D. Curtis, S. Lin, A. Schmitz, I. Milosavljevic, A.A. \nKiselev, R.S. Ross, and D.K. Gaskill, Appl. Phys. Lett. 100, 203512 (2012). \n10 A. Hsu, H. Wang, K.K. Kim, J. Kong, and T. Palacios, Electron Device Lett. IEEE 32, 1008 \n(2011). \n11 J.A. R obinson, M. LaBella, M. Zhu, M. Hollander, R. Kasarda, Z. Hughes, K. Trumbull, R. \nCavalero, and D. Snyder, Appl. Phys. Lett. 98, 053103 (2011). \n12 L. Peng, K.P. Pernstich, T. Shen, A.R. Walker, G. Cheng, C.A. Hacker, and C.A. Richter, \nArXiv Prepr. ArXiv121 20838 (2012). \n13 J.T. Smith, A.D. Franklin, D.B. Farmer, and C.D. Dimitrakopoulos, ACS Nano 7, 3661 \n(2013). \n14 L. Wang, I. Meric, P.Y. Huang, Q. Gao, Y. Gao, H. Tran, T. Taniguchi, K. Watanabe, L.M. \nCampos, and D.A. Muller, Science 342, 614 (2013). \n15 C. Gong, S. McDonnell, X. Qin, A. Azcatl, H. Dong, Y.J. Chabal, K. Cho, and R.M. Wallace, \nACS Nano (2013). \n16 S. Yang, P. Zhou, L. Chen, Q. Sun, P. Wang, S. Ding, A. Jiang, and D.W. Zhang, J. Mater. \nChem. C (2014). \n17 S.M. Song, J.K. Park, O.J. Sul, and B .J. Cho, Nano Lett. 12, 3887 (2012). \n18 K. Nagashio, R. Ifuku, T. Moriyama, T. Nishimura, and A. Toriumi, in Electron Devices Meet. \nIEDM 2012 IEEE Int. (IEEE, 2012), pp. 4 –1. \n19 W.S. Leong, C.T. Nai, and J.T.L. Thong, Nano Lett. 14, 3840 (2014). \n20 L.O. Nyakiti, V.D. Wheeler, N.Y. Garces, R.L. Myers -Ward, C.R. Eddy, and D.K. Gaskill, \nMRS Bull. 37, 1149 (2012). \n21 M.D. Henry, ICP Etching of Silicon for Micro and Nanoscale Devices, California Institute of \nTechnology, 2010. \n22 M. Currie, J.D. Caldwell, F.J. Bezares, J. Robinson, T. Anderson, H. Chun, and M. Tadjer, \nAppl. Phys. Lett. 99, 211909 (2011). \n23 Y. Zhou, Q. Bao, B. Varghese, L.A.L. Tang, C.K. Tan, C. -H. Sow, and K.P. Loh, Adv. Mater. \n22, 67 (2010). \n24 S. Datta, Electronic Transport in Mesoscopic Syst ems (Cambridge university press, 1997). \n25 F. Xia, V. Perebeinos, Y. Lin, Y. Wu, and P. Avouris, Nat. Nanotechnol. 6, 179 (2011). \n26 E. Cobas, A.L. Friedman, O.M.J. van’t Erve, J.T. Robinson, and B.T. Jonker, Nano Lett. 12, \n3000 (2012). \n27 G. Giovannetti, P.A. Khomyakov, G. Brocks, V.M. Karpan, J. Van den Brink, and P.J. Kelly, \nPhys. Rev. Lett. 101, 026803 (2008). 13 \n 28 A.N. Sidorov, K. Gaskill, M.B. Nardelli, J.L. Tedesco, R.L. Myers -Ward, C.R. Eddy Jr, T. \nJayasekera, K.W. Kim, R. Jayasingha, and A. Sherehiy, J. Appl. Phys. 111, 113706 (2012). \n29 X. Li, W. Cai, J. An, S. Kim, J. Nah, D. Yang, R. Piner, A. Velamakanni, I. Jung, and E. \nTutuc, Science 324, 1312 (2009). \n30 J.F. Moulder, W.F. Stickle, P.E. Sobol, and K.D. Bomben, Handbook of X -Ray Photoelectron \nSpectroscopy (Perkin Elmer Eden Prairie, MN, 1992). \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 14 \n \n \nFIG.1. (Color online) Process steps: (a) Fluorine assisted ICP -RIE to prepare Si shadow mask. A stack of photoresist \nand SiO2was used as etch mask . (b) 50 nm Ni deposited by e -beam evaporator. (c) Device isolation by femtosecond \nlaser. (d) In-situannealing and electrical measurements. The red box shows isolated devices. 15 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 12.00 nm\n 0.00 nm\n12 nma. b.\nd. c.\n179\nFIG.2.(Color online) InLense SEM image ofanisolated TLM structure .The\nwhite straight lineisthelaser ablation .Inset 3×3µmAFM height ofimage\nofNipadongraphene (rmsroughness onterrace= 0.24nm).(b)AFM height\nimage ofisolation between adjacent TLM structures .(c) Raman 2D\nintensity map oftheboxed area shown in(a).Color bar:arbitrary units .\n(d)AFM height image ofgraphene surface 5µmaway from theablated lines\nshown in(b).10 µm 2 µm \n2 µm 1 µm \n16 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 1.20 V\n 0.00 V\n0 10 200200400\n meV\ndistance (m)\n0 10 2002550\n height (nm)\ndistance (m)\n 100.00 nm\n 0.00 nm\n 1.20 V\n 0.00 V100 nm1.2 eVa. b.\nd.c.\n70\n5 µm\nFIG.3.(Color online) Optical DIC image ofarepresentative Hall structure fabricated byshadow\nmask .(b)Raman 2DFWHM map ofcenter portion ofanisolated vanderPauw cross structure as\nshown in(a).(c)AFM and(d)KPFM height images ofanisolated TLM pads.20 µm17 \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \nFIG.4.(Color online) RT I-Vcurves for\ndifferent TLM separations after in-situ anneal\nshowing Ohmic behavior .Inset shows isolation\ncurrent .(b)TLM results before (blue stars) and\nafter (redsquares) in-situannealing .2625 ±105 Ω·µm \n1200 ±107 Ω·µmsweep voltage (V)current (A)18 \n \n \n \n \n \n \n \nb. a.\nc.\nFIG.5. (Color online) Raman spectroscopy of graphene grown on SiC before and after Ni deposition and anneal. (b) XPS data of four \ndifferent samples as described in the text after Ni deposition and etch or Ni deposition, annealed and etched. (c) Raman spec troscopy of \nCVD grown samples before and after Ni deposition and anneal." }, { "title": "1105.4850v2.Low_Bias_Negative_Differential_Resistance_in_Graphene_Nanoribbon_Superlattices.pdf", "content": "Low Bias Negative Di\u000berential Resistance in Graphene Nanoribbon Superlattices\nGerson J. Ferreira,1;2Michael N. Leuenberger,2Daniel Loss,3J. Carlos Egues1;3\n1Departamento de F\u0013 \u0010sica e Inform\u0013 atica, Instituto de F\u0013 \u0010sica de S~ ao Carlos,\nUniversidade de S~ ao Paulo, 13560-970 S~ ao Carlos, S~ ao Paulo, Brazil\n2NanoScience Technology Center and Deptartment of Physics, University of Central Florida,\n12424 Research Parkway Suite 400, Orlando, Florida 32826, USA\n3Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel, Switzerland\n(Dated: November 9, 2018)\nWe theoretically investigate negative di\u000berential resistance (NDR) for ballistic transport in semi-\nconducting armchair graphene nanoribbon (aGNR) superlattices (5 to 20 barriers) at low bias volt-\nagesVSD<500 mV. We combine the graphene Dirac Hamiltonian with the Landauer-B uttiker\nformalism to calculate the current ISDthrough the system. We \fnd three distinct transport regimes\nin which NDR occurs: (i) a \\classical\" regime for wide layers, through which the transport across\nband gaps is strongly suppressed, leading to alternating regions of nearly unity and zero transmission\nprobabilities as a function of VSDdue to crossing of band gaps from di\u000berent layers; (ii) a quantum\nregime dominated by superlattice miniband conduction, with current suppression arising from the\nmisalignment of miniband states with increasing VSD; and (iii) a Wannier-Stark ladder regime with\ncurrent peaks occurring at the crossings of Wannier-Stark rungs from distinct ladders. We observe\nNDR at voltage biases as low as 10 mV with a high current density, making the aGNR superlattices\nattractive for device applications.\nPACS numbers: 72.80.Vp, 73.22.Pr, 73.21.Cd, 68.65.Cd\nI. INTRODUCTION\nGraphene1{3has attracted much attention due to the\npossibility of new devices that may surpass their semi-\nconductor counterparts in both speed and reduced power\nconsumption.4This is expected due to the unique prop-\nerties of graphene, e.g., the high mobility of carriers,\nwhich can lead to high current densities, and the tun-\nability of the bandgap. Additionally, building devices\non the surface could facilitate optical absorption and\nemission. Particularly, negative di\u000berential resistance\n(NDR) is essential for many applications.5{8In semi-\nconductor resonant tunneling diodes9{11and superlattice\nstructures,12,13NDR is based on Fabry-P\u0013 erot-type inter-\nferences arising from the impedance mismatch between\nthe various layers. These semiconductor NDR systems\ncan also show interesting phenomena, such as intrinsic\nbistability due to charge accumulation.14Pursuing the\nrecent interest in graphene superlattices transport and\nthermal properties,15{24it is a natural question to ask\nwhether a graphene superlattice could exhibit similar fea-\ntures.\nThe occurrence of Klein tunneling in graphene2should\nbe an obstacle to the NDR e\u000bect, as it gives a mono-\ntonically increasing contribution to the current. Narrow\ngraphene nanoribbons overcome this limitation as the\nlateral con\fnement quantizes the Dirac cone into few-\neV-wide bands. Tight-binding calculations show that it\nis possible to \fnd NDR in these narrow nanoribbons at\nhigh bias voltages, 1{2 V.29,30However, for integrated\ncircuits a low bias mV regime is desirable to reduce power\nconsumption.31Low bias NDR can also be achieved in\nother graphene and bilayer graphene systems.32{34\nIn this work we consider an N-barrier superlattice po-\n0\n1\n2\n3\n40'\n1'\n2'\n3'S\nBias voltage( )Energy gap\nintegration\ncoincidence0(a) (c)\n(d)\n0\n0' S0aGNR\n(b)\na bsource drain source\ndraindoped\nnon-doped\nVSD\neVSDD1'2'3'1234\nVSD\na bbandgaps\nvalleysbarriers\n0\nD0FIG. 1: (Color online) (a) Metal-aGNR junctions and the\nmodulated chemical shift \u0001 \"Fof the Dirac point across the\naGNR (Refs. 25{28). \u0001 0(shaded regions) denotes the bar-\nrier and valley bandgaps. Here we consider square potentials,\nsolid line. The dashed line shows the numerical results of\nRef. 27. (b) Additional electrodes modulate the Dirac cone\nshift into a superlattice potential. The bias voltage VSDis\nalso shown. (c) Doped layers of a semiconductor superlat-\ntice can also modulate the local potential. (d) Schematic of\nthe\"\u0000VSDdiagram of the source{drain transmission coe\u000e-\ncient showing crossings of the bandgaps \u0001 0(black lines). The\nshaded regions delimit the energy range between the source\n\u0016S= \u0001\"Fand drain\u0016D=\u0016S\u0000eVSDchemical potentials.\ntential on a semiconducting armchair graphene nanorib-\nbon (aGNR); Fig. 1. The electronic structure of the\naGNR is a quantized Dirac cone, due to the quantiza-arXiv:1105.4850v2 [cond-mat.mes-hall] 10 Nov 20112\ntion of the transversal momentum kn, and can be metal-\nlic,kn0= 0, or semiconducting, kn06= 0, depending\non the width Wof the nanoribbon; kn0is the closest\nto zero transverse momenta. We choose W= 346a0,\nsuch that the aGNR is semiconducting with a bandgap\n\u00010= 28 meV; a0= 0:142 nm is the C-C distance. We\nuse the transfer-matrix formalism to calculate the source-\ndrain transmission coe\u000ecient TSDacross the superlattice\npotential along the aGNR, considering a \fnite bias volt-\nageVSD, revealing the electronic structure of the system;\nFig. 2. The potential drop from source to drain follows a\npiecewise constant pro\fle layer by layer; Fig. 1(b). The\ncurrent is calculated within the usual Landauer-B uttiker\nformalism.\nWe \fnd low bias NDR at zero and room temperatures\nwithin three distinct physical regimes. (i) For wide lay-\ners, the transmission across the bandgaps \u0001 0is strongly\nsuppressed, and nearly unity for energies away from the\nbandgaps. With increasing voltage, both barrier and\nvalley bandgaps split and cross as shown schematically\nin Fig. 1(d), showing, at the coincidence region, a pat-\ntern of diamond-shaped structures with alternating re-\ngions of \fnite and suppressed transmission, thus leading\nto NDR. For narrow barriers resonant tunneling across\nlayers become relevant. (ii) At zero bias, hybridization\nof resonant modes leads to minibands with \fnite, nearly\nunity, transmission; Fig. 2(b)-2(e). At very low voltages\neVSD\u001810 meV (of the order of the miniband energy\nwidth) the resonant states misalign, thus breaking the\nminibands into o\u000b-resonance Wannier-Stark ladders with\nsuppressed transmission. This gives rise to a single cur-\nrent spike near eVSD\u001810 meV. (iii) With increasing\neVSD, rungs of ladders from distinct minibands cross and\nhybridize, showing a new set of resonant spikes in TSD,\nFig. 2(a), thus leading to current spikes and NDR.\nII. PROPOSED SYSTEM & MODEL\nThe modulation of the Dirac cone into a superlattice\npotential can be achieved by di\u000berent setups. It was\nshown that local charge-transfer e\u000bects between graphene\nand some metals (e.g., Al, Cu, Ag, Au, Pt) rigidly shifts\nthe Dirac cone;25{28Fig. 1(a). A series of metallic stripes\nover graphene can create the proposed superlattice po-\ntential; Fig. 1(b). Equivalently, the same structure can\nbe obtained by selectively doping graphene regions in an\nalternate fashion. Additionally, the aGNR could be ar-\nranged along the doped/non-doped layers of a cleaved\nsemiconductor heterostructure;35Fig. 1(c). Narrow sys-\ntems (.400 nm) are desirable to keep transport ballistic\nat room temperatures.\nWe consider low-energy excitations of graphene\nwithin the envelope function approximation,3,36i.e., the\ngraphene Dirac Hamiltonian. The \fnite size of the\nnanoribbon requires vanishing wave functions at the\nedges, where for aGNR both AandBsublattices of\nthe honeycomb lattice are present. This leads to van-\n(c) 2 barriers\nD0\n0 0.5 1B*\nTransmission TSD(d) 5 barriers\n0 0.5 1A\nB\nCEnergy (meV)(e) 20 barriers\n0 0.5 1160180200220240260280300(a)\n0 25 50 75 100\nBias Voltage (mV)190210230250270Energy (meV)\n01\nTSDA\nB\nC(b)\nD0b a b\nB*FIG. 2: (Color online) (a) Energy-voltage diagram of TSDfor\nN= 5 barriers showing the evolution of the N\u00001 hybridized\nmodes [panels (b){(d)] into Wannier-Stark ladders. Labels A,\nB, and C show the zero-bias hybridized modes in panels (a)\nand (d). Crossings of ladders' rungs from distinct minibands\nincreasesTSDnearVSD= 30 and 50 mV. (b) Schematic of the\nmodulated Dirac point (dashed line), bandgaps \u0001 0\u001828 meV\n(gray area), and con\fned mode B0. In the transmission coe\u000e-\ncientTSDacross two barriers ( a=b= 50 nm) (c), the con\fned\nmodeB0shows up as a resonant spike near 230 meV. For (d)\nN= 5, and (e) N= 20 barriers the con\fned modes hybridize\nintoN\u00001 spikes, building up a miniband. Similar resonances\nlead to minibands at energies away from the bandgap region\n\u00010.\nishing boundary conditions for the envelope functions at\nthese edges.3The validity of these boundary conditions\nis discussed in Ref. 37. Within this description, the elec-\ntronic structure of an aGNR is a quantized Dirac cone,\n\"=s~vfp\nk2x+k2n. Heres=\u00061 for the conduction\nand valence bands, vf\u00191015nm/s is the Fermi veloc-\nity,kxis the momentum in the longitudinal direction ^ x,\nkn=n\u0019=W\u00004\u0019=3a0is the quantized transverse mo-\nmentum with integer n, andW= 346a0\u001850 nm. The\nfundamental gap is given by \u0001 0= 2~vfjkn0j= 28 meV,\nwithkn0\u0018\u00000:021 nm\u00001.\nTo calculate the transmission TSD\u0011TSD(\";kn;VSD)\nwe use the transfer-matrix formalism,38which relates the\ncoe\u000ecients of the incoming and outgoing plane waves at\nthe source and drain leads across the superlattice lay-\ners (see the Appendix for details). We consider a piece-\nwise constant superlattice potential along the xdirection,\nFigs. 1(b), through which the electronic structure of each\nlayer is shifted by the local potential. In Figs. 2{4 we\nshowTSDonly forkn0, as it contains the major contri-\nbution for the current in all investigated cases.\nThe current density of Dirac electrons in graphene is\ngiven by~ |(r) = 4evf y(r)~ \u001b (r), where the factor of 4\naccounts for the valley and spin degeneracies, (r) is the\nenvelope function spinor for the KorK0valley, and ~ \u001b=\n(\u001bx;\u001by) are the Pauli matrices. Within the Landauer-\nB uttiker formalism,38,39the current reads\nISD=e\nhX\nnZ1\n\u00001TSD(\";kn;VSD) [fS(\")\u0000fD(\")]d\";(1)\nwherefS(\") =f1 + exp[(\"\u0000\u0016S)=kBT]g\u00001andfD(\") =\nfS(\"+VSD) are the Fermi-Dirac distributions at the3\nsource and drain, and \u0016Sis the source chemical potential.\nWe truncate the sum over nto a fewknnearkn0.\nIII. RESULTS\nIn Fig. 2(b) we consider a narrow graphene well with\na= 50 nm and b!1 . The solution of the graphene\nDirac equation within the bandgap \u0001 0region shows a\ncon\fned state.40This state corresponds to the resonant\nspike within the \u0001 0region in Fig. 2(b) for two barriers.\nForNbarriers the con\fned states hybridizes into N\u00001\nstates, leading to minibands for large N; Figs. 2(c) and\n2(d). The minibands away from the \u0001 0region occur due\nto re\rections at each interface. For \fnite bias the mini-\nbands break into single resonant levels, Wannier-Stark\nladders, as the con\fned modes from each layer misalign;\nFig. 2(e). At the crossings of Wannier-Stark ladders from\ndistinct minibands the transmission increases due to res-\nonant tunneling.\nNDR regimes\nTo contrast distinct NDR regimes in our system, we\ndiscuss the current-voltage characteristics I-VSDand the\nenergy-voltage TSDdiagram for the following three cases.\nWe compare \fve-barrier superlattices with (i) wide layers\n[Figs. 3(a) and 3(b)] and (ii) narrow layers [Figs. 3(c) and\n3(d)]. We then discuss (iii) a 20-barrier superlattice with\nnarrow layers; Fig. 4. The dashed lines in the TSDdi-\nagrams delimit the zero-temperature window of integra-\ntion forISD, de\fned between the source \u0016S= 230 meV\nand drain\u0016D=\u0016S\u0000VSDchemical potentials.\n1. \\Classical\" regime\nFor wide layers, a=b= 100 nm, tunneling\nacross bandgaps is strongly suppressed and the TSDdi-\nagram, Fig. 3(b), follows closely the diamond pattern in\nFig. 1(d). For eVSD.\u0001\"F= 230 meV the current in-\ncreases monotonically as the barriers bandgaps misalign.\nAt the coincidence region, eVSD&\u0001\"F= 230 meV,\ncrossings of barrier and valley bandgaps lead to the dia-\nmond pattern of \fnite and suppressed TSD. This alter-\nnation leads to the NDR near VSD= 350 and 450 mV,\nin Fig. 3(a). The intensity of the NDR in this regime\nincreases with the layers width, as the tunneling across\nbandgaps becomes more suppressed. The dashed curve\nin Fig. 3(a) is calculated with the limiting case where\ntunneling is completely suppressed across bandgaps, i.e.,\nTclassical\nSD = 0 across a bandgap, and 1 otherwise. Note\nthe similarity of the dashed classical line with the exact\nISDcalculations in Fig. 3(a).\nFor narrow layers, a=b= 50 nm in Figs. 3(c)\nand 3(d), the NDR due to classical regime is absent\n(b)\n0 200 400 600\nBias Voltage (mV)-300-200-1000100200300Energy (meV)(b)\nCurrent (�A)(a)\n5 barriers\na=b=100 nm300 K\n0 K\nclassical\n0246810121416 (c)\n0 200 400 600\nBias Voltage (mV)01Transmission TSD(c)\n(d)5 barriers\na=b=50 nm300 K\n0 K\nclassicalFIG. 3: (Color online) Current and energy-voltage diagram of\nthe transmission coe\u000ecient for \fve-barrier superlattice with\na=b= 100 nm [(a) and (b)] and a=b= 50 nm [(c)\nand (d)]. The current-voltage characteristics are shown for\nT= 300 K and 0 K. For wide barriers (a) and (b) the current\nfollows closely the limiting \\classical\" case of TSDeither 0\nacross bandgaps, or 1 otherwise (dashed line).\nas it requires strong tunneling suppression. Interest-\ningly, however, the TSDdiagram of a few narrow lay-\ners clearly shows the evolution of the zero-bias mini-\nbands into Wannier-Stark ladders with increasing VSD;\nFig. 2(e). The Wannier-Stark ladders remain as individ-\nual transmission spikes while there is an overlap of bar-\nriers (or valley) bandgaps. For eVSD>(N\u00001=2)\u0001 0this\ncondition is violated, and the tunneling across individ-\nual bandgaps dominate. At the crossings of barrier and\nvalley bandgaps, resonant e\u000bects are still visible in the\nTSDdiagram as stripes, corresponding to con\fned states\nbetween the overlapping band gaps; see Fig. 3(d) near\n\"=\u000050 meV and VSD= 400 mV.\n2. Miniband regime\nConsidering a larger number of barriers, N= 20 in\nFig. 4, the aligned resonant modes hybridize into super-\nlattice minibands; Fig. 2. If \u0016Sis located within the\nminiband, at low biases the current is dominated by the\ntransmission across these resonant modes. As the bias\nincreases, the modes misalign breaking up the miniband\ninto Wannier-Stark ladders. For \fve barriers, Fig. 2(a),\nthe rungs of the ladders shows nonresonant transmis-\nsion peaks, and enhanced resonant transmission at cross-\nings of the rungs (see Wannier-Stark ladder regime be-\nlow). For 20 barriers, transmission through nonresonant\nrungs is strongly suppressed due to the larger number of\nbandgaps. At very low voltages, Fig. 4, the current ini-\ntially increases with VSDas the transport occurs through\nthe miniband. Near eVSD\u001810 meV (of the order of the4\nminiband width) the miniband breaks up into the non-\nresonant rungs suppressing the current, thus resulting in\na pronounced current peak.\n(b)\n0 100 200 300 400 500 600\nBias Voltage (mV)-300-200-1000100200300Energy (meV)\n01Transmission TSD(b)\nCurrent (�A)(a)\n0123456\nx6\nx10(a)\n20 barriers\na=b=50 nm300 K\n0 K\nFIG. 4: (Color online) (a) Current-voltage characteristics\nand (b)TSDdiagram of a 20-barrier aGNR superlattice with\na=b= 50 nm. In (a) the currents for 0 and 300 K in the\nrange 0 \u0014VSD\u0014125 mV are multiplied by 6 and 10, re-\nspectively, for clarity. As the voltage increases the miniband\nnear 230 meV, Fig. 2(e), breaks up as the resonant levels mis-\nalign, leading to the pronounced spike near 10 mV for 0 K.\nNear 50 mV the resonant levels return as resonant crossings\nof Wannier-Stark ladder rungs [see also Fig. 2(a)]. At the\ncrossingsTSDincreases, showing current spikes at both 0 and\n300 K forVSD<230 mV. For VSD>230 mV the current\nspikes arise from crossings of rungs at the coincidence region.\n3. Wannier-Stark ladder regime\nWith increasing bias, rungs from Wannier-Stark lad-\nders of distinct minibands cross, Fig. 2(a), creating\nnew resonances through the superlattice layers. For 20\nbarriers, where transmission from non-resonant rungs\nis strongly suppressed, the crossings show sharp TSD\nstripes, e.g., at VSD= 75, 110, 150, and 210 mV;\nFig. 4(b). Each of these stripes, and others with lower\ncontrast at smaller voltages, leads to current spikes in\nFig. 4(a). The spikes broaden with increasing bias as\nthe band gaps misalign. For eVSD>\u0001\"F= 230 meV,\nthe crossings of broadened Wannier-Stark ladders from\nminibands near the barrier and valley bandgaps show\ndiamond-shaped structures in the TSDdiagram, thus\nleading to a series of NDR spikes similar to the classi-\ncal regime.IV. CONCLUSIONS\nWe have found that three distinct regimes can lead to\nNDR in semiconducting aGNR superlattices. (i) In the\nclassical regime the NDR occurs as the bandgaps of dif-\nferent layers cross with increasing VSD. (ii) For narrow\nlayers and very low biases, eVSD\u001810 meV, the trans-\nport is dominated by the resonant tunneling through the\nminiband, and the NDR occurs as the miniband breaks\ninto Wannier-Stark ladders with increasing bias. (iii) For\nhigher bias rungs of distinct ladders cross originating new\nresonances and current peaks. Interestingly, due to the\nhigh mobility of the carriers, we obtain low bias NDR\npeaks with high current densities.\nFinal remarks\nThe predicted NDR e\u000bects reported here are strictly\nvalid for ballistic electronic transport through ideal\naGNR superlattices. For relatively clean systems, how-\never, we expect detrimental e\u000bects such as those induced\nby disorder, impurities and structural defects23,24,41,42to\nbroaden the resonances in the I-Vcurves, thus possibly\nreducing the peak-to-valley current ratios. Interestingly,\na recent calculation for the electronic transport through\na single-barrier de\fned on a zigzag-terminated graphene\nnanoribbon shows evidence for a transport gap despite\nthe gapless spectrum of the edge states of the system.43\nTherefore, we expect that a superlattice de\fned on a\nzigzag graphene nanoribbon should exhibit transport fea-\ntures similar to those of the armchair case investigated\nhere. The e\u000bects of edge irregularities, strong disorder,\nand interactions (even at the Hartree level) lie beyond\nthe scope of the present work and deserve further study.\nAcknowledgments\nWe thank Bj orn Trauzettel, Saiful Khondaker,\nVolodymyr Turkowski, and Stephano Chesi for useful\ndiscussions. The authors acknowledge support from\nFAPESP, CNPq, Swiss NSF, and NCCR Nanoscience.\nM.N.L. acknowledges support from NSF (Grant No.\nECCS-0725514), DARPA/MTO (Grant No. HR0011-08-\n1-0059), NSF (Grant No. ECCS-0901784), and AFOSR\n(Grant No. FA9550-09-1-0450).\nAppendix A: Transfer Matrix\nIn this Appendix we detail the calculation of the trans-\nmission coe\u000ecient TSDthrough the nanoribbon super-\nlattice via the transfer-matrix approach. We describe\nthe potential across the system as piecewise constant;\nFig. 1(b). In each layer the potential is a constant\nVj=VSL\nj\u0000eVSDxj=L. The superlattice potential VSL\nj\nis 0 for valleys, and Vb= 230 mV for barriers (typical5\nvalue obtained from Refs. 25{28). The second term is\nthe potential energy drop across the jthlayers due to the\nelectric \feld, where xjis the coordinate of the center of\nthe layerj, andLis the distance between the source and\ndrain.\nThe solution of the Dirac equation in each layer j(j=\nS andDfor the source and drain, and an integer for the\nintermediate layers) is given by the plane-wave spinors2,3\n j;n(x;y) =eikny'j(x). For convenience we write the x\ncomponent in a matrix form 'j(x) =Mj(x)\u001ej, where\nthe components of the spinor \u001eT\nj= (\u000bj\fj)Tdenote the\ncoe\u000ecients of the outgoing and incoming plane waves.\nThe matrix Mj(x) is\nMj(x) = \neik(j)\nxxe\u0000ik(j)\nxx\nsjeik(j)\nxx+i\u0012j;n\u0000sje\u0000ik(j)\nxx\u0000i\u0012j;n!\n:(A1)\nThe eigenenergies in each layer are \"j;n=Vj+\nsj~vfq\n(k(j)\nx)2+k2n, withsj= +1 for the conduction\nband andsj=\u00001 for the valence band, k(j)\nxis the\nlongitudinal momentum in layer j,knis the quantized\ntransversal momentum (conserved through the system),\nand\u0012j;n= tan\u00001(kn=k(j)\nx).\nThe continuity of the spinors at the interfaces yields\n'j(xj;j+1) ='j+1(xj;j+1), wherexj;j+1is the position\nof the interface between the layers jandj+ 1. Applying\nthis matching throughout the system, we obtain a 2 \u00022\nmatrix equation connecting the coe\u000ecients from source\nand drain\u001eS=TM\u001eD, whereTMis the transfer matrixgiven by\nTM=Y\njM\u00001\nj(xj;j+1)Mj+1(xj;j+1): (A2)\nThe de\fnition of the re\rected and transmitted waves\ndepends on the sign of the electron energy at source sS\nand drainsD, such that the source and drain coe\u000ecients\nare given by\n\u001eT\nS=\u001a\n(1r);ifsS= +1;\n(r1);ifsS=\u00001;(A3)\n\u001eT\nD=\u001a\n(t0);ifsD= +1;\n(0t);ifsD=\u00001:(A4)\nFrom the graphene Dirac Hamiltonian, the current\ndensity reads J(j)\nx= 4evf'y\nj(x)\u001bx'j(x). At the station-\nary regime the current \row at source and drain is the\nsame, requiring the match JS\nx=JD\nx, from which we iden-\ntify the transmission coe\u000ecient TSD,\nTSD(\";kn;VSD) =jtj2cos\u0012D\ncos\u0012S: (A5)\nThis transmission coe\u000ecient as a function of the energy\nreveals the electronic structure of the system, in which\nthe con\fned modes in between the layers show up as\nresonant spikes and minibands; Fig. 2.\n1K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang,\nM. I. Katsnelson, I. V. Grigorieva, S. V. Dubonos, and\nA. A. Firsov, Nature (London) 438, 197 (2005).\n2M. I. Katsnelson, K. S. Novoselov, and A. K. Gaim, Nat.\nPhys. 2, 620 (2006).\n3A. H. C. Neto, F. Guinea, N. M. R. Peres, K. S. Novoselov,\nand A. K. Gaim, Rev. Mod. Phys. 81, 109 (2009).\n4P. Avouris, Nano Lett. 10, 4285 (2010).\n5P. Y. Yu and M. Cardona, Fundamentals of Semiconduc-\ntors (Springer, Berlin, 2005).\n6A. Mortazawi, V. Kesan, D. Neikirk, and T. Itoh, in Mi-\ncrowave Conference, 1989. 19th European (1989), pp. 715{\n718.\n7T. C. L. G. Sollner, E. R. Brown, W. D. Goodhue, and\nC. A. Correa, J. Appl. Phys. 64, 4248 (1988).\n8S. M. Sze and K. K. Ng, Physics of Semiconductor Devices\n(Wiley-Interscience, New York, 2007).\n9R. Tsu, Appl. Phys. Lett. 22, 562 (1973).\n10T. C. L. G. Sollner, Appl. Phys. Lett. 43, 588 (1983).\n11L. V. Iogansen, Sov. Phys. JETP 18, 146 (1964).\n12L. Esaki and R. Tsu, IBM J. Res. Develop. 14, 61 (1970).\n13R. Tsu, Superlattice to Nanoelectronics (Elsevier, Amster-\ndam, 2005).\n14V. J. Goldman, D. C. Tsui, and J. E. Cunningham, Phys.\nRev. Lett. 58, 1256 (1987).15C. Bai and X. Zhang, Physical Review B 76, 075430\n(2007).\n16L. Brey and H. A. Fertig, Physical Review Letters 103,\n46809 (2009).\n17C. H. Park, Y. W. Son, L. Yang, M. L. Cohen, and S. G.\nLouie, Physical Review Letters 103, 46808 (2009).\n18M. Barbier, P. Vasilopoulos, and F. M. Peeters, Physical\nReview B 81, 075438 (2010).\n19V. M. Stojanovi\u0013 c, N. Vukmirovi\u0013 c, and C. Bruder, Physical\nReview B 82, 165410 (2010).\n20P. Burset, A. L. Yeyati, L. Brey, and H. A. Fertig, Physical\nReview B 83, 195434 (2011).\n21X. Guo, D. Liu, and Y. Li, Applied Physics Letters 98,\n242101 (2011).\n22J. Jiang, J. Wang, and B. Wang, Applied Physics Letters\n99, 043109 (2011).\n23N. Abedpour, A. Esmailpour, R. Asgari, and M. R. Tabar,\nPhysical Review B 79, 165412 (2009).\n24H. Cheraghchi, A. H. Irani, S. M. Fazeli, and R. Asgari,\nPhysical Review B 83, 235430 (2011).\n25G. Giovannetti, P. A. Khomyakov, G. Brocks, V. M.\nKarpan, J. van den Brink, and P. J. Kelly, Phys. Rev.\nLett. 101, 026803 (2008).\n26M. Vanin, J. J. Mortensen, A. K. Kelkkanen, J. M. Garcia-\nLastra, K. S. Thygesen, and K. W. Jacobsen, Phys. Rev.6\nB81, 081408(R) (2010).\n27S. Barraza-Lopez, M. Vanevi\u0013 c, M. Kindermann, and M. Y.\nChou, Phys. Rev. Lett. 104, 076807 (2010).\n28A. Varykhalov, M. R. Scholz, T. K. Kim, and O. Rader,\nPhys. Rev. B 82, 121101 (2010).\n29Z. F. Wang, Q. Li, Q. W. Shi, X. Wang, J. Yang, J. G.\nHou, and J. Chen, Appl. Phys. Lett. 92, 133114 (2008).\n30V. N. Do and P. Dollfus, J. Appl. Phys. 107, 063705\n(2010).\n31A recent work (Ref. 44) has claimed that low-bias NDR\ncan be achieved with a single barrier in a in\fnite graphene\nsheet. This, however, has been disputed in Refs. 45,46.\n32H. Ren, Q.-X. li, Y. Luo, and J. Yang, Appl. Phys. Lett.\n94, 173110 (2009).\n33K. Habib, F. Zahid, and R. Lake, Applied Physics Letters\n98, 192112 (2011).\n34H. Fang, R. Wang, S. Chen, M. Yan, X. Song, and\nB. Wang, Applied Physics Letters 98, 082108 (2011).\n35R. Krahne, A. Yacoby, H. Shtrikman, I. Bar-Joseph, T. Da-\ndosh, and J. Sperling, Appl. Phys. Lett. 81, 730 (2002).36P. R. Wallace, Phys. Rev. 71, 622 (1947).\n37L. Brey and H. A. Fertig, Phys. Rev. B 73, 235411 (2006).\n38S. Datta, Electronic Transport in Mesoscopic Systems\n(Cambridge University Press, Cambridge, England, 1997).\n39Y. M. Blanter and M. Buttiker, Phys. Rep. 336, 1 (2000).\n40B. Trauzettel, D. V. Bulaev, D. Loss, and G. Burkard, Nat.\nPhys. 3, 192 (2007).\n41M. Han, J. Brant, and P. Kim, Physical review letters 104,\n56801 (2010).\n42K. Saloriutta, Y. Hancock, A. K arkk ainen, L. K arkk ainen,\nM. J. Puska, and A. P. Jauho, Physical Review B 83,\n205125 (2011).\n43J. Nakabayashi, D. Yamamoto, and S. Kurihara, Physical\nReview Letters 102, 66803 (2009).\n44D. Dragoman and M. Dragoman, Appl. Phys. Lett. 90,\n143111 (2007).\n45V. N. Do, Appl. Phys. Lett. 92, 216101 (2008).\n46V. N. Do, V. H. Nguyen, P. Dollfus, and A. Bournel, J.\nAppl. Phys. 104, 063708 (2008)." }, { "title": "2111.13836v1.Antiferromagnetism_and_large_magnetoresistance_in_GdBi_single_crystal.pdf", "content": "Antiferromagnetism and large magnetoresistance in GdBi single crystal\nGourav Dwari, Souvik Sasmal, Bishal Maity, Vikas Saini, Ruta Kulkarni, Arumugam Thamizhavel\nDepartment of Condensed Matter Physics and Materials Science,\nTata Institute of Fundamental Research, Homi Bhabha Road, Colaba, Mumbai 400 005, India\n(Dated: November 30, 2021)\nSingle crystal of the binary equi-atomic compound GdBi crystallizing in the rock salt type cubic\ncrystal structure with the space group Fm\u00163mhas been grown by \rux method. The electrical and\nmagnetic measurements have been performed on well oriented single crystals. The antiferromag-\nnetic ordering of the Gd moments is con\frmed at TN= 27:5 K. The magnetization measurement\nperformed at 2 K along the principal crystallographic direction [100] did not show any metamagnetic\ntransition and no sign of saturation up to 7 T. Zero \feld electrical resistivity reveals a sharp drop at\n27:5 K suggesting a reduction in the spin disorder scattering due to the antiferromagnetic alignment\nof the Gd moments. The residual resistivity at 2 K is 390 n\ncm suggesting a good quality of the\ngrown crystal. The magneto resistance attains a value of 1 :0\u0002104% with no sign of saturation, in\na \feld of 14 T, at T= 2 K. Shubnikov de Hass (SdH) oscillations have been observed in the high\n\feld range of the magnetoresistance with \fve di\u000berent frequencies corresponding to the extremal\nareas of the Fermi surface. Analysis of the Hall data revealed a near compensation of the charge\ncarriers accounting for the extremely large magnetoresistance.\nI. INTRODUCTION\nThe recent focus in condensed matter physics is on the\nobservation of a new state of topological quantum mat-\nter in novel materials that have interesting band struc-\ntures [1{3]. Dirac and Weyl semimetals that have gained\nimportance these days fall in this category. The Dirac\npoint has a linear dispersion and can also be considered\nas a pair of Weyl points in k-space that are protected\nby both crystalline inversion Iand time reversal Tsym-\nmetries. When either of the symmetries is broken the\nDirac semimetal evolves into a Weyl semimetal [4, 5].\nThe prototypic Dirac semimetals Cd 3As2and Na 3Bi have\nbeen theoretically predicted as Dirac semimetals and\nlater realized in experiments [6{9]. One of the interest-\ning features of these Dirac semimetals is that they ex-\nhibit extremely large magnetoresistance, ultra-high mo-\nbility and depict chiral anomaly, quantum Hall e\u000bect\netc., due to the symmetry protected band crossings. The\nextremely large magnetoresistance (XMR) has been ob-\nserved in several binary intermetallic compounds like\nWTe 2, MoSi 2, WSi 2, NbP, MoP 2, WP 2etc. Ultra-high\nmobility (\u0019104cm2/V s) and electron-hole resonance\nwith relatively lesser carrier concentration are the rea-\nsons for the XMR in these compounds [10{14]. Al-\nthough, such XMR is observed in non-magnetic inter-\nmetallic compounds, the natural extension of these stud-\nies is to combine the topological aspects with the strong\nelectronic correlations. The strong electronic correla-\ntion is observed in felectron systems and the rare-earth\nmonopnictides RX, whereRis a rare-earth element and\nXis Sb or Bi, crystallizing in the simple rock-salt type\ncubic crystal structure was the default choice. To start\nwith, the non-magnetic LaBi and LaSb have been studied\nand both the compounds were showing XMR of the order\nof 105% [15, 16]. The \feld dependence of the electrical\nresistivity of these two compounds showed an upturn and\nplateau region which were observed in several semimetal-lic compounds and hence led to the construction of a uni-\nversal triangular phase diagram [12, 15]. Several of the\nRBi compounds like PrBi, SmBi, ErBi, HoBi etc., have\nbeen reported recently also exhibit large MR [17{20]. It\nis interesting to note that in spite of the increasing f\nelectron count the XMR is still observed which reveals\nthat the band structure may remain unchanged due to\nthe highly localized nature of the felectrons. PrBi does\nnot show any magnetic ordering due to the singlet ground\nstate of the crystal electric \feld split (2 J+ 1) = 9-fold\ndegenerate of Pr3+ion [17]. The higher rare-earths HoBi\nand ErBi show magnetic ordering at 5.9 K and 3.6 K,\nrespectively [19, 20]. Furthermore, Li et al [21] predicted\nGdBi as a compensated semimetal with non-trivial band\ntopology in its antiferromagnetic state.\nIn this work, we present a systematic investigation\non the transport and magnetic properties of GdBi sin-\ngle crystal. The magnetic measurement depict a long\nrange antiferromagnetic ordering of Gd3+moments at\nTN= 27:5 K. The magnetic ordering is further con\frmed\nfrom the electrical resistivity and speci\fc heat studies.\nThe electrical resistivity displayed a typical semimetallic\ncharacter in zero \feld measurements. With the applica-\ntion of magnetic \feld the resistivity revealed an upturn\nat low temperature similar to a metal-insulator-like tran-\nsition. At su\u000eciently high \felds in the range 10 \u000014 T,\nthe quantum oscillations are observed in magnetoresis-\ntance. Five di\u000berent frequencies have been observed in\nShubnikov-de Haas (SdH) oscillations.\nII. EXPERIMENTAL METHODS\nAlthough, GdBi melts congruently at 1770\u000eC [22],\nthe high melting temperature precludes its growth by\nCzochralski method due to the high vapour pressure of\nBi at such high temperature. Hence, the single crystal of\nGdBi has been grown by self \rux method using moltenarXiv:2111.13836v1 [cond-mat.str-el] 27 Nov 20212\nBi as \rux. High purity Gd ingots (99.9%, Alfa Aesar)\nand Bi lumps (99.998%, Alpha Aesar) were packed into\na baked round bottomed alumina crucible in the molar\nratio of Gd:Bi = 20:80 and sealed under vacuum in a\nquartz tube. The quartz tube was subsequently placed\nin a box-type resistive heating furnace and the sample\nwas heated to 1100\u000eC with a heating rate of 50\u000eC/h\nand held at this temperature for about 12 h for homoge-\nnization. Then the furnace was cooled down to 920\u000eC at\na rate of 1\u000eC/h followed by 3 days of annealing. We cen-\ntrifuged the excess Bi-\rux at 920\u000eC in order to avoid the\nformation of GdBi 2which crystallizes below 910\u000eC. Cu-\nbic single crystals of GdBi with typical dimensions of \u0019\n2\u00022\u00022 mm3were obtained. The compositional anal-\nysis of the grown crystals was performed using Energy\nDispersive X-ray Spectroscopy (EDX). The phase pu-\nrity of the crystals were con\frmed from x-ray di\u000braction\n(XRD) performed in a PANalytical x-ray di\u000bractome-\nter equipped with a monochromatic Cu- K\u000bx-ray source\n(\u0015= 1:5406 \u0017A) and the crystals were oriented along the\nprincipal crystallographic direction using Laue di\u000brac-\ntion using a polychromatic x-ray source. The crystals\nwere cut into desired shapes using a spark erosion electric\ndischarge machine (EDM). Magnetic measurements were\nperformed in a SQUID magnetometer (MPMS, Quantum\nDesign, USA) and the electrical and heat capacity mea-\nsurements were performed in a physical property mea-\nsurement system (PPMS, Quantum Design, USA).\nIII. RESULTS AND DISCUSSION\nA. X-ray di\u000braction\nThe crystal structure of GdBi is shown in Fig. 1(a). A\nsmall piece of the as grown crystal was subjected to XRD\nat 300 K with 2 \u0012scan ranging from 10 to 90\u000e, peaks cor-\nresponding to ( h00)-planes are observed at Bragg angles\n28.2\u000eand 58.44\u000ethus con\frming the \rat plane of the\ncrystal to be (100)-plane. According to the previous re-\nport, GdBi crystallizes in NaCl type structure with space\ngroup Fm-3m (No. 225) [23]. The Laue pattern corre-\nsponding to (100) and (111) planes are shown Fig. 1(c)\nand (d). Well de\fned circular spots together with the\nfour fold symmetry in (100)-plane con\frmed the good\nquality of single crystal. The composition of grown crys-\ntal was con\frmed from EDX measurement.\nB. Magnetic properties\nThe temperature dependence of magnetic susceptibil-\nity\u001f(T) measured in applied magnetic \feld ( B) of 0.1 T,\nparallel to [100] direction in the Trange 2\u0000300 K\nis shown in Fig. 2(a). At T= 27:5 K a sharp drop\nin the\u001fcon\frms the antiferromagnetic ordering. At\nhigh temperature \u001fshows a clear Curie-Weiss behaviour\nand the\u001f(T) data follows the Curie-Weiss law: \u001f(T) =\nIntensity (a.u.)80 60 40 20\n(200)\n(400)\nabc\nc\nab2θ (deg.)(a) (b)\n(c)(d)GdBiFIG. 1. (a) Crystal structure of GdBi. (b) Room tempera-\nture XRD pattern of a single crystal of GdBi, (inset) as grown\nsingle crystal of GdBi. Laue pattern of GdBi for (c) (100) (or\nequivalent) plane and (d) (111) (or equivalent) plane.\nC=(T\u0000\u0012p), whereCis the Curie constant and \u0012pis\nthe paramagnetic Weiss temperature. The e\u000bective mag-\nnetic moment \u0016e\u000bof Gd3+ions can simply be obtained\nby the relation \u0016e\u000b=p\n8C. The inverse \u001f(T) plot is\nshown in the inset of Fig. 2(a). The solid line shows the\n\ft to the Curie-Weiss law. From the \ftting we obtained\n\u0012p=\u000048:6 K and the Curie constant C= 8:02 emu/mol.\nThe estimated \u0016e\u000bfrom the \ftting is 8 :1\u0016B/Gd which\nis nearly equal to the theoretical value of 7 :9\u0016Bof a free\nGd3+ion. The negative value of \u0012pcon\frms the antifer-\nromagnetic correlations.\nThe isothermal magnetization ( M) measured at vari-\nous \fxed temperatures is shown in Fig. 2(b). The M(B)\ncurves for T < T Nshows a small change of slope at\naround 1 T signalling a subtle spin re-orientation followed\nby steady increase without any sign of saturation up to a\nmagnetic \feld of 7 T. An estimation of the critical \feld\nat which the magnetization attains the saturation value\nfor an antiferromagnet at T= 0 K can be estimated us-\ning the mean \feld model. The expression for the critical\n\feldHcis given by [24]:\nHc=Ms\n\u001f(TN); (1)\nwhereMs= 7\u0016B/Gd, the saturation magnetization of\nGd3+ion and\u001f(T=TN) is obtained from Fig. 2(a).\nSubstituting the value of \u001f(TN) = 0:09454 emu/mol =\n1:6927\u000210\u00005\u0016BOe\u00001Gd\u00001, the critical \feld Hcis\nestimated as 41 T. This estimated value of Hcis in good\nagreement with the high \feld magnetization data, where\ntheMsatis attained at a critical \feld of Hc= 42 T [25].3\n/s32/s33/s32/s34\n/s32/s33/s32/s35\n/s32/s36/s37/s38/s39/s40/s41/s42/s40/s43/s44/s45\n/s46/s32/s32 /s47/s32/s32 /s48/s32/s32 /s32\n/s49/s39/s40/s50/s39/s51/s52/s53/s41/s51/s39/s37/s38/s54/s45/s37/s32/s33/s48/s37/s49\n/s55/s56/s57/s58/s35/s32\n/s47/s32/s36/s59/s48/s38/s40/s43/s44/s42/s39/s40/s41/s45\n/s46/s32/s32 /s48/s60/s32/s32 /s54/s37/s32/s33/s48/s37/s49\n/s37/s61/s58/s53\n/s48/s33/s32\n/s32/s33/s60\n/s32/s62/s52/s63/s64/s39/s53/s58/s65/s52/s53/s58/s43/s64/s37/s38/s66/s57/s42/s55/s56/s45\n/s67 /s35 /s47 /s32\n/s62/s52/s63/s64/s39/s53/s58/s68/s37/s69/s58/s39/s44/s56/s37/s38/s49/s45/s55/s56/s57/s58/s37/s47\n/s37/s60\n/s37/s48/s32\n/s37/s37/s48/s60\n/s37/s47/s32\n/s37/s46/s32\n/s37/s60/s32/s37/s54/s38/s52/s45\n/s37/s38/s70/s45\nFIG. 2. (a) Magnetic susceptibility ( \u001f) as function of Temper-\nature in 0:1 T magnetic \feld, (inset) \u001f\u00001\ftted in Curie-Weiss\nlaw in paramagnetic region. (b) Field dependence of Magne-\ntization at di\u000berent temperature for \feld parallel to [100] (or\nequivalent) direction.\nC. Electrical Resistivity and Magnetoresistance\nThe temperature dependence of electrical resistivity\n\u001axx(T) measured in zero \feld is shown in the main panel\nof Fig. 3(a). In the absence of magnetic \feld the \u001axx(T)\ndecreases linearly as the temperature is decreased and at\nTN= 27:5 K a sharp drop in \u001axx(T) is observed due to\nthe reduction in the spin disorder scattering. Below TN,\nthe resistivity drops rapidly and attains a value of about\n390 n\ncm at 2 K. The residual resistivity ratio (RRR) of\nthe sample is estimated as 82 suggesting a high quality of\ncrystal. The zero \feld \u001axx(T) data, below TNwas \ftted\nto the power law \u001axx(T) =\u001a0+aTnas shown in the inset\nof Fig. 3(a). The best \ft to the data was obtained for\nn= 1:5, typically for most of the rare-earth compounds\nthe resistivity show a power law behavior, due to the\ne\u0000escattering, however in the present case the low T\nresistivity data shows a T1:5behaviour. According to the\nspin \ructuation theory by Moriya et al. [26], the T1:5de-\npendence is observed in antiferromagnetic materials near\na quantum critical point [26, 27]. The T1:5behaviour\nin a localized felectron system GdBi warrants further\ninvestigation.\nThe temperature dependence of \u001a(T) at various ap-\nplied magnetic \felds is also shown in Fig. 3(a). The\noverall behaviour of \u001axx(T) remains the same in the para-\nmagnetic state in applied magnetic \felds. The antifer-\nromagnetic transition at TN= 27:5 K remains robustfor \felds as high as 14 T without any shift which is\nsubstantiated with the magnetic susceptibility and heat\ncapacity data as well. However, \u001axx(T) shows an up-\nturn well below TNfor \felds greater than 1 T. The up-\nturn increases more rapidly with higher magnetic \felds\nand resembles a metal-to-insulator like transition (MIT).\nSimilar behaviour has been observed in other RBi (R\n= Pr, Ho, Er) compounds [17, 19, 20]. It is interesting\nto note that the \u001axx(T) goes through a minimum be-\nfore the upturn and this minimum shifts to higher tem-\nperature as the magnetic \feld is increased. The mag-\nnetic \feld driven MIT has been observed in systems like\nWTe 2, NbP [10, 13] and semimetallic compounds like\nMoSi 2, WSi 2, WP 2[11, 12, 14] . Di\u000berent mechanisms\nhave been put forward for this type of \feld induced MIT\nand large MR in topological materials. For example, in\nWTe 2the extremely large MR is attributed to the per-\nfect electron-hole resonance, while in the case of LaBi\nand LaSb the large MR is attributed to the orbital tex-\nture [15]. All these mechanisms are for non-magnetic\nsystems. GdBi exhibits an antiferromagnetic transition\nand typically, in such kind of materials the positive mag-\nnetoresistance is attributed to the suppression of the TN\ndue to applied magnetic \felds. However, TNof GdBi\nis robust and no change in TNhas been observed for\n\felds as high as 14 T, furthermore the M(B) data by\nLiet al: [25], have revealed that the magnetization in-\ncreases linearly and attains the \feld induced ferromag-\nnetic state at around 42 T, which suggests the gradual\nspin re-orientation. The scattering due to this staggered\nmoment together with near compensation of charge carri-\ners and a very low residual resistivity (vide infra) results\nin such a large MR.\nTo understand the \feld dependence of the electrical re-\nsistivity further, we plotted the normalized temperature\ndependence of resistivity MR( T)/MR(2K), measured in\ndi\u000berent \felds from 4 to 14 T in steps of 2 T, as shown\nin Fig. 3(b). It is interesting to see that the normal-\nized curves fall on to a single curve suggesting the T-\ndependent MR remains almost the same for all magnetic\n\felds. Hence, it can be said that the low temperature\nbehavior of \u001axx(T) is metallic rather insulating in high\nmagnetic \felds [28]. A similar behavior is observed in the\nmagnetically ordered ErBi [19]. The upturn in \u001axx(T) at\nlow temperature can be well described by the Kohler's\nscaling rule [29{31], according to which, the \feld depen-\ndent MR at di\u000berent temperature will follow the same\nfunctional form MR /f(B\u001c) where\u001cis the relaxation\ntime that is inversely proportional to \u001a0as long as the\nscattering mechanisms at di\u000berent temperatures remain\nsame. Following the Kohler's rule, the resistivity \u001a(B;T)\nof GdBi can be written as:\n\u001a(B;T) =\u001a0(0;T)\u0014\n1 +\r\u0012B\n\u001a0(0;T)\u0013m\u0015\n(2)\nwhere\u001a0(0;T) is the measured resistivity of GdBi at zero\napplied magnetic \feld. A \ft of Eqn. 2, keeping \ras the\nonly adjustable parameter, with data at 14 T is shown4\n/s32/s33/s34\n/s35/s33/s32\n/s34/s35/s34/s36/s34/s34 /s37/s38/s39/s40/s41/s42/s34\n/s35/s34\n/s34/s43/s44/s44/s38/s45/s46/s47/s38/s48/s49/s50\n/s35/s34/s34 /s36/s32/s34 /s36/s34/s34 /s32/s34 /s34\n/s51/s52/s49/s53/s52/s54/s55/s41/s56/s54/s52/s38/s45/s37/s50/s38/s34/s38\n/s38/s36/s38\n/s38/s42/s38\n/s38/s57/s38\n/s38/s58/s38\n/s38/s36/s34/s38\n/s38/s36/s35/s38\n/s38/s36/s42/s38/s51/s59/s60/s61/s40 /s42/s34\n/s35/s34/s43/s44/s44/s38/s45/s46/s47/s38/s48/s49/s50\n/s36/s34/s34/s32/s34/s34 /s37/s38/s39/s40/s41/s36/s33/s34\n/s34/s33/s32\n/s34/s62/s63/s45/s51/s50/s64/s62/s63/s45/s35/s37/s50\n/s35/s34/s34/s36/s32/s34/s36/s34/s34/s32/s34/s34\n/s51/s52/s49/s53/s52/s54/s55/s41/s56/s54/s52/s38/s45/s37/s50/s59/s60/s61/s40\n/s36/s35\n/s58\n/s42\n/s34/s62/s63/s38/s45/s36/s34/s65/s38/s66/s50\n/s42/s34/s35/s34/s34 /s37/s38/s42/s38\n/s38/s57/s38\n/s38/s58/s38\n/s38/s36/s34/s38\n/s38/s36/s35/s38\n/s38/s36/s42/s38/s51\n/s32/s33/s34\n/s35/s33/s32\n/s34/s62/s63/s38/s45/s36/s34/s65/s38/s66/s50\n/s67/s36/s34 /s67/s32 /s34 /s32/s36/s34\n/s62/s55/s68/s69/s52/s41/s40/s48/s38/s70/s40/s52/s71/s60/s38/s45/s51/s50/s38/s35/s38\n/s38/s32/s38\n/s38/s36/s34/s38\n/s38/s36/s32/s38\n/s38/s38/s35/s34/s38\n/s38/s35/s32/s38\n/s38/s65/s34/s38\n/s38/s32/s34/s38\n/s38/s36/s34/s34/s38/s37/s59/s60/s61/s40/s32/s33/s34\n/s35/s33/s32\n/s34/s62/s63/s38/s45/s36/s34/s65/s38/s66/s50\n/s36/s34/s32/s34/s38/s39/s40/s41\n/s69/s38/s72/s38/s36/s33/s73\n/s36/s36/s34/s36/s34/s34/s36/s34/s34/s34 /s62/s63/s38/s45/s66/s50\n/s36/s34/s73/s36/s34/s58/s36/s34/s74\n/s61/s64/s43/s34/s38/s45/s51/s38/s47/s67/s36/s49/s67/s36/s50/s38/s38/s35/s34/s38\n/s38/s35/s32/s38\n/s38/s65/s34/s38\n/s38/s32/s34/s38\n/s38/s36/s34/s34/s38/s37/s38/s35/s38\n/s38/s32/s38\n/s38/s36/s34/s38\n/s38/s36/s32/s38/s59/s60/s61/s40/s45/s55/s50 /s45/s75/s50\n/s45/s48/s50 /s45/s60/s50\n/s51\nFIG. 3. (a) Temperature dependence of electrical resistivity of GdBi at di\u000berent applied magnetic \felds, (top inset) \ftting of\nresistivity at 14 T magnetic \feld with Eq.(2), (bottom inset) power law \ftting of low temperature resistivity. (b) Temperature\ndependence of MR normalized with its value at T2 K at di\u000berent magnetic \felds. (inset) MR as function of temperature.\n(c) Field dependence of MR at various temperatures, (inset) power law \ftting of MR at 2 K . (d) Kohler's scaling of MR at\ndi\u000berent temperature.\nin the top inset of Fig.3(a). Value of mis kept \fxed\nat 1.7, obtained from power law \ftting of magnetoresis-\ntance. Deviation becomes large when the temperature is\nabove the transition temperature TN, which maybe at-\ntributed to the change of scattering mechanism, which\nlimits the use of Kohler's law. The above equation also\nshines light on the presence of a minimum in resistivity\nat magnetic \feld due to the coexistence of \u001a(0;T) and\ninverse of that term in \u001a(H;T).\nMagnetoresistance of GdBi at di\u000berent temperature is\nshown in Fig. 3(c) as a function of magnetic \feld applied\nin transverse direction of current. At 2 K, MR reaches\n5:1\u0002103% in \feld 10 T and 10 :9\u0002103% in 14 T (not\nshown here) which is extremely large. Best \ft of the MR\ndata at 2 K with power law is shown in the inset of 3(c)\nwhere the obtained exponent value is 1 :7. For a perfectly\ncompensated semimetal one would expect, this value to\nbe 2. In this present case the exponent value reveals that\nthe charge carriers are nearly compensated and this has\nbeen con\frmed from the Hall data (to be discussed be-\nlow). Value of the exponent decreases as temperature is\nincreased from 2 K up to 20 K after that it again increasestill 100 K with value 1.8, probably due to change of car-\nrier concentration. To verify the applicability of Eqn.2\nto the resistivity data, we performed the Kohler's scal-\ning rule to the \feld dependent magnetoresistance plot,\nshown in Fig. 3(d), where MR is plotted against ( B=\u001a 0)\nat di\u000berent temperatures, and as can be seen, all MR are\ncollapsing onto a single curve as predicted by the scaling\nrule. Deviation from a straight line behaviour is mainly\nattributed to the di\u000berent scattering mechanism in GdBi.\nThis also describes why the \ftting shown in the top in-\nset of Fig. 3(a) using Eqn.2 is deviating at temperature\naboveTN.\nWe have used Hall resistivity ( \u001axy) and linear resis-\ntivity (\u001axx) data to estimate the carrier concentration of\nGdBi. Hall measurements were performed in \fve-probe\ngeometry followed by antisymmetrization of the data to\nminimize the contribution of linear resistivity ( \u001axx). The\ncurved nature of Hall data implies the existence of mul-\ntiple charge carriers. Two-band model is used here to \ft\nthe linear conductivity ( \u001bxx) and hall conductivity ( \u001bxy).\nIn semi-classical two band model, complex conductivity\ncan be written as:5\n\u001b=e\u0014ne\u0016e\n1 +i\u0016eB+nh\u0016h\n1\u0000i\u0016hB\u0015\n(3)\nwhereeis the magnitude of elementary charge and\n\u001bxxand\u001bxyare obtained from real part and imaginary\npart of\u001brespectively. \u001bxxand\u001bxyare calculated from\nexperimental \u001axxand\u001axyusing the following relations:\n\u001bxx=\u001axx\n\u001a2xx+\u001a2xy(4)\n\u001bxy=\u001axy\n\u001a2xx+\u001a2xy(5)\n/s32/s33/s33\n/s34/s33/s33\n/s33/s35/s36/s36/s37/s38/s37/s35/s36/s39/s37/s37/s40/s34/s33/s41/s37/s42/s43/s34/s44/s43/s34/s45\n/s46/s47/s33\n/s48/s49/s50/s51/s52/s53/s54/s55/s37/s56/s54/s52/s57/s58/s37/s40/s59/s45/s37/s35/s36/s39\n/s37/s37/s35/s36/s36\n/s37/s60/s54/s53\n/s37/s61/s58/s62/s54/s46\n/s63\n/s41\n/s64\n/s47/s51/s37/s40/s34/s33/s32/s41/s37/s44/s43/s65/s45 /s37/s51/s52\n/s37/s51/s66\n/s33/s67/s46\n/s33/s67/s47\n/s33/s68/s37/s40/s44/s32/s69/s43/s34/s70/s43/s34/s45\n/s34/s33/s33/s63/s64/s64/s33/s32/s64/s33\n/s59/s52/s44/s71/s52/s72/s49/s53/s73/s72/s52/s37/s40/s74/s45/s37/s68/s52\n/s37/s68/s66/s40/s49/s45 /s40/s75/s45\nFIG. 4. (a) \u001bxxand\u001bxyof GdBi as a function of magnetic\n\feld. Solid lines show the \ft with two band model. (b) (top)\nElectron and hole density, (bottom) electron and hole mobil-\nity at di\u000berent temperature, obtained from two band model\n\ftting.\nWe simultaneously \ftted the \u001bxxand\u001bxydata at 2 K\nto the real and imaginary part of Eqn.3 and the best \ft\nis shown in Fig.4(a). Calculated values of carrier den-\nsity and mobility at di\u000berent temperatures is shown in\nFig.4(b). At 2 K the electron and hole density are es-\ntimated as 7 :08\u00021026m\u00003and 7:30\u00021026m\u00003which\nexplains the deviation of MR from quadratic behaviour\nin \feld. As temperature is increased, we see a crossover\nin electron and hole density, which is consistent with the\nobservation that the exponent value ( n) in power law\n\ftting of MR is increasing towards the value 2 as tem-\nperature is increased. The estimated mobility at 2 K is\nalso quite large, reaching 0 :914 m2V\u00001s\u00001for electrons\nand 0:994 m2V\u00001s\u00001for holes. These values of carrier\nconcentration and mobility are nearly the same as ob-\ntained for ErBi and HoBi compounds [19, 20]. The nearly\ncompensated nature of the charge carriers together with\nrelatively high mobility are the reasons for the observed\nlarge MR.\nD. Shubnikov-de Hass (SdH) Oscillations\nWe have observed oscillation in MR when the \feld is\nramped from 11\u000014 T, up to 8 K temperature beyondwhich it is not discernible. The background subtracted\nSdH oscillation (\u0001 Rxx) is shown in Fig. 5(a). It is quite\nobvious from the shape of the oscillation that it possess\nmultiple frequencies. A fast Fourier transform (FFT) of\nthe oscillation revealed as many as 5 fundamental fre-\nquencies at 461 T ( F\u000b1), 871 T (F\u000b2), 1640 T ( F\u000b3),\n2203 T (F\u000b4) and 2562 T ( F\u000b5), as shown in the inset of\nFig. 5(a). The frequencies may correspond to \fve pockets\nin the Fermi surface [32, 33], two hole pockets at \u0000 point\nand three electron pockets at Xpoints in the Brillouin\nzone.\nThe extremal cross section area ( A\u000bi) of these Fermi\npockets are calculated from the Onsager relation: F\u000bi=\n(~A\u000bi=2\u0019e), see Table I. The oscillatory part \u0001 Rxxcan\nbe described by Lifshitz-Kosevitch (LK) expression [34].\nThe temperature dependent amplitude, obtained from\nFFT of \u0001Rxx, is shown in Fig. 5(b), that shows the oscil-\nlation amplitude of individual frequency decreases with\nincrease in temperature, and it follows thermal damp-\ning factorX=sinhX , whereX= (2\u00192m\u0003\n\u000bikBT)=(e~B).\nHere, index irepresents i-th frequency, m\u0003\n\u000biis the e\u000bec-\ntive mass corresponding to the frequency F\u000bi. Fig. 5(c)\nshows mass plot for di\u000berent frequencies, and the ex-\ntracted e\u000bective masses are given in Table I. Esti-\nmated e\u000bective mass of carriers are very similar to\nother rare-earth monopnictides [17, 30, 33]. Also,\nthe \feld induced oscillation amplitude damping follows\nexp[\u0000(2\u00192m\u0003\n\u000bikBTD\u000bi)=(e~B)], whereTD\u000biis the Dingle\ntemperature of F\u000bi, and1\nB=1\n2(1\nB1+1\nB2) whereB1and\nB2represents the range of applied magnetic \feld used.\nAs multiple frequencies results to such \u0001 Rxxoscillation,\nFFT amplitude ( A\u0001R) of individual frequency in di\u000ber-\nent \feld segments can give the \feld dependent amplitude\nvariation. From the ln[A\u0001Rsinh(X)=X] vs. 1=B\ft, see\nFig. 5(d), obtained Dingle temperatures are used to cal-\nculate the quantum relaxation time \u001c\u000bi(=~=2\u0019kBTD\u000bi)\nand quantum mobility \u0016Q\u000bi(=e~=2\u0019kBTD\u000bim\u0003\n\u000bi) of car-\nriers for di\u000berent pockets, listed in Table I. Here F\u000b5is\nrelatively large to extract the \feld dependent amplitude\nvariation within applied \feld range. A much lower tem-\nperature and high magnetic \feld are necessary to inves-\ntigate further.\nE. Speci\fc heat\nThe heat capacity ( Cp) of GdBi single crystal in zero\nmagnetic \feld in the temperature range 2 to 200 K is\nshown in the main panel of Fig.6(a). The sharp \u0015shaped\npeak at 27:5 K is observed con\frming the antiferromag-\nnetic ordering in this compound. The heat capacity at-\ntains the value of 49.89 J K\u00001mol\u00001at 200 K which is\nthe expected Dulong-Petit limiting value of 3 nR. The\n\feld dependence of heat capacity is shown in the inset\nof Fig. 6(a). It is evident that the magnetic \feld does\nnot have any e\u000bect on the magnetic ordering. Typically,\nin antiferromagnetic materials the long range interaction\ncompetes with the applied magnetic \feld, resulting in6\nTABLE I. Parameters estimated from SdH oscillation analysis: m\u0003, e\u000bective mass; TD, Dingle temperature; A, extremal area\nof the Fermi surface; kF, Fermi wave vector; vF, Fermi Velocity; \u001c, quantum relaxation time, and \u0016Q, quantum mobility.\nFermi pocket Frequency m\u0003TD A k F vF \u001c \u0016 Q\n(T) ( me) (K) (nm\u00002) (107cm\u00001) (107cm/s) (10\u000013s) (cm2/V s)\n\u000b1 462 0.36 6.13 4.40 1.18 3.75 1.98 954.5\n\u000b2 872 0.35 14.66 8.30 1.62 5.38 0.83 416.3\n\u000b3 1641 0.72 5.22 15.63 2.23 3.56 2.33 564.1\n\u000b4 2205 0.72 5.24 21.01 2.58 4.13 2.32 562.4\n\u000b5 2564 0.78 ::: 24.43 2.79 4.11 ::: :::\n/s32/s33\n/s32/s34\n/s33\n/s34\n/s35/s33/s36/s37/s32/s32/s38/s39/s40/s41\n/s34/s42/s34/s43 /s34/s42/s34/s44 /s34/s42/s34/s45\n/s32/s46/s47/s48/s38/s49/s35/s32/s41/s48/s48/s48/s50/s51/s47/s52\n/s33/s48/s53/s48/s32/s42/s44/s48/s54\n/s48\n/s55/s55/s49/s48/s56/s57/s58 /s33/s34/s34/s34 /s59/s33/s34/s34 /s34\n/s34/s48/s38/s49/s41/s60/s32/s60/s59/s60/s61/s60/s62\n/s60/s33/s33/s48/s53/s48/s32/s42/s44/s48/s54/s55/s55/s49/s48/s56/s57/s58\n/s33/s34/s34/s34 /s59/s33/s34/s34 /s34\n/s34/s48/s38/s49/s41/s60/s32\n/s60/s59/s60/s61/s60/s62\n/s60/s33/s32/s42/s44/s48\n/s48/s59/s48\n/s48/s59/s42/s33/s48\n/s48/s61/s48\n/s48/s48/s61/s42/s33/s48\n/s48/s62/s48\n/s48/s33/s48\n/s48/s63/s48\n/s48/s44/s48/s54/s50/s51/s47/s52\n/s34/s55/s55/s49/s48/s56/s57/s58/s42\n/s44/s63/s62/s59\n/s49/s64/s57/s58/s64/s65/s66/s67/s68/s65/s64/s48/s38/s54/s41/s48/s60/s32\n/s48/s60/s59\n/s48/s48/s55/s52/s67/s48/s60/s61\n/s48/s60/s62\n/s48/s60/s33/s50/s51/s47/s52\n/s35/s44/s42/s33/s35/s44/s42/s34/s35/s45/s42/s33/s35/s45/s42/s34 /s69/s70/s71/s36/s37/s48/s72/s52/s70/s73/s38/s74/s41/s46/s74/s75\n/s34/s42/s34/s44/s33/s34/s42/s34/s44/s34/s34/s42/s34/s45/s33\n/s47/s35/s32/s48/s38/s49/s35/s32/s41/s48/s60/s32\n/s48/s60/s59\n/s48/s60/s61\n/s48/s60/s62\n/s48/s55/s52/s67/s50/s51/s47/s52/s38/s76/s41\n/s38/s77/s41\n/s38/s51/s41/s38/s66/s41\nFIG. 5. (a) Oscillating part of electrical resistivity of GdBi\nas a function of inverted magnetic \feld, (inset) Fast Fourier\ntransform of oscillating part of electrical resistivity. (b) FFT\namplitude at di\u000berent temperature. (c) Mass plot of di\u000berent\nfrequencies. The solid lines are the \fts to the thermal damp-\ning factor of the Lifshitz-Kosevich expression. (d) Dingle plots\nof di\u000berent frequencies.\nthe lowering of the ordering temperature. As we have\nalready seen in the M(H) data, the 4 fmoments align to\nthe applied \feld direction in an extremely slow rate and\nthe \feld induced ferromagnetic state is achieved at high\nFIG. 6. (a) Speci\fc heat ( Cp) of GdBi and LuBi as function\nof temperature, (inset) Cpvs. Temperature at di\u000berent mag-\nnetic \feld. (b) (top) C4f=Tversus temperature and (bottom)\nmagnetic entropy as a function of temperature.\nmagnetic \feld of 42 T [25]. Hence \felds up to 8 T do not\nhave any e\u000bect to the heat capacity peak.\nNext, we estimate the magnetic contribution C4f(T)\nto the heat capacity of GdBi, to accomplish this we have\ngrown the single crystal of LuBi which possesses the same\nrock-salt type cubic crystal structure and a completely\n\flled 4f-shell. Due to the di\u000berence in atomic mass of Gd\nand Lu, obtained Cpof LuBi cannot completely mimic\nthe lattice contribution of GdBi. A correction to the\nCpis required [35] to obtain the correct value of spe-7\nci\fc heat. The correction factor in this case is calculated\nto be 1.02, that is very small and here neglected. After\nsubtracting the lattice and electronic part, obtained mag-\nnetic speci\fc heat of GdBi is shown in Fig.6(b)(bottom)\nasC4f=T. A broad hump is observed in the C4f=Tplot\nat low temperature. Such type of hump arises for the\nsystems with (2 S+ 1)-fold degenerate ground state with\nlargeS-values [36]. For large Sthe entropy is large, in\norder to accommodate the increased entropy a hump ap-\npears in the heat capacity. For S= 7=2 systems accord-\ning the mean \feld theory the hump C4f(T) data appears\natT\u0014TN=3 [36]. The hump appears at 9 :2 K, which\nagrees well with the MFT for TN= 27:5 K in GdBi.\nThe magnetic entropy S4fattains a value of 13.02 J\nK\u00001mol\u00001atTNwhich is 75% of Rln(2S+ 1) = 17:3 J\nK\u00001mol\u00001forS= 7=2. The reduction in the entropy\nmay be attributed to the inaccurate estimate of the lat-\ntice contribution to the heat capacity.\nIV. CONCLUSION\nHigh quality single crystal of GdBi has been grown by\nhigh temperature solution growth. We have performed\na systematic study on the magnetic and electrical trans-port properties. From the SdH quantum oscillations we\nhave analysed the Fermi surface properties. The mag-\nnetic measurements revealed that GdBi undergoes an\nantiferromagnetic transition at 27 :5 K. The isothermal\nmagnetization M(H) did not show any sign of saturation\nand reached value of about 1 :25\u0016B/Gd at 7 T, where\nas the saturation moment is 7 \u0016B/Gd. The electrical\nresistivity con\frmed the antiferromagnetic ordering by\ndisplaying a sharp drop in the resistivity at 27 :5 K and\nthe overall resistivity behaviour was typical metallic like.\nWith application of magnetic \feld the electrical resistiv-\nity displayed a huge upturn in the magnetically ordered\nstate at low temperature as observed in most of the com-\npensated semimetallic systems. The MR also displayed a\nlarge value of the order 104% without any sign of satura-\ntion. Hall e\u000bect studies revealed multiple type of charge\ncarriers and a near compensation of the charge carriers.\nFrom the SdH oscillation studies we have estimated the\ne\u000bective masses of the observed \fve di\u000berent frequen-\ncies which are almost of the same order as observed in\nother rare-earth monopnictides. A high \feld MR mea-\nsurements at low temperatures and \felds greater than\n14 T will throw more light on the observed non-saturating\nmagnetoresistance.\n[1] B. Q. Lv, T. Qian, and H. Ding, Rev. Mod. Phys. 93,\n025002 (2021).\n[2] M. G. Vergniory, L. Elcoro, C. Felser, N. Regnault, B. A.\nBernevig, and Z. Wang, Nature 566, 480 (2019).\n[3] P. Narang, C. A. C. Garcia, and C. Felser, Nat. Mater.\n20, 293 (2021).\n[4] J. Hu, S.-Y. Xu, N. Ni, and Z. Mao, Annu. Rev. Mater.\nRes.49, 207 (2019).\n[5] N. P. Armitage, E. J. Mele, and A. Vishwanath, Rev.\nMod. Phys. 90, 015001 (2018).\n[6] Z. Wang, H. Weng, Q. Wu, X. Dai, and Z. Fang, Phys.\nRev. B 88, 125427 (2013).\n[7] Z. K. Liu, J. Jiang, B. Zhou, Z. J. Wang, Y. Zhang, H. M.\nWeng, D. Prabhakaran, S.-K. Mo, H. Peng, P. Dudin,\nT. Kim, M. Hoesch, Z. Fang, X. Dai, Z. X. Shen, D. L.\nFeng, Z. Hussain, and Y. L. Chen, Nat. Mater. 13, 677\n(2014).\n[8] Z. Wang, Y. Sun, X.-Q. Chen, C. Franchini, G. Xu,\nH. Weng, X. Dai, and Z. Fang, Phys. Rev. B 85, 195320\n(2012).\n[9] Z. K. Liu, B. Zhou, Y. Zhang, Z. J. Wang, H. M. Weng,\nD. Prabhakaran, S.-K. Mo, Z. X. Shen, Z. Fang, X. Dai,\nZ. Hussain, and Y. L. Chen, Science 343, 864 (2014).\n[10] M. N. Ali, J. Xiong, S. Flynn, J. Tao, Q. D. Gib-\nson, L. M. Schoop, T. Liang, N. Haldolaarachchige,\nM. Hirschberger, N. P. Ong, and R. J. Cava, Nature 514,\n205 (2014).\n[11] M. Matin, R. Mondal, N. Barman, A. Thamizhavel, and\nS. K. Dhar, Phys. Rev. B 97, 205130 (2018).\n[12] R. Mondal, S. Sasmal, R. Kulkarni, A. Maurya, A. Naka-\nmura, D. Aoki, H. Harima, and A. Thamizhavel, Phys.\nRev. B 102, 115158 (2020).[13] C. Shekhar, A. K. Nayak, Y. Sun, M. Schmidt, M. Nick-\nlas, I. Leermakers, U. Zeitler, Y. Skourski, J. Wosnitza,\nZ. Liu, Y. Chen, W. Schnelle, H. Borrmann, Y. Grin,\nC. Felser, and B. Yan, Nat. Phys 11, 645 (2015).\n[14] N. Kumar, Y. Sun, N. Xu, K. Manna, M. Yao, V. S uss,\nI. Leermakers, O. Young, T. F orster, M. Schmidt, H. Bor-\nrmann, B. Yan, U. Zeitler, M. Shi, C. Felser, and\nC. Shekhar, Nat. Commun. 8, 1642 (2017).\n[15] F. Fallah Tafti, Q. Gibson, S. Kushwaha, J. W. Krizan,\nN. Haldolaarachchige, and R. J. Cava, Proceedings of the\nNational Academy of Sciences 113, E3475 (2016).\n[16] F. F. Tafti, Q. D. Gibson, S. K. Kushwaha, N. Hal-\ndolaarachchige, and R. J. Cava, Nat. Phys. 12, 272\n(2016).\n[17] A. Vashist, R. K. Gopal, D. Srivastava, M. Karppinen,\nand Y. Singh, Phys. Rev. B 99, 245131 (2019).\n[18] A. P. Sakhya, P. L. Paulose, A. Thamizhavel, and\nK. Maiti, Phys. Rev. Mater. 5, 054201 (2021).\n[19] L.-Y. Fan, F. Tang, W. Z. Meng, W. Zhao, L. Zhang,\nZ. D. Han, B. Qian, X.-F. Jiang, X. M. Zhang, and\nY. Fang, Phys. Rev. B 102, 104417 (2020).\n[20] Z. M. Wu, Y. R. Ruan, F. Tang, L. Zhang, Y. Fang, J.-\nM. Zhang, Z. D. Han, R. J. Tang, B. Qian, and X. F.\nJiang, New J. Phys. 21, 093063 (2019).\n[21] Z. Li, D.-D. Xu, S.-Y. Ning, H. Su, T. Iitaka, T. To-\nhyama, and J.-X. Zhang, Int. J. Mod. Phys. B 31,\n1750217 (2017).\n[22] H. Okamoto, T. Massalski, et al. , Binary alloy phase di-\nagrams II Ed, ASM International, Materials Park, OH,\nUSA1, 738 (1990).\n[23] K. Yoshihara, J. Taylor, L. Calvert, and J. Despault, J\nLess Common Met 41, 329 (1975).8\n[24] V. K. Anand and D. C. Johnston, J. Condens. Matter\nPhys. 26, 286002 (2014).\n[25] D. X. Li, Y. Haga, H. Shida, T. Suzuki, Y. S. Kwon, and\nG. Kido, J. Condens. Matter Phys. 9, 10777 (1997).\n[26] T. Moriya and T. Takimoto, J. Phys. Soc. Japan 64, 960\n(1995).\n[27] S. Julian, F. Carter, F. Grosche, R. Haselwimmer, S. Lis-\nter, N. Mathur, G. McMullan, C. P\reiderer, S. Saxena,\nI. Walker, N. Wilson, and G. Lonzarich, J. Magn. Magn.\nMater. 177-181 , 265 (1998), international Conference on\nMagnetism.\n[28] L. R. Thoutam, Y. L. Wang, Z. L. Xiao, S. Das,\nA. Luican-Mayer, R. Divan, G. W. Crabtree, and W. K.\nKwok, Phys. Rev. Lett. 115, 046602 (2015).\n[29] Y. L. Wang, L. R. Thoutam, Z. L. Xiao, J. Hu, S. Das,\nZ. Q. Mao, J. Wei, R. Divan, A. Luican-Mayer, G. W.\nCrabtree, and W. K. Kwok, Phys. Rev. B 92, 180402\n(2015).\n[30] O. Pavlosiuk, P. Swatek, D. Kaczorowski, and\nP. Wi\u0013 sniewski, Phys. Rev. B 97, 235132 (2018).[31] J. Du, Z. Lou, S. Zhang, Y. Zhou, B. Xu, Q. Chen,\nY. Tang, S. Chen, H. Chen, Q. Zhu, H. Wang, J. Yang,\nQ. Wu, O. V. Yazyev, and M. Fang, Phys. Rev. B 97,\n245101 (2018).\n[32] C.-G. Duan, R. F. Sabirianov, W. N. Mei, P. A. Dowben,\nS. S. Jaswal, and E. Y. Tsymbal, J. Condens. Matter\nPhys. 19, 315220 (2007).\n[33] L. Ye, T. Suzuki, C. R. Wicker, and J. G. Checkelsky,\nPhys. Rev. B 97, 081108 (2018).\n[34] D. Shoenberg, Magnetic oscillations in metals (Cam-\nbridge university press, 2009).\n[35] M. Bouvier, P. Lethuillier, and D. Schmitt, Phys. Rev. B\n43, 13137 (1991).\n[36] D. C. Johnston, R. J. McQueeney, B. Lake, A. Ho-\nnecker, M. E. Zhitomirsky, R. Nath, Y. Furukawa, V. P.\nAntropov, and Y. Singh, Phys. Rev. B 84, 094445 (2011)." }, { "title": "1608.06633v2.Disorder_effect_on_the_anisotropic_resistivity_of_phosphorene_determined_by_a_tight_binding_model.pdf", "content": "arXiv:1608.06633v2 [cond-mat.mes-hall] 18 Oct 2016Disorder effect on the anisotropic resistivity of phosphore ne determined by a\ntight-binding model\nCarlos J. P´ aez,1Kursti DeLello,2Duy Le,2Ana L. C. Pereira,1and Eduardo R. Mucciolo2\n1Faculdade de Ciˆ encias Aplicadas, Universidade Estadual d e Campinas, 13484-350 Limeira, SP Brazil\n2Department of Physics, University of Central Florida, Orla ndo, FL 32816-2385, USA\nIn this work we develop a compact multi-orbital tight-bindi ng model for phosphorene that ac-\ncurately describes states near the main band gap. The model p arameters are adjusted using as\nreference the band structure obtained by a density-functio nal theory calculation with the hybrid\nHSE06 functional. We use the optimized tight-binding model to study the effects of disorder on the\nanisotropic transport properties of phosphorene. In parti cular, we evaluate how the longitudinal\nresistivity depends on the lattice orientation for two typi cal disorder models: dilute scatterers with\nhigh potential fluctuation amplitudes, mimicking screened charges in the substrate, and dense scat-\nterers with lower amplitudes, simulating weakly bounded ad sorbates. We show that the intrinsic\nanisotropy associated to the band structure of this materia l, although sensitive to the type and\nintensity of the disorder, is robust.\nPACS numbers: 71.20.nr,73.63.-b,71.10.Fd\nI. INTRODUCTION\nTwo-dimensional (2D) materials formed by a few\natomic layers are likely to be featured in high-performing\nelectronic devices in the near future, thanks to their high\ncharge mobility, strong gating capabilities, and other un-\nusual properties. For nearly a decade, the focus was\nprimarily on graphene,1but its use in transistors as a\nsubstitute for silicon has many limitations; in particular,\nthe absence of a bandgap.2The focus now has shifted\nto others 2D material. Among these, monolayer black\nphosphorus, known as phosphorene, is particularly at-\ntractive. Phosphorene has high charge mobility (typi-\ncally 100 −1000 cm2V−1s−1),3,4its band gap spans a\nwide range in the visible spectrum, and presents a strong\nin-plane anisotropy.5–7\nCurrent methods for calculating the band structure\nand optical and electronic properties of phosphorene\ninclude density functional theory (DFT). Attempts at\nstudying the electronic properties of phosphorene have\nalso been made using a self-consistent pseudopotential\napproach.8–11Those approaches are highly successful in\npredicting the overall trend of the band structure, but\nthey can be computationally expensive for calculating\nthe transport properties.\nPrevious works dealing with phosphorene focused\non obtaining the optical and electronic properties us-\ning tight-binding models with only one pzorbital per\natom.12–15However, these simple models do not capture\nthe anisotropy in the electronic and optical properties\naccurately.\nDifferently from graphene, the atomic layers in phos-\nphorene are not perfectly flat; instead, phosphorene has\na puckered surface due to the sp3hybridization. Thus,\nforanaccuratedescriptionofthe electronicpropertiesin-\ncluding the anisotropy, both pandsorbitals have to be\ntaken into account. Recently, a tight-binding model has\nbeen developed which includes nearest and next-nearestneighbor interactions.16While this model offers only a\nqualitative view of the behavior of the band structure,\nit also provides reasonable predictions in agreement with\nexperimental results, and can serve as a good starting\npoint for our model.\nIn this work, we develop an effective tight-binding\nmodel for phosphorenethrough a optimization procedure\nofthetight-bindingparameters. Thetight-bindingmodel\nis built with an orthogonal basis composed of all 3 sand\n3porbitals of phosphorus. It reproduces very accurately\nthe energy bands and reasonably well the orbital compo-\nsitions near the extremes of the conduction and valence\nbands, as obtained by DFT calculations based on the\nhybrid HSE06 functional,17,18referred herein as DFT-\nHSE06.\nUsing this optimized tight-binding model, we calculate\nthe linear conductance of phosphorene for two different\nlattice orientation (zigzag and armchair) in the presence\nof background potential fluctuations that mimic disor-\nder. Our aim is to investigate the in-plane anisotropy in\nthe transport when in presence of disorder. We consider\ntwo limits of the Gaussian-correlated potential fluctua-\ntions: low amplitudes with high density, and high am-\nplitude with low density. In both regimes, we find that\nthe intrinsic anisotropy due to the electronic structure is\nmanifest in the resistivity of phosphorene.\nPhosphorene samples are shown to be very sensitive\nto the environment,19–21therefore, the role of disorder\nrepresents an important issue, with both theoretical and\npractical relevances. First-principle studies of the effects\nof vacancies,22substitutional atoms,23oxidation,24and\nimpurities25have been only carried out so far for small\nsystems due to the high computational cost. However,\nthe computation of transport properties in particular re-\nquires the carriers to be in the proper dynamical regime\n(diffusive in most cases), which in turn can only be sim-\nulated in large enough samples. Therefore, the influence\nof disorder on the transport properties of phosphorene is\nnot yet settled.2\nThe remaining of the paper is organized as follows. In\nSec.II, the optimization procedure used in our tight-\nbinding model to calculate the band structure is pre-\nsented. In Sec. III, we compare the band structure ob-\ntained from the DFT-HSE06 and from our optimized\ntight-binding model. In Sec. IV, the band structure\naround the high-symmetry Γ-point is analyzed, allowing\nus to obtain accurate values for the effective masses in\nzigzag and armchair directions. In Sec. V, we study the\neffectsofdisorderonthetransportpropertiesofphospho-\nrene, specially on the anisotropic resistivity. Finally, in\nSec.VI, we draw our conclusions. The main text is sup-\nplemented by Appendix Acontaining technical aspects\nof the simplified LCAO method calculations.\nII. MODEL\nThe crystal structure of monolayer phosphorene is il-\nlustrated in Fig. 1a. While graphene is planar atomic\nlayer of carbon, phosphorene is a non-planar layer of\nphosphorus atoms, forming a puckered structure where\natoms are located on two parallel planes. As a result,\nphosphorene has an anisotropic crystal structure.\nFigure1b shows the projection of the phosphorene\ncrystalontothe a plane. The rectangularareaindicates a\nunit cell, which contains four atoms labeled A,B,A′and\nB′. Their positions in the unit cell are: τA=(uc0,0,vb0),\nτB= ((1/2−u)c0,a0/2,vb0),τA'=−τAandτB'=\n−τB, wherea0= 3.314˚A,c0= 4.376˚A, andb0= 10.48˚A,are the corresponding lattice constants in y(zigzag), x\n(armchair) and zdirections.8,16Here,u= 0.08056 and\nv= 0.10168 are dimensionless crystal structure parame-\nters. From these atom locations, we can define the first\neight lattice displacement vectors in Table I.\nc0\n2vbd1\nd2-d2+\nd3d4\nd5\nd6Rd6Ld7+\nd7-\nd8a0\n2ucxy\nArmchairZigzag\nFIG. 1. (Color online)(a) Red (blue) circles represent phos -\nphorus atoms in the lower (upper) layer. (b) Projection of th e\nphosphorene crystal structure onto a two-dimensional plan e.\nThe rectangular area indicates the unit cell, which contain s\nfour phosphorus atoms. Zigzag and armchair edges are indi-\ncated.\nWe include the 3 sand 3px,y,zelectrons in the par-\ntially filled atomic shells and neglect any spin-orbit cou-\nplingsincephosphorusisalow- Zelement.26Theeffective\nHamiltonian is represented as the following 16 ×16 ma-\ntrix within the basis ( As,Apx,Apy,Apz,Bs,Bpx,Bpy,\nBpz,A′\ns,A′\npx,A′\npy,A′\npz,B′\ns,B′\npx,B′\npy,B′\npz):\nHmono(k) =\nT0+T3+T8 T1+tT∗\n4 T−\n2+TL\n6+T+\n7 T5\ntT∗\n1+T4 T0+T3+T8 T5 T+\n2+TR\n6+T−\n7\ntT−∗\n2+tTL∗\n6+tT+∗\n7tT∗\n5 T0+T3+T8tT∗\n1+T4\ntT∗\n5tT+∗\n2+tTR∗\n6+tT−∗\n7T1+tT∗\n4T0+T3+T8\n. (1)\nWe take into account up to eighth nearest neighbor\ncouplings (see Fig. 1b) through eight 4 ×4 matrices re-\nferred to as Ti, within the {|m/an}bracketri}ht}basis of atomic shells.\nHere, the index mrepresents s,px,py, andpzorbitals.\nThe interatomic matrix elements Tm,m′\ni(k) are given by\nthe expression\nTm,m′\ni(k) =ti\nmm′N/summationdisplay\nj=1ei(R′\nj+r′\nl−rl)·k,(2)\nwhereNis the number of unit cells, Rjdenotes the posi-\ntionofthe jth unit cellofthe Bravaislattice, and rlisthe\nposition of the atom lwithin the unit cell. In this case,\nwe sum only over the adjacent unit cells jwhich con-\ntain the atoms l, with the displacementvectormagnitude\ngiven by |R′\nj+r′\nl−rl|=|di|. The lattice displacement\nvector are provided in Table I. The hopping amplitudes\nti\nmm′are initially written in terms of Slater-Koster (SK)Order Distances ( ˚A)\nd1=τB−τA 2.224\nd+\n2=τB′+a+c−τB,d−\n2=τA′−τA 2.244\nd3=a 3.314\nd4=τA+a+c−τB 3.334\nd5=τB′+a+c−τA 3.475\ndR\n6=τB′+2a+c−τB,dL\n6=dR\n6−4uc 4.002\nd+\n7=d−\n2+c,d−\n7=d+\n2−c 4.245\nd8=c 4.376\nTABLE I. Intersite distances. Following Ref. 8, the lattice\nvectors are defined as a=(0,a0,0) andc=(c0,0,0).\nparameters.273\nA. Reference Density Function Band Structure\nIn order to optimize the tight-binding model, we em-\nploy a DFT calculation to generate a reference band\nstructure for phosphorene. We use the supercell method\nwith a plane-wave basis set at a cutoff energy of 500\neV and the projector-augmented wave technique,28,29as\nimplemented in the Vienna ab-initio Simulation Package\n(VASP).30,31We use the hybrid HSE0617,18functional\nfor the exchange-correlation of the electrons. The super-\ncell consists of a unit cell of monolayer black phospho-\nrus with experimental lattice parameters, bond lengths,\nand bond angles32and a vacuum of 15 ˚A. The Brillouin\nzone is sampled over a (9 ×12×1)k-point mesh for a\nself-consistent calculation. The electronic band structure\nalong high-symmetry directions is calculated with a finer\nmesh ofk-points and then projected onto every orbital of\neach atom to resolve the symmetry character of the cor-\nresponding wavefunctions (i.e., their landmnumbers).\nThe band structure obtained in our DFT-HSE06 calcula-\ntions shows that single layer black phosphorus is a direct\nband gap material with a band gap ( Eg) of 1.1 eV, which\nis quite close to the experimentally measured values so\nfar (of 1.0 and 1.55 eV).4,33–35\nB. Optimization of hopping parameters\nOur tight-binding model Hamiltonian has 16 ×16 hop-\nping amplitudes ti\nmm′. Due to symmetry, we only need\nto calculate 58 of these elements. These parameters are\noptimized to reproduce the main characteristics of the\nenergy bands near the main gap, as obtained from DFT-\nHSE06 calculations. The route to approximate the band\nstructure is the following:\n•Step 1: Following Slater and Koster,27we ini-\ntially constructed the tight-binding Hamiltonian\nfor phosphorous 3 s, 3px, 3pyand 3pzorbitals\n(see Eq. ( 1)). Under this scheme, the hopping\namplitudes ti\nmm′are defined at first as a function\nof Slater-Koster parameters ( Vssσ,Vspσ,Vppσand\nVppπ), as described in detail in the Appendix A.\nBy diagonalizing Hmono(k) for this first choice of\nhopping parameters, we obtain the band structure\nof monolayer phosphorene, as shown in Fig. 2, to-\ngether with the band structure for the DFT-HSE06\ncalculations. Unfortunately, it is clear from Fig.\n2that this simple model fails to resolve finer de-\ntails in the band structure, which are important for\nelectronic transport calculations. Although the re-\nsults obtained from the SK parameters are largely\ninaccurate when compared with DFT-HSE06 cal-\nculations, they serve as a useful starting point to\noptimize the tight-binding parameters, using the\nmethod of least squares as described in the next\ntwo steps.\n•Step 2: We then generate several different sets of-2-1012E(eV)DFT\nTB\nM X M Y Γ ΓΓMY\nX\nFIG. 2. (Color online) Comparison between the band struc-\ntures obtained with the DFT-HSE06 (red squares) and with\nSlater-Koster tight-binding model (blue circles)\nparameters ti\nmm′from the initial hopping ampli-\ntudes obtained in step 1. Each of these sets is\ngenerated by adding to the initial hoppings a ran-\ndom amplitude δV, taken from a uniform distribu-\ntion over the interval [ −1,1]meV. Following this,\nwe take here 1000 slightly different parameter sets.\n•Step 3: For each of the new parameter sets, we\nchoose the same number of representative k-points\nand calculate, by diagonalization of Hmono(k), the\ncorresponding band energies En(k), where nis the\nband index. We find the best tight-binding set of\nparameters among the 1000 generated by choos-\ning the set that gives the lowest possible χ2func-\ntion, where χ2is just a sum of weighted squared\nresiduals,36namely,\nχ2=/summationdisplay\ni=C,VN/summationdisplay\nj=1/bracketleftbig\nETB\ni(j)−EDFT\ni(j)/bracketrightbig2\nσ2\nj,(3)\nwherejlabels the kpoints and ilabels the low-\nest conduction (C) and highest valence (V) energy\nbands. To improve the approximation we give a\nlarger weight σj= 1 to points/parenleftbig\nk,En(k)/parenrightbig\nnear the\nΓ point. In addition, we takea largerconcentration\nof points around Γ to reproduce the effective band\nmasses around this high-symmetry point.\n•Step 4: Steps 2 and 3 are iteratively repeated\n(restarting step 2 each time with the best set se-\nlected in step 3) until χ2becomes smaller than\n1 meV2. When this convergence criterion is sat-\nisfied, the optimized tight-binding parameters are\nobtained.\nTableIIpresents the best fitting parameters we ob-\ntained using the the optimization procedure described\nabove.It is important to emphasize that these parame-\nterscorrespondtothe singlelayerblackphosphorus,and,\nalthough they would be modified for other phosphorene\nallotropes,37the same optimization procedure to find the\nbest tight-binding parameters can be applied.4\ni ti\nss ti\nsx ti\nsy ti\nsz ti\nxx ti\nxy ti\nxz ti\nyy ti\nyz ti\nzz\n1 1.402 -0.316 0.247 1.236 2.665 6.083 -1.770\n2 -1.418 -1.173 -0.775 -1.541 -0.841 -5.809 2.170\n3 0.349 -0.100 0.079 0.568 0.042\n4 -0.239 0.300 -0.639 0.599 0.904 1.006 0.753\n5 -0.255 -0.303 -0.246 -0.180 0.328 -0.038 0.166 0.654 0.659 0.096\n6 -0.123 0.259 -0.072 0.100 0.063 0.305 -0.055 -0.206 -0.683 -0.313\n7 -0.221 -0.146 -0.128 0.349 -0.077 -0.018 0.628\n8 0.266 -0.260 -0.588 0.147 -0.037\nTABLE II. Tight-binding model parameters obtained by optim ization. The values are given in units of eV.\nIII. BAND STRUCTURE AND ORBITAL\nCONTRIBUTION\nIn Fig. 3we show a comparison between the band\nstructures for a single-layer phosphorene obtained with\nDFT-HSE06 and that obtained from the optimized tight-\nbinding model described in the previous section. The\noptimized tight-binding model is in good agreement with\nthe DFT-HSE06 results and is quite accurate near the\nminimum of the conductance band and the maximum\nof the valence band (see Fig. 3a). These are the most\nimportant regions of the spectrum as far as electronic\ntransportisconcernedandthereforeaccuracyhereisfun-\ndamental for obtaining realistic predictions for transport\nproperties.\nWe point out that this level of accuracy is missing in\nprevious studies, where simpler tight-binding Hamiltoni-\nanswereemployed; forexample,inmodelsbasedonasin-\ngleporbital.9,12–15,38In those simpler models the bands\nnear the main energy gap have a large discrepancy with\nrespect to the DFT results (the green continuous line in\nFig.3b represents the tight-binding results considering\nonly the pzorbital). For most of these previous stud-\nies, the focus was in describing accurately only the main\nenergy gap of the band structure at the Γ point. In con-\ntrast, our optimized tight-binding model, in addition to\ncapturing the energy gap, is able to describe the bands\nstructure in the Γ →Yand Γ→Xand Γ→Mdi-\nrections with high accuracy, thus allowing us to properly\nstudy the effects of anisotropy on transport properties.\nTight-binding methods employing orbitals sp38,16and\nsp3d539havebeendevelopedincludinguptosecondnear-\nest neighbors. Those studies show a clear deviation with\nrespect to DFT results. A description of the electronic\nstructureofphosphorenesupportedbytheWannierfunc-\ntions formalism has also been performed.40This study\nwas successful in achieving a notable accuracy in the\nband structure of phosphorene, but the computational\ncost would be too heavy for studying electronic trans-\nport, where very large real-space lattices are required.\nIn Fig.4we show a comparison between the main or-\nbital composition obtained from DFT-HSE06 (Fig. 4a)\nand from the optimized tight-binding model (Fig. 4b)\nnear the valence band maximum and the conduction-2-1012E(eV)DFT\nOptimized-TB\nM Y X Γ ΓΓM Y\nX(a)\n(b)\n-0.10E(eV)1.21.4\nDFT\nOptimized-TB\nTB\nkxc0kya0ΓConduction Band\nValence Band\n0.5 0.4\nFIG. 3. (Color online) (a) Comparison between the band\nstructures obtained with DFT-HSE06 (red squares) and with\nthe optimized tight-binding model (blue circles). (b) Zoom\naround the Γ point, showing that the optimized model ac-\ncurately reproduces the valence and conduction bands from\nDFT near the gap region. The green continuous line repre-\nsents the tight-binding results considering only the pzorbital.\nband minimum. Around the Γ point, it can be seen that\nthe main orbital contribution to both bands comes from\nthepzorbitals (about 90%). The orbital contributions\naround the high-symmetry points M,X,Y, and Γ from\nthe optimized tight-binding model show a qualitatively\ncorrect composition of the orbitals for both conduction\nand valence bands when compared with the DFT-HSE06\nresults. In particular, the composition of the conduction5\nband shows non-negligible contributions from s,px,py\nandpzorbitals.\nIV. ANISOTROPY\nFigure5a shows the dispersion of the valence and con-\nduction bands E(k) around the Γ point obtained numer-\nically by diagonalizing the tight-binding Hamiltonian in\nEq. (1). Both bands are clearly anisotropic, as it can be\nseen in the top and bottom contours. One can see that\nthe valence band near the Γ point is flatter along the ky\ndirection than along the kxdirection, implying that the\nhole carriers moving along the zigzag direction are heav-\nier than in the armchair direction. A similar behavior\nis also observed for the dispersion of conduction band.\nStrong anisotropy for both electron and hole carriers was\nobserved experimentally in multilayer phosphorene.5,41\nThe different effective masses of the valence and conduc-\ntion bands along the armchair and zigzag directions is\nconsistent with the in-plane anisotropy reported in sev-\neral transport experiments.6,35,42In this paper we make\nthis connection quantitative.\nThe anisotropy can be further identified directly from\nthe anisotropic effective masses as shown in Fig. 5b.\nFrom our tight-binding band structure we extract the\neffective masses for the electrons and holes through the\nexpression m∗=/planckover2pi12/parenleftBig\n∂2E\n∂k2/parenrightBig−1\n. The resulting effective\nmassesatΓ point alongthe armchairdirection are m∗v\nac=\n−0.1678meandm∗c\nac= 0.1990mefor holes and elec-\ntrons respectively. Here, meis the free electron mass.\nThe effective masses along the zigzag direction are much\nheavier than armchair direction: m∗v\nzz=−5.3525meand\nm∗c\nzz= 0.7527mefor holes and electrons respectively.\nThese values are also in close agreement with other DFT\ncalculations.33We note that the single-orbital ( pz) tight-\nbinding method (see green line in in Fig. 2c) cannot ac-\ncurately capture this effective mass anisotropy.\nV. ELECTRONIC PROPERTIES APPROACH:\nANISOTROPIC RESISTIVITY\nA. Hamiltonian in real space\nNumerical studies of electronic transport in 2D ma-\nterials have to strike a compromise between the model\ncomplexity and the length scales that can be investi-\ngated. Complex models requiring many basis states per\nunit cell can only be used to investigate small systems,\nwhere the diffusive regime common to experiments can-\nnot be probed. Because of their relative simplicity and\nsmall basis state sets, the use of heuristic tight-binding\nmodels has grown in interest in the last decade.13,39Very\nlarge systems can be studied with these models, some-\ntimes involving over a billion atoms,43in contrast to ab\ninitioapproaches. When the length scales associated tocharge carrier scattering involve more than a few lat-\ntice spacings, tight-binding models are the only practical\nchoice. We study transport properties of phosphorene\nstarting from our optimized tight-binding Hamiltonian\nink-space, Eq. ( 1). The real-space tight-binding Hamil-\ntonian used in the numerical calculations, denoted by\nHincludes nearest-neighbor hopping terms (within the\nsame unit cell), as well as next-to-nearest-neighbor ones\n(between adjacent cells), as discussed in Sec. II. Using\nsecond quantization, the real-space Hamiltonian can be\nwritten as\nH=N/summationdisplay\ni=1/summationdisplay\nα\nεi\nαci†\nαci\nα+/summationdisplay\nj/summationdisplay\nβtj\nα,βci†\nαcj\nβ\n+H.c.,\n(4)\nwhereiruns over the Nlattice sites, jruns over the\neight neighboring sites of iandαandβrun over sand\nporbitals. Here, εi\ns=−17.10 eV and εi\np=−8.33 eV\nare the energy levels of 3 sand 3porbitals of phosphorus,\nrespectively.44tj\nα,βis the hopping integral between the\nith and its jth neighbor, and ci\nα(ci†\nα) is the annihilation\n(creation) operator of electrons at orbital αon the site i.\nThe different hoppingand on-siteterms can be visualized\nin Fig.1b.\nAlthough we usea relativelysimple Hamiltonian tode-\nscribephosphorene,it notonlycapturesthephysicsqual-\nitatively well, but is also quantitatively approximately\ncorrect. This is because, in the absence of disorder, both\nthe energy bands and the wavefunctions near the main\ngapcloselyresemblethosecalculated froman accurate ab\ninitiotheory. Nevertheless, we emphasize that the choice\nof tight-binding parameters is not unique and not yet\nfully settled, with several different parameter sets pro-\nposed in the literature.13,39\nB. Transport calculations\nOur calculations of the two-terminal linear conduc-\ntance follow the well-established Caroli formula,45\nT(E) = Tr[Γ pGrΓqGa], (5)\nwhich relates the transmission probability (transmit-\ntance)T(E) at a fixed carrier energy Eto the Green’s\nfunctions GrandGa= (Gr)†of the sample when cou-\npled to source (p) and drain (q) contacts (represented\nby shadow areas in in Fig. 6). The trace indicates a\nsum over all transverse channels (or, equivalently, over\nall atomic sites at the sample-electrode contact region).\nThe matrices Γ p,qrepresent the imaginary part of the\nself-energy due to the coupling to the electrodes, Γ p(q)=\ni/bracketleftbig\nΣp(q)−Σ†\np(q)/bracketrightbig\n. The Green’s functions are obtained by a\nrecursive technique where the sample is split into atomic\ntransverse slices.46We assume that the electrodes are\nidentical semi-infinite phosphorene strips with no disor-\nder; the strip Green’s function, which is a fundamental6\n050100 Orbital Projected (%)050100\nspxpypz\nM Y X Γ ΓΓM Y\nXConduction Band\nValence Band(a)\n050100 Orbital Projected (%)050100\nM Y X Γ ΓΓM Y\nXConduction Band\nValence Band(b)\nFIG. 4. (Color online) (a) Orbital-projected band structur e obtained with DFT-HSE06. (b) Orbital-projected band stru cture\nobtained with the optimized tight-binding model. The contr ibution of each orbital is shown by color: s(green), px(blue),\npy(black) and pz(red).\nkykxE\n Γ \n-6-4-202m*/meArmchairZigzag\nmc\nmvmc\nmzz\nzzac\nacv\n**\n*\n*(a)\n(b)\nFIG. 5. (a) Three-dimensional contour plot of the va-\nlence and conduction bands around the Γ point. (b) Effective\nmasses alongarmchair and zigzag directions. m∗c(in red)and\nm∗v(in black) are the effective masses for the conduction and\nvalence bands, respectively, makingevident the anisotrop y for\nboth bands.\ningredient in the recursive technique, is obtained numer-\nically using a standard decimation method.47.\nA schematic representation of the system contact-\nsample-contactisshownin Fig. 6, whereLandWarethe\nlength and the width of the phosphorene sample consid-\nered.MandNindicated in the figure are the number of\nunit cells in armchair and zigzag directions, respectively.\nTherefore, for transport along the armchair direction, as\nis the caserepresentedin Fig. 6,L=Mc0andW=Na0.\nIf the transport is calculate along the zigzag direction,\nthenL=Na0andW=Mc0.\nWithin the Landauer-B¨ uttiker formalism, the linear\nFIG. 6. (Color online)Schematic representation ofaphosph o-\nrene sample of length Land width W, and the corresponding\nnumber ( MandN) of unit cells in the armchair and zigzag\ndirections. The shadow areas represent the left (p) and righ t\n(q) semi-infinite contacts.\nconductance G(E) at a given energy Eis directly related\nto the transmission function T(E) between the contacts\nas\nG(E) =G0T(E), (6)\nwhereG0= 2e2/h. The linear resistance follows straight-\nforwardly from R= 1/G=R0/T, whereR0= 1/G0=\n12.5 KΩ. The resistivity is obtained as usual, namely,\nρ=RW/L.\nIn experiments, it is the carrier density nrather than\nthe carrier energy Ethat can be controlled by a back\ngate. Thus, in order to explore how the resistivity ρ\nbehavesasafunctionofdisorderstrength,weperformthe\ncalculations at fixed values of n. For a given realization\nof disorder, the latter is obtained through the relation\nn(E) =1\nA/integraldisplayE\nE0dE′ν(E′), (7)\nwhereA=W Lis the sheet area and E0is a reference\nenergy (either the top of the valence band of the bottom\nof the conductance band). Note that in the conduction7\nband,E > E 0and therefore the integral is over positive\nenergies (electrons), while in the valence band, E < E 0\nand the integral is over negative energies (holes). νis the\nglobal density of states, which can be readily obtained\nfrom the energy dependence of the scattering matrix S,\nν(E) =−i\n2πTr/parenleftbigg\nS†∂S\n∂E/parenrightbigg\n. (8)\nThe scattering matrix Sis evaluated in terms of the re-\ntarded Green’s functions Gr,46,48\nSab(E) =−δab+i/planckover2pi1√vavb\na0/summationdisplay\ni/summationdisplay\njχ∗\na(i)Gr(i,j)χb(j),\n(9)\nwhere i and j run over the sites at the contacts p and\nq, where the propagating channels aandbare defined,\nrespectively. Here a0is the lattice constant and va,band\nχa,bare, respectively, the longitudinal propagationveloc-\nity and the transverse wavefunction in the propagating\nchannel. We obtain va,bandχa,bfrom the eigenvalues\nand eigenfunctions of the Γ p(q)matrices,\nΓp(q)(i,j) =/summationdisplay\naχa(i)/planckover2pi1va\na0χ∗\na(j). (10)\nC. Disorder effects over the anisotropy\nWe studied the disorder effects by using the optimized\ntight-binding method previously described, which allows\nfor very efficient large-scale calculations of linear trans-\nport properties. To model disorder, a superposition of\nGaussian potential fluctuations is added to the Hamilto-\nnian in Eq. ( 4) as a diagonal term,49\nU(ri) =Nimp/summationdisplay\nk=1Uke−|ri−Rk|2/2ξ2, (11)\nwhereridenotes a lattice site. The NimpGaussian\nscatterers have a width ξ, are located at random sites\n{Rk}k=1,Nimpdrawn uniformly and have amplitudes\n{Uk}k=1,Nimptaken from a flat distribution in the inter-\nval [−δU/2,δU/2]. Letnimp=Nimp/Ndenote the den-\nsity of scatterers. Motivated by the two prevailing scat-\ntering mechanisms in phosphorene transistors, we con-\nsider two extreme cases: (i) dense disorder ( nimp= 1%)\nwith low amplitude of the Gaussian potential fluctua-\ntions (0.03/lessorequalslantδU/lessorequalslant0.14 eV); and (ii) dilute disorder\n(nimp= 0.1%), with higher amplitudes of the Gaus-\nsian potential (0 .2/lessorequalslantδU/lessorequalslant2 eV). Case (i) models\ncontaminants such as water, which attach to phospho-\nrene by weak van der Waals interactions (therefore the\nlow amplitudes). Case (ii) models background potential\ninhomogeneities like those caused by screened charges\nin the substrate. Notice that although the Gaussian\npotential we consider is short-range on the system-sizescale (correlation-length ξ= 1.5a0), it varies smoothly\non the atomic scale, corresponding to an effective disor-\nder which mimics the effect of screened charges from the\nsubstrate.46,49\nIn Fig.7we show the average resistance as a function\nof length of the system for the disorder case (i). Differ-\nent panels correspond to the resistance along armchair\nor zigzag directions, for conduction and valence bands,\nas indicated. In each one, we show curves for different\ndisorder potential amplitude δU. The range of the Gaus-\nsianpotentialconsideredis ξ= 1.5a0forall ofthem. The\naverageis computed over500disorderconfigurationsand\nfor a carrier density n= 3×1012cm2, which brings the\nFermi energy close to the bottom (top) of the conduction\n(valence) band. We present similar data for the disorder\ncase (ii) in Fig. 8\nAt zero temperature, the resistance strongly fluctuates\nfrom one realization to another, which is typical for a\ncoherent quasi-one-dimensional system. However, it is\nclear from Figs. 7and8that the linear behavior, which\nis characteristicfrom a diffusive regime, is kept for longer\nlengths for the armchair direction than in the zigzag di-\nrection, particularly when the amplitude δUof the dis-\norder potential is increased. For longer lengths, the aver-\nage resistance increases much more rapidly with length,\nmarking the onset of strong localization. For both the\nconduction and valence regions, we have found that a\nstrong localization regime sets in with increasing L, with\nan exponential increase of the resistance.\nIn the diffusive regime, we can extract the resistivity\nρfor different disorder amplitudes δUfrom the linear\nfittings indicated in Figs. 7and8. The inset in each\ngraph shows the resistivity as function of δU, where we\nfind a very good match to a quadratic dependence for all\ncases. Theresultingquadraticfittingfortheresistivityas\nafunctionof δUisindicatedinthetoprightofeachgraph\nin Figs. 7and8.ρZZandρACindicates the resistivity\nalong the zigzag and armchair directions, respectively.\nUsing classical kinetic transport theory, the resistivity\nρcan be related to the effective masses m∗and the mean\nscattering time τthrough: ρ=m∗/τnq2, wherenand\nqare the density and the charge of the carriers, respec-\ntively. Even though an expression for τis not exactly\nknow, it can be estimated in perturbation theory to be\ninversely proportional to δU2. Thus, the quadratic de-\npendence on δUwe observe in the data can be attributed\ntoτ.\nIt is reasonable to expect the resistivity to be\nanisotropic, considering the anisotropy in the effective\nmasses. If the classical kinetic transport theory is ap-\nplicable here, we would expect ρZZ/ρAC=m∗\nZZ/m∗\nAC.\nIn Table IIIwe summarize our results for the ratios of\nresistivities ρZZ/ρACfor the two disorder cases analyzed\nand also for two sample widths: a thinner one, with 60\nunit cells in width and a larger one, with 150 (where\nall other parameters are kept constant). These results\nshouldbecomparedwiththeratiosofeffectivemassesob-\ntained from the ordered phosphorene system band struc-8\n0 50 100 150 200\nL/c000.51R/R0δU=0.06\nδU=0.08\nδU=0.1\nδU=0.12\nδU=0.140 0.1500.004ρAC=0.152 δU2ρ\nδU(eV)\n0 50 100 150 200\nL/a00246\nδU=0.06\nδU=0.08\nδU=0.1\nδU=0.12\nδ=0.140 0.1400.02ρZZ=0.986 δU2ρ\nδU(eV)\n0 50 100 150 200\nL/c000.51\nδU=0.06\nδU=0.08\nδU=0.1\nδU=0.12\nδU=0.140 0.1400.0036ρAC=0.185 δU2ρ\nδU(eV)\n0 50 100 150 200\nL/a002468\nδU=0.03\nδU=0.04\nδU=0.05\nδU=0.06\nδU=0.070 0.0800.03ρZZ=5.72 δU2ρ\nδU(eV)Conduction Band\nArmchair ZigzagValence Band\nArmchair Zigzag (a) (b) (c) (d)\nFIG. 7. (Color online) Average resistance as a function of le ngthLfor disorder case (i), corresponding to a dense concentrati on\nof scatterers ( nimp= 1%), with low disorder amplitudes δU. Continuous lines are linear fittings used to extract the res istivity in\nthe diffusive regime of the data for each curve. Insets: Resis tivity as a function of disorder amplitudes δU, showing a quadratic\ndependence.\n0 50 100\nL/c000.511.522.533.5R/R0δU=0.2\nδU=0.5\nδU=0.6\nδU=0.75\nδU=0.90 100.022ρAC=0.023 δU2ρ\nδU(eV)\n0 50 100\nL/a0048121620\nδU=0.2\nδU=0.5\nδU=0.75\nδU=1\nδU=1.50 1.600.25ρZZ=0.087 δU2ρ\nδU(eV)\n0 50 100\nL/c000.51\nδU=0.2\nδU=0.5\nδU=0.75\nδU=1\nδU=1.50 1.600.035ρAC=0.013 δU2ρ\nδU(eV)\n0 50 100\nL/a004812\nδU=0.2\nδU=0.35\nδU=0.5\nδU=0.65\nδU=0.850 100.2ρZZ=0.207 δU2ρ\nδU(eV)Conduction Band\nArmchair ZigzagValence Band\nArmchair Zigzag (a) (b) (c) (d)\nFIG. 8. (Color online) (Color online) Average resistance as a function of length Lfor disorder case (ii), corresponding to a\ndilute concentration of scatterers ( nimp= 0.1%), with high disorder amplitudes δU. Continuous lines are linear fittings used to\nextract the resistivity in the diffusive regime of the data fo r each curve. Insets: Resistivity as a function of disorder a mplitudes\nδU, showing a quadratic dependence.\nture (see Sec. IV):m∗\nZZ/m∗\nAC= 6.6 for the conduction\nandm∗\nZZ/m∗\nAC= 39.4 for the valence band. Our inten-\ntion is to observe how different densities and amplitudes\nof disorder change anisotropy. First of all, we observe\nfrom the results in Table IIIthat increasing the width\nof the phosphorene sample considered from 60 to 150\nunit cells does not change considerably the resistivity ra-\ntios, which means that we do not have system size effects\nmasking our results here.\nComparing the two disorder cases considered here,\nwe can conclude from the resistivity ratios in Table III\nthat the higher amplitudes of the disorder in the second\ncase (even considering the 10 times lower concentration\nof scatterers) cause stronger impact in diminishing the\nanisotropy when compared to the first case. Neverthe-\nless, in both cases the anisotropy is still evident and inratios that would be experimentally detected.\nIt is helpful to analyze the results in light of the prod-\nuctδU2×nimp, consideringthatintheBoltzmanntrans-\nport, mobility depends on this product.46,49In our calcu-\nlations, the impurity density nimpis kept fixed for each\ndisorder case, while δUis varied. For the case with dense\ndisorder and low amplitude (shown in Fig. 7), the value\nof the product was mostly higher than for the case with\ndilute disorder and high amplitude (show in Fig. 8):δU2\n×nimpvaries in the interval [9 ×10−7,2×10−4]eV2for\nthe former and in the interval [4 ×10−5,4×10−3]eV2\nfor the latter. This is consistent with the results sum-\nmarized in Table III, where one can observe the stronger\nsuppression of the anisotropy for the disorder with the\nhigher value of the product δU2×nimp. Interestingly,\ncases with different disorder type but with the same δU29\n×nimpproduct values, present the same resistance val-\nues in Figs. 7and8, confirming the universality related\nto this product.\nOrdered Dense disorder Dilute disorder\nSystem Low amplitude High amplitude\nm∗\nZZ/m∗\nACρZZ/ρAC ρZZ/ρAC\nThinner sample\nConduction 6.6 6.5±0.1 3.8±0.1\nValence 39.4 31 ±1 16 ±1\nLarger sample\nConduction 6.6 6.6±0.1 4.1±0.1\nValence 39.4 32 ±1 18 ±1\nTABLE III. Ratio between the resistivity along the zigzag\nand armchair directions ρzz/ρacfor dilute and dense disor-\nder cases. Effective mass ratios are m∗\nZZ/m∗\nAC= 6.6 and\nm∗\nZZ/m∗\nAC= 39.4 for conduction and valence bands, respec-\ntively.\nVI. SUMMARY AND CONCLUSION\nWe have developed a tight-binding model for mono-\nlayer phosphorene that accurately describes both con-\nduction and valence band dispersions near the Gamma\npoint and approximateswell the band compositions. The\nadditional accuracy came at the expense of introducing\nsin addition to porbitals, as well as hopping amplitudes\ninvolving eight neighbors in total.\nWeoptimized themodelparametersbyusingasbench-\nmark the electronic structure obtained by density func-\ntional theory calculation based on the HSE06 exchange-\ncorrelation functional. An excellent match between ef-\nfective masses near the main band gap and along major\nsymmetry directions was obtained.\nUsing the optimized tight-binding model and a recur-\nsive Green’s function technique, we computed the resis-\ntivity in the presence of disorder for two relevant sit-\nuations, which mimic two commons types of disorder\nin phosphorene: (i) weakly bonded adsorbates (simu-\nlated by a dense concentration of scatterers, with low\namplitudes of the Gaussian potential fluctuations), and\n(ii) screened charge traps in the substrate (simulated\nby a dilute concentration of Gaussian correlated disor-\nder, with higher amplitudes), We found that the band\nmass anisotropy is strongly manifest in the resistivity for\nthe first disorder case, where the ratio of the resistiv-\nity along zigzag and armchair directions matches quite\nclosely the ratio for the corresponding effective masses.\nThe anisotropy is weaker, but still robust, in the second\ndisordercase. Thus,weconcludethatthemostprevailing\ntypesofdisorderlikelytobefoundinmonolayerphospho-\nrene should not wash away the intrinsic band structure\nanisotropy of this material. Transport experiments per-\nformed with thick films of black phosphorus (which is amultilayer phosphorene), have already demonstrated in-\ntrinsicanisotropy.4,7,50,51Basedonour results, we expect\na similar behavior for monolayer systems.\nACKNOWLEDGMENTS\nCJP and ALCP acknowledge financial support from\nFAPESP through Grant 2015/12974-5. Part of the nu-\nmericalsimulationswereperformed at the computational\nfacilities at CENAPAD-SP, UNICAMP and UCF Ad-\nvanced Research Computing Center. DFT calculations\nare supported in part by the DOE grant DE-FG02-\n07ER46354.\nAppendix A: Slater-Koster\nWe develop an effective tight-binding model based on\nthe LCAOmethod16and use DFT calculationsasthe ba-\nsis for adjusting the model parameters. We begin with a\nsimplifiedLCAOmodel. Thehoppingamplitudesdepend\nof the transfer integral between two adjacent atoms. The\ntransfer integrals are given by Vll′m(d) =ηll′/planckover2pi12/med2,\nwheredis the inter-atomic distance, meis the electron\nrest mass, landl′are the orbital azimuthal quantum\nnumbers ( s,p) of two atoms and mis the common or-\nbital magnetic quantum number ( σ,π).ηll′mis a di-\nmensionless quantity that depends on the crystal struc-\nture. For the simplified model, the parameters employed\nare:ηssσ=−1.40,ηspσ= 1.84,ηppσ= 3.24, and\nηppπ=−0.81.44\nWhenexpressedinmomentumspace,thetight-binding\nHamiltonian is a 16 ×16 matrix, (see Eq. ( 1)). Here the\nelements Tirepresent 4 ×4 matrices. The T0matrix on\nthe diagonal expresses the energies of the four atomic\nsites:\nT0=\nεs0 0 0\n0εp0 0\n0 0εp0\n0 0 0 εp\n (A1)\nHere,εs= -17.10 eV and εp= -8.33 eV represent the\nenergy levels of the 3 sand 3porbitals of phosphorus,\nrespectively. The nearest and next-nearest neighbor cou-\npling between atoms are represented by T1toT8respec-\ntively:\nT1=\nt(1)\nssg+\n1t(1)\nsxg+\n1t(1)\nsyg−\n10\n−t(1)\nsxg+\n1t(1)\nxxg+\n1t(1)\nxyg−\n10\n−t(1)\nsyg−\n1t(1)\nxyg−\n1t(1)\nyyg+\n10\n0 0 0 t(1)\nzzg+\n1\n,(A2)\nwith\ng±\n1(k) =eid1·k(1±e−a·k); (A3)10\nT±\n2=\nt(2)\nssg±\n2±t(2)\nsxg±\n20t(2)\nszg±\n2\n∓t(2)\nsxg±\n2t(2)\nxxg±\n20±t(2)\nxzg±\n2\n0 0 2t(2)\nyyg±\n20\n−t(2)\nszg±\n2±t(2)\nxzg±\n20t(2)\nzzg±\n2\n,(A4)\nwith\ng±\n2(k) =eid±\n2·k; (A5)\nT3=\nt(3)\nssg+\n30t(3)\nsyg−\n30\n0t(3)\nxxg+\n30 0\n−t(3)\nsyg−\n30t(3)\nyyg+\n30\n0 0 0 t(3)\nzzg+\n3\n,(A6)\nwith\ng±\n3(k) =eid3·k±e−id3·k; (A7)\nT4=\nt(4)\nssg+\n4t(4)\nsxg+\n4t(4)\nsyg−\n40\n−t(4)\nsxg+\n4t(4)\nxxg+\n4t(4)\nxyg−\n40\n−t(4)\nsyg−\n4t(4)\nxyg−\n4t(4)\nyyg+\n40\n0 0 0 t(4)\nzzg+\n4\n,(A8)\nwith\ng±\n4(k) =eid4·k(1±e−ia·k); (A9)\nT5=\nt(5)\nssg+++\n5t(5)\nsxg+−+\n5t(5)\nsyg−+−\n5t(5)\nszg+++\n5\n−t(5)\nsxg+−+\n5t(5)\nxxg+++\n5t(5)\nxyg−−−\n5t(5)\nxzg+−+\n5\n−t(5)\nsyg−+−\n5t(5)\nxyg−−−\n5t(5)\nyyg+++\n5t(5)\nyzg−+−\n5\n−t(5)\nszg+++\n5t(5)\nxzg+−+\n5t(5)\nyzg−+−\n5t(5)\nzzg+++\n5\n,\n(A10)\nwith\ng+++\n5(k) =eid5·k[1+e−ia·k+e−ic·k(1+e−ia·k)]\ng+−+\n5(k) =eid5·k[1+e−ia·k−e−ic·k(1+e−ia·k)]\ng−+−\n5(k) =eid5·k[1−e−ia·k+e−ic·k(1−e−ia·k)]\ng−−−\n5(k) =eid5·k[1−e−ia·k−e−ic·k(1−e−ia·k)];\n(A11)\nTR\n6=\nt(6)\nssg+\n6Rt(6)\nsxg+\n6Rt(6)\nsyg−\n6Rt(6)\nszg+\n6R\n−t(6)\nsxg+\n6Rt(6)\nxxg+\n6Rt(6)\nxyg−\n6Rt(6)\nxzg+\n6R\n−t(6)\nsyg−\n6Rt(6)\nxyg−\n6Rt(6)\nyyg+\n6Rt(6)\nyzg−\n6R\n−t(6)\nszg+\n6Rt(6)\nxzg+\n6Rt(6)\nyzg+\n6Rt(6)\nzzg+\n6R\n,(A12)\nwith\ng±\n6R(k) =eid6R·k(1±e−i2a·k); (A13)TL\n6=\nt(6)\nssg+\n6L−t(6)\nsxg+\n6Lt(6)\nsyg−\n6Lt(6)\nszg+\n6L\nt(6)\nsxg+\n6Lt(6)\nxxg+\n6L−t(6)\nxyg−\n6L−t(6)\nxzg+\n6L\n−t(6)\nsyg−\n6L−t(6)\nxyg−\n6Lt(6)\nyyg+\n6Lt(6)\nyzg−\n6L\n−t(6)\nszg+\n6L−t(6)\nxzg+\n6Lt(6)\nyzg+\n6Lt(6)\nzzg+\n6L\n,\n(A14)\nwith\ng±\n6L(k) =eid6L·k(1±e−i2a·k); (A15)\nT±\n7=\nt(7)\nssg±\n7±t(7)\nsxg±\n70t(7)\nszg±\n7\n∓t(7)\nsxg−\n7t(7)\nxxg±\n70±t(7)\nxzg±\n7\n0 0 t(7)\nyyg±\n70\n−t(7)\nszg±\n7±t(7)\nxzg±\n70t(7)\nzzg±\n7\n,(A16)\nwitj\ng±\n7(k) =eid±\n7·k; (A17)\nT8=\nt(8)\nssg+\n8t(8)\nsxg−\n80 0\n−t(8)\nsxg−\n8t(8)\nxxg+\n80 0\n0 0 t(8)\nyyg+\n80\n0 0 0 t(8)\nzzg+\n8\n,(A18)\nwith\ng±\n8(k) =eid8·k±e−id8·k. (A19)\nIn those relations, ti\nss=Vssσ(di),ti\nαβ=\n(dα\nidβ\ni/(di)2)Vppσ(di) + (δαβ−dα\nidβ\ni/(di)2)Vppπ(di)\nandti\nsα= (dα\ni/di)Vspσ(di), where di= (dx\ni,dy\ni,dz\ni) and\ndi=|di|. The indices run as follows: i= 1,...,8 and\nα,β=x,y,z. The phase factors giare defined as function\nof the distances and the wave number k.\nThese definitions are similar to those used in the previ-\nous models in the literaturel,16with the addition of new\ninteratomic matrix elements T3,T4,T5,T6,T7, andT8.\nThe reason for introducing these new parameters is that\nthe two interatomic matrix elements T1andT2provided\nbytheSlater-Kostercoefficientsarenotsufficienttoaccu-\nrately describe the band structure of phosphorne. These\nmust be modified in order to provide an accurate repre-\nsentation of the band gap. By diagonalizing H, the band\ndispersion of monolayer phosphorus can be obtained, as\nshown in Fig. 2.\n1A. H. Castro Neto, F. Guinea, N. M. R. Peres, K. S.\nNovoselov, and A. K. Geim, Rev. Mod. Phys. 81, 109(2009).11\n2W. Xie and Z. Li, Solid State Communications 225, 22\n(2016).\n3L. Li, Y. Yu, G. J. Ye, Q. Ge, X. Ou, H. Wu, D. Feng,\nX. H. Chen, and Y. Zhang, Nat Nano 9, 372 (2014) .\n4H. Liu, A. T. Neal, Z. Zhu, Z. Luo, X. Xu, D. Tom´ anek,\nand P. D. Ye, ACS Nano 8, 4033 (2014) .\n5A. Mishchenko, Y. Cao, G. L. Yu, C. R. Woods, R. V. Gor-\nbachev, K. S. Novoselov, A. K. Geim, and L. S. Levitov,\nNano Letters 15, 6991 (2015) .\n6F. Xia, H. Wang, and Y. Jia, Nat Commun 5, 4458 (2014) .\n7Y. Liu, T. Low, and P. P. Ruden, Phys. Rev. B 93, 165402\n(2016).\n8Y. Takao, H.Asahina, andA. Morita, Journal of thePhys-\nical Society of Japan 50, 3362 (1981) .\n9A. S. Rodin, A. Carvalho, and A. H. Castro Neto, Phys.\nRev. Lett. 112, 176801 (2014) .\n10T. Low, A. S. Rodin, A. Carvalho, Y. Jiang, H. Wang,\nF. Xia, and A. H. Castro Neto, Phys. Rev. B 90, 075434\n(2014).\n11J. Paier, M. Marsman, K. Hummer, G. Kresse, I. C. Ger-\nber, and J. G. ngyn, The Journal of Chemical Physics\n124, 154709 (2006) .\n12A. N. Rudenko, S. Yuan, and M. I. Katsnelson, Phys. Rev.\nB92, 085419 (2015) .\n13S. Yuan, A. N. Rudenko, and M. I. Katsnelson, Phys. Rev.\nB91, 115436 (2015) .\n14E. Taghizadeh Sisakht, M. H. Zare, and F. Fazileh, Phys.\nRev. B91, 085409 (2015) .\n15Z. S. Popovi´ c, J. M. Kurdestany, and S. Satpathy, Phys.\nRev. B92, 035135 (2015) .\n16T. Osada, Journal of the Physical Society of Japan 84,\n013703 (2015) .\n17J. Heyd, G. E. Scuseria, and M. Ernzerhof, The Journal\nof Chemical Physics 118, 8207 (2003) .\n18J. Heyd, G. E. Scuseria, and M. Ernzerhof, The Journal\nof Chemical Physics 124, 219906 (2006) .\n19J. D. Wood, S. A. Wells, D. Jariwala, K.-S. Chen, E. Cho,\nV. K. Sangwan, X. Liu, L. J. Lauhon, T. J. Marks, and\nM. C. Hersam, Nano Letters 14, 6964 (2014) .\n20J. O. Island, G. A. Steele, H. S. J. van der Zant, and\nA. Castellanos-Gomez, 2D Materials 2, 011002 (2015) .\n21R. A. Doganov, E. C. T. O/’Farrell, S. P. Koenig, Y. Yeo,\nA. Ziletti, A. Carvalho, D. K. Campbell, D. F. Coker,\nK. Watanabe, T. Taniguchi, A. H. C. Neto, and B. Ozy-\nilmaz,Nat Commun 6(2015).\n22W. Hu and J. Yang, The Journal of Physical Chemistry C\n119, 20474 (2015) .\n23Y. Liu, F. Xu, Z. Zhang, E. S. Penev, and B. I. Yakobson,\nNano Letters 14, 6782 (2014) .\n24A. Ziletti, A. Carvalho, D. K. Campbell, D. F. Coker, and\nA. H. Castro Neto, Phys. Rev. Lett. 114, 046801 (2015) .\n25V. V. Kulish, O. I. Malyi, C. Persson, and P. Wu, Phys.Chem. Chem. Phys. 17, 992 (2015) .\n26P. Li and I. Appelbaum, Phys. Rev. B 90, 115439 (2014) .\n27J. C. Slater and G. F. Koster, Phys. Rev. 94, 1498 (1954) .\n28P. E. Bl¨ ochl, Phys. Rev. B 50, 17953 (1994) .\n29G. Kresse and D. Joubert, Phys. Rev. B 59, 1758 (1999) .\n30G. Kresse and J. Furthm¨ uller, Phys. Rev. B 54, 11169\n(1996).\n31G. Kresse and J. Hafner, Phys. Rev. B 47, 558 (1993) .\n32A. Brown and S. Rundqvist, Acta Crystallographica 19,\n684 (1965) .\n33J. Qiao, X. Kong, Z.-X. Hu, F. Yang, and W. Ji, Nat\nCommun 5, 4475 (2014) .\n34S.Das, W.Zhang, M.Demarteau, A.Hoffmann, M.Dubey,\nand A. Roelofs, Nano Letters 14, 5733 (2014) .\n35X. Wang, A. M. Jones, K. L. Seyler, V. Tran, Y. Jia,\nH. Zhao, H. Wang, L. Yang, X. Xu, and F. Xia, Nat\nNano10, 517 (2015) .\n36E. Ridolfi, D. Le, T. S. Rahman, E. R. Mucciolo, and\nC. H. Lewenkopf, Journal of Physics: Condensed Matter\n27, 365501 (2015) .\n37Z. Zhu and D. Tom´ anek, Phys. Rev. Lett. 112, 176802\n(2014).\n38A. N. Rudenko and M. I. Katsnelson, Phys. Rev. B 89,\n201408 (2014) .\n39J. Lee, J. Seo, J. H. Oh, and M. Shin, Nanotechnology\n27, 245202 (2016) .\n40J. Chang and C. Hobbs, Applied Physics Letters 106,\n083509 (2015) .\n41S. R. Suryawanshi, M. A. More, and D. J. Late, Journal\nof Vacuum Science Technology B 34, 041803 (2016) .\n42R. Fei and L. Yang, Nano Letters 14, 2884 (2014) .\n43A. Ferreira and E. R. Mucciolo, Phys. Rev. Lett. 115,\n106601 (2015) .\n44W. A. Harrison, Electronic Structure and the Properties of\nSolids: The Physics of the Chemical Bond , Dover ed. (New\nYork, 1989).\n45C. Caroli, R. Combescot, D. Lederer, P. Nozieres, and\nD. Saint-James, Journal of Physics C: Solid State Physics\n4, 2598 (1971) .\n46C. H. Lewenkopf and E. R. Mucciolo, Journal of Compu-\ntational Electronics 12, 203 (2013) .\n47M. P. L. Sancho, J. M. L. Sancho, J. M. L. Sancho, and\nJ. Rubio, Journal of Physics F: Metal Physics 15, 851\n(1985).\n48P. A.Lee and D.S. Fisher, Phys.Rev.Lett. 47, 882(1981) .\n49A. Rycerz, J. Tworzydo, and C. W. J. Beenakker, EPL\n(Europhysics Letters) 79, 57003 (2007) .\n50S. Narita, Y. Akahama, Y. Tsukiyama, K. Muro, S. Mori,\nS. Endo, M. Taniguchi, M. Seki, S. Suga, A. Mikuni, and\nH. Kanzaki, Physica B+C 117, 422 (1983) .\n51Y. Akahama, S. Endo, and S. ichiro Narita, Journal of the\nPhysical Society of Japan 52, 2148 (1983) ." }, { "title": "0808.3687v1.The_quantum_critical_point_in_CeRhIn_5__a_resistivity_study.pdf", "content": "Typeset with jpsj2.cls Full Paper\nThe quantum critical point in CeRhIn 5: a resistivity study\nGeorg Knebel\u0003, Dai Aoki , Jean-Pascal Brison , and Jacques Flouquet\nCommissariat \u0012 a l' \u0013Energie Atomic, INAC, SPSMS, 17 rue des Martyrs, 38054 Grenoble, France\nThe pressure{temperature phase diagram of CeRhIn 5has been studied under high magnetic\n\feld by resistivity measurements. Clear signatures of a quantum critical point has been found\nat a critical pressure of pc\u00192:5 GPa. The \feld induced magnetic state in the superconducting\nstate is stable up to the highest \feld. At pcthe antiferromagnetic ground-state under high\nmagnetic \feld collapses very rapidly. Clear signatures of pcare the strong enhancement of the\nresistivity in the normal state and of the inelastic scattering term. No clear T2temperature\ndependence could be found for pressures above Tc. From the analysis of the upper critical \feld\nwithin a strong coupling model we present the pressure dependence of the coupling parameter\n\u0015and the gyromagnetic ratio g. No signatures of a spatially modulated order parameter could\nbe evidenced. A detailed comparison with the magnetic \feld{temperature phase diagram of\nCeCoIn 5is given. The comparison between CeRhIn 5and CeCoIn 5points out the importance\nto take into account the \feld dependence of the e\u000bective mass in the calculation of the su-\nperconducting upper critical \feld Hc2. It suggests also that when the magnetic critical \feld\nHM(0) becomes lower than Hc2(0), the persistence of a superconducting pseudo-gap may stick\nthe antiferromagnetism to Hc2(0).\nKEYWORDS: CeRhIn 5, heavy fermion superconductor, quantum critical point, upper critical \feld\n1. Introduction\nThe interplay of long range magnetic order and super-\nconductivity is one of the central questions in the physics\nof heavy fermion systems. Usually small amounts of mag-\nnetic impurities lead to suppress the superconducting\nstate in conventional superconductors, while in several\nheavy fermion compounds it is found that superconduc-\ntivity (SC) appears just close to a quantum phase tran-\nsition or can even coexist with magnetic order.1,2)It is\ngenerally believed that quantum \ructuations are respon-\nsible for the attractive interaction to form Cooper pairs.\nBoth scenarios, magnetic \ructuations close to a quantum\ncritical point (QCP) where long range magnetic order\nis suppressed,3)as well as density \ructuations due to a\nvalence transition can lead to an attractive interaction\nto form Cooper pairs.4)Close to such a quantum phase\ntransition the normal state properties show strong devi-\nations from the usual Fermi liquid behavior of a metal at\nlow temperature, notably the resistivity deviates strongly\nfrom theT2temperature dependence and the speci\fc\nheat divided by temperature \r=C=T increases to low\ntemperatures.5)\nThe heavy fermion family Ce MIn5(M= Co, Rh, or\nIr) o\u000bers an ideal opportunity to study the competi-\ntion between antiferromagnetism (AF) and SC.6)While\nCeCoIn 5and CeIrIn 5are superconducting at ambient\npressure and antiferromagnetism can be induced either\nby doping on the M-site or on the In site,7{9)CeRhIn 5is\nantiferromagnetically ordered below TN= 3:8 K at am-\nbient pressure. It orders in an incommensurate magnetic\nstructure with an ordering vector ~ q= (1=2;1=2;0:297).\nIn zero magnetic \feld AF is suppressed rapidly for pres-\nsuresp > p?\nc= 1:95 GPa and the ground state is a\npurely superconducting with most probably d-wave sym-\n\u0003E-mail: georg.knebel@cea.frmetry.10{15)At this pressure p?\ncthe antiferromagnetic\ntransition temperatures and the superconducting tran-\nsition temperature coincides, TN=Tc\u00192:2 K. It shows\nup that when Tc>T Nno long range magnetic ordering\ncan appear as at least large parts of the Fermi surface\nare gapped due to the onset of SC. Therefore, at zero\nmagnetic \feld the QCP in CeRhIn 5is hidden by SC. Be-\nlowp?\nc(TN>T c) coexistence of antiferromagnetism and\nSC is reported for p>1 GPa and even at ambient pres-\nsure.16{18)However, the nature of this superconducting\nstate below p?\ncis still under debate.14)\nFor pressures above p?\ncthe application of a magnetic\n\feldHkabplane as well as for H?ableads to\na new phase inside the superconducting state13,14,19)\nwhich has been detected by ac calorimetry. This new\nphase is most probably a re-entrance of the magnetic\nphase. It is very reminiscent to the high magnetic \feld\nphase in CeCoIn 5.20)However, in di\u000berence to CeCoIn 5,\nthe re-entrance \feld seems to persist also for \felds higher\nthan the upper critical \feld Hc2, as has been observed\n\frst in resistivity measurements.21)The \feld induced\nphase is suspected to collapse at the critical pressure\npc\u00192:5 GPa. Interestingly the shape of the Fermi sur-\nface, as detected in de Haas van Alphen experiments\nchanges abruptly close to pcand the e\u000bective mass of\nthe observed orbits increases strongly in the vicinity of\npc.22)A detailed study of the electrical transport prop-\nerties under high pressure at rather high temperatures\nhas been published recently.23)\nIn this paper we will give a detailed study of the low\ntemperature electrical resistivity of CeRhIn 5under high\npressure and high magnetic \feld Hkab. The aim will be\nto study the magnetic QCP by applying magnetic \felds\nH > H c2to suppress SC. Furthermore a detailed study\nof the pressure dependence of the upper critical \feld will\nbe given and a comparison to CeCoIn 5is given.\n1arXiv:0808.3687v1 [cond-mat.str-el] 27 Aug 20082 J. Phys. Soc. Jpn. Full Paper Author Name\nFig. 1. (Color online) Resistivity of CeRhIn 5in zero magnetic\n\feld for di\u000berent pressures. The arrows indicate the magnetic\ntransition for p= 0:3 and 1.7 GPa.\n2. Experimental details\nThe sample used in these experiments was cut from the\nsame single crystal used in our speci\fc heat experiments\nunder high pressure.12,14)The dimension of the sample is\n0.16\u00020.09\u00020.05 mm3. At ambient pressure the resid-\nual resistivity ratio \u001a(300K)=\u001a(0K)\u0019200 indicates the\nhigh quality of the sample. The electrical resistivity was\nmeasured using a standard four point lock-in technique\nat 17 Hz. Electrical contacts to the sample have been re-\nalized by spot-welding 10 \u0016m Au wires to the sample. A\ncurrent of maximal 100 \u0016A was used to measure the re-\nsistivity at low temperature. The temperature was mea-\nsured with a calibrated Ge thermometer which is \fxed\non the mixing chamber of the dilution refrigerator in a\n\feld compensated region of the cryostat. The pressure\ncell has been thermalized to the mixing chamber using a\nCu rod with 10 mm diameter. A magnetic \feld of max-\nimal 16 T could be applied within the ab plane of the\ncrystal perpendicular to the current direction.\nHigh pressure measurements have been performed in\na diamond anvil pressure cell with argon as pressure\nmedium. The pressure has been \fxed at ambient tem-\nperature and determined by measuring the \ruorescence\nof ruby before and after the experiment at liquid nitrogen\ntemperature. The di\u000berence of these pressure determina-\ntion was less than 0.15 GPa in each case.\n3. Results\n3.1 Resistivity in zero magnetic \feld\nFigure 1 shows the resistivity of CeRhIn 5in zero mag-\nnetic \feld for di\u000berent pressures. The antiferromagnetic\ntransition for pressures below p?\nc= 1:95 GPa is clearly\nvisible. No SC is observed for p= 0:3 GPa in this sample.\nForp= 1:7 GPa a superconducting transition appears\natTonset\nc = 2:12 K below TN= 2:65 K. It is remark-\nable thatTcdetermined from resistivity appears much\nhigher in temperature compared to the the previous spe-\nci\fc heat experiment performed on a sample cut from the\nsame single crystal with Tc(C) = 1:27 K.14)Such a dis-\ncrepancy of the transition temperatures on an identical\nsample has been already observed in previous NQR ex-\nFig. 2. (Color online) (a) Resistivity of CeRhIn 5atp= 1:7 GPa\nfor di\u000berent magnetic \felds H?c. (b) Derivative d\u001a=dT of the\nresistivity versus temperature. The arrows indicate the temper-\nature of the magnetic transitions or the superconducting transi-\ntion. Curves are shifted by 5 \u0016\ncm/K respectively.\nperiment at p= 1:72 GPa where the onset of Tcdetected\nby the ac susceptibility at Tonset\nc = 2 K but the mean\n\feld transition is at lower temperature TMF\nc= 0:9 K\ndetermined from NQR relaxation rate.24)Thus the ob-\nservation that at least the appearance of superconduc-\ntivity in the pressure range below p?\ncis inhomogeneous\nseems to be a general feature. Above 2 GPa, close to\npc\u00192:5 GPa, a very sharp superconducting transition is\nobserved with a width of \u0001 Tc\u001930 mK. At high pressure\np>p c, the superconducting transition broadens remark-\nably andTcdecreases. No superconductivity is observed\nabove 5 GPa.\n3.2 Resistivity under magnetic \feld\nNext we will discuss the resistivity under magnetic\n\feld for a \fxed pressure. Fig. 2(a) shows the resistivity\natp= 1:7 GPa as function of temperature. To determine\nthe phase diagram, we plotted the derivative d\u001a=dT vs:\ntemperature in Fig. 2(b). At low \felds H < 3 T one\nmagnetic transition appears at TNabove the supercon-\nducting transition at Tc. For higher \felds, two distinct\nmagnetic anomalies can be seen in the derivative. From\nthis data the phase diagram can be drawn, as shown in\nFig. 3; it is reminiscent to the one obtained at ambient\npressure25,26)where three di\u000berent magnetic phases can\nbe distinguished. At zero pressure it has been shown in\ndetailed neutron scattering experiments, that the incom-\nmensurate magnetic structure of phase AF I with an or-\ndering vector ~ qic=(1/2, 1/2, 0.298) gets commensurable\n(phase AF III) under magnetic \feld at low temperatures\nwith~ qc=(1/2, 1/2, 1/4).26)Phase AF II at ambient pres-\nsure has the same structure than the incommensurate\nphase AF I, but the ordered moment is reduced. The on-J. Phys. Soc. Jpn. Full Paper Author Name 3\nFig. 3. (Color online) Phase diagram of CeRhIn 5atp= 1:7 GPa\nderived from the present resistivity measurements. Three dif-\nferent magnetic phases can be distinguished, the labeling cor-\nresponds to the di\u000berent magnetic phases obtained at ambient\npressure.25,26)The superconducting transition has been derived\nfrom the midpoint of the transition. Stars corresponds to \feld\nsweeps, circles to temperature sweeps.\nFig. 4. (Color online) Resistivity of CeRhIn 5atp= 2:4 GPa for\ndi\u000berent magnetic \felds. (Inset) Derivative d\u001a=dT of the resis-\ntivity versus temperature for H= 15 T. Arrows indicate the\ntemperature of the magnetic transitions at TNandTN1.\nset of superconductivity does not allow to draw the phase\nline between the antiferromagnetic phases AF I and AF\nIII to lower temperatures. Remarkably, no accident can\nbe observed in the Tdependence of the upper critical\n\feldHc2close to the crossing point of the phase line of\nthe incommensurate to commensurate transition (phase\nAF I to AF III) and the Hc2(T) line. It seems as if the\nsuperconducting phase is superimposed to the magnetic\nphase diagram without interplay; the same phenomenon\nwill appear above p?\nc.\nIncreasing the pressure above p?\ncleads to a supercon-\nducting ground state and in zero magnetic \feld the anti-\nferromagnetism is suppressed. The main panel of Fig. 4\npresents the resistivity for di\u000berent magnetic \felds at\nFig. 5. (Color online) ( H;T) phase diagram of CeRhIn 5atp=\n2:4 GPa derived from the electrical resistivity (circles and di-\namonds) and from our previous ac calorimetry measurements\n(squares).14)The pressure of resistivity and speci\fc heat mea-\nsurement may be slightly di\u000berent. Open and closed circles give\nzero resistivity and the midpoint of the superconducting transi-\ntion in the resistivity; \flled and half-\flled diamonds correspond\ntoTNandTN1determined from the derivative d\u001a=dT , respec-\ntively. (See inset Fig.4.)\nFig. 6. (Color online) Resistivity of CeRhIn 5atp= 2:8 GPa\nfor di\u000berent pressures. (Inset) Derivative d\u001a=dT of the resistivity\nversus temperature for H= 9 T and 15 T. No magnetic anomaly\ncan be seen in the resistivity measurements.\np= 2:4 GPa. The superconducting transition at low\n\feld is very sharp, broadening appears for \felds above\n7 T. The midpoint of the superconducting transition for\n10 T is at Tc= 0:51 K by a width of \u0001 Tc\u0019140 mK,\nHc2(0) can be extrapolated to 10.62 T. For magnetic\n\feldsH > 9 T two further anomalies can be detected\nabove the superconducting transition. The maximum of\nthe derivative d\u001a=dT marks the transition temperature\nTN1and the shoulder the N\u0013 eel temperature TN(see inset\nof Fig. 4). Even at the highest \feld, these two transitions\ncan be observed. From these data together with previous4 J. Phys. Soc. Jpn. Full Paper Author Name\nFig. 7. (Color online) Field{temperature phase diagram of\nCeRhIn 5forp= 2:6 GPa (triangles) and 2.8 GPa (circles) .\nClosed symbols mark the onset of the transition, open symbols\ncorrespond to the temperature of \u001a= 0. With increasing pres-\nsure the width of the superconducting transition increases sig-\nni\fcantly to low temperatures.\nspeci\fc heat results14)the magnetic phase diagram at\nthis pressure can be plotted as shown in Fig. 5 (small\ndi\u000berences in pressure between speci\fc heat and resistiv-\nity data explain the small shift of TNon crossing Hc2).\nThe application of a magnetic \feld leads to a phase tran-\nsition inside the superconducting state where AF and SC\ncoexist.13,14)However, the AF state is very stable, even\nfar aboveHc2and can be followed in the resistivity up to\nat least 15 T. Remarkably, again no anomaly in Hc2(T)\noccurs close to the crossing of Hc2(T) andTN(H).\nAbove the critical pressure pc\u00192:5 GPa the antifer-\nromagnetism is completely suppressed. Fig. 6 presents\nthe resistivity and the inset d\u001a=dT as function of tem-\nperature for p= 2:8 GPa. No magnetic transition can\nbe observed. The broad maximum in d\u001a=dT corresponds\nto the change of curvature in the resistivity and is not\ndue to any magnetic anomaly. Even at zero \feld the su-\nperconducting transition is slightly broader than at the\nmaximum of Tc, \u0001Tc\u001960 mK; it is associated to the\nchange in the slope of dTc=dp. With increasing magnetic\n\feld the transition broadens signi\fcantly. In Fig. 7 we in-\ndicate the onset of the transition and zero resistivity as\nfunction of magnetic \feld for p= 2:6 GPa and 2.8 GPa.\nThe pressure dependence of the upper critical \feld will\nbe discussed below in detail.\n4. Discussion\n4.1 Pressure and \feld dependence in CeRhIn 5\nThe (p;T;H ) phase diagram of CeRhIn 5is extremely\nrich (see Fig. 8). At p?\nc, the two critical temperatures\nTNandTcmerge into one point. In a \frst approach the\ncrossing point looks like a bi-critical point: as function\nof pressure a direct transition from AF to SC occurs. In\nthe phase diagram in Fig. 8 such direct transition corre-\nsponds to the vertical hatched area, without the emer-\ngence of a AF+SC regime. However, in a real experiment\nsuch a transition is di\u000ecult to realize under pressure, in-\nhomogeneities (in the pressure as well as in the sample)\nmay always impede such a `clear' phase diagram. Due\nto inhomogeneities an AF+SC regime can appear; how-\nFig. 8. (Color online) Pressure{temperature phase diagram of\nCeRhIn 5in zero magnetic \feld from ac calorimetry (circles),14)\nac susceptibility (triangles)12)and resistivity (this work, dia-\nmonds forTNand stars for Tc). At low pressure the ground state\nis antiferromagnetic. Below p?\ncboth, antiferromagnetism (AF)\nand superconductivity (SC) coexists. At p?\ncthe AF is suppressed\nsuddenly before the quantum critical point at pcis reached under\npressure. Above p?\nca purely superconducting the ground state\nappears in zero magnetic \feld. The dashed line gives the ex-\npected pressure dependence of the N\u0013 eel temperature in absence\nof superconductivity.\never, it would not be homogeneous and phase separation\ninto AF and SC parts is expected. Another possibility is\nthatp?\ncis a tetracritical point.27,28)Strong support for\nthis scenario comes from the homogeneous character of\nthe nuclear spin dynamics in the AF+SC domain at low\ntemperature ( T < T c).15)In recent nuclear-quadrupole-\nresonance (NQR) experiments the observation of a tetra-\ncritical point in zero magnetic \feld has been reported\nand it has been suggested that a uniformly homoge-\nneous AF+SC phase exist below p?\nc. The uniformly co-\nexistence of AF and SC in this pressure range is also\nfollowed from the fact that the NQR relaxation (1 =T1) is\nmono-exponential, independent on the investigated In-\nsite.15)This led to the suggestion that both, the anti-\nferromagnetic and the superconducting order parameter\nare strongly coupled as it is proposed in the SO(5) the-\nory.28,29)However, the superconducting phase transition\natTcis at least inhomogeneous below p?\nc, as with dif-\nferent experimental probes di\u000berent transition temper-\natures are detected. The vertical hatched line describes\nthen only the trend that the AF+SC domain is highly\nnon-symmetrical by respect to p?\nc: AF needs to disappear\njust abovep?\nc. From experimental point of view it is very\ndi\u000ecult to draw precisely the AF+SC boundary.\nForpp cindeed, no indication of re-entrance of an-\ntiferromagnetism under \feld is observed. The collapse\nof the antiferromagnetic state coincides with the strong\nchange of the Fermi surface. (Small di\u000berences in the ab-\nsolute value of the critical pressure pchave been reported\nin various experiments, see e.g. refs. 13{15,22).\nA schematic ( H;p) phase diagram for T= 0 is shown\nin Fig. 9 indicating the evolution of the Fermi surface6 J. Phys. Soc. Jpn. Full Paper Author Name\nFig. 10. Resistivity at T= 2:25 K of CeRhIn 5in zero magnetic\n\feld for di\u000berent pressures. Close to the QCP at pc\u00192:5 GPa\nthe scattering is strongly enhanced.\nunder pressure and magnetic \feld. Up to the critical\npressure (pc\u00192:35 GPa in ref. 22) the Fermi surface\nof CeRhIn 5is almost identical to that of the non-4 fref-\nerence compound LaRhIn 5while the corresponding cy-\nclotron masses increase strongly above p= 1:6 GPa up\ntopc.22)Thus the 4felectrons seems to be localized at\nthe Ce site for p

p c\nand the Fermi surface of CeRhIn 5is in good agreement\nwith a 4f-itinerant picture as in CeCoIn 5. This strong\nchange of the Fermi surface seems to be connected to\nthe rapid disappearance of the magnetism under mag-\nnetic \feld at pc. The dHvA oscillations are observed un-\nder high magnetic \felds in the AF phase for p

p c.\nHowever, even in zero magnetic \feld, the Fermi sur-\nface in the di\u000berent phases AF, AF+SC, and inside the\nsuperconducting domain is still under debate;42)above\np?\ncasTchas a smooth pressure dependence without any\nanomaly at pcit seems reasonable that the Fermi surface\nis already that of the paramagnetic phase with delocal-\nizedfelectrons as observed under high \feld above pc, see\nFig. 9; in the antiferromagnetic domain below p?\nc, even\nwhen superconductivity occurs at Tc< T N, the Fermi\nsurface seems to be that detected in the pure antiferro-\nmagnetic state at high magnetic \feld.22)However, in the\n\feld induced antiferromagnetic state for p?\nc 2 K corresponds only\nto an cross-over regime. To study the normal state prop-\nerties of CeRhIn 5close to the critical pressure at low\ntemperature high magnetic \feld is needed to suppress\nthe superconducting state.\nLet us now look in more detail at the temperature vari-\nation of the resistivity. In Fig. 11 we have plotted the\nresistivity measured at a magnetic \feld of 12 and 15 T\nas function of T2belowT= 0:7 K. No \\clear\" Fermi liq-\nuidT2dependence is observed in this low temperature\nrange. For p= 2:4 GPa the curvature is positive indi-\ncating the presence of a magnon scattering term while it\nis negative for p= 2:6 GPa. Also in this representation\nit gets very clear that the critical pressure is located be-\ntween 2.4 GPa and 2.6 GPa. To analyze in more detail\nthe temperature dependence of \u001awe calculated the tem-\nperature dependence of the exponent nof a power law\n\u001a=\u001a0+AnTnbyn=d(ln(\u001a\u0000\u001a0))=dlnT. The result\nof this analysis is shown in Fig. 12 for di\u000berent pressures\nat the highest measured \felds H= 15 T. In the analy-\nsis an average of 50 data points (which corresponds to\na temperature window of T\u001930 mK at low tempera-\nture) is taken. To calculate the resistivity exponent at\nlow magnetic \felds in the temperature range above the\nsuperconducting transition in the normal state correctly,\none has to know the value of the residual resistivity in ab-\nsence of superconductivity. Taking the value of \u001a0at high\nmagnetic \felds H > H c2givesn\u00190:4 forp= 2:4 GPa\nabove 1.5 K for all \felds. (see Fig.12). Thus \u001a(T) is only\nslightly \feld dependent in the normal state. At 1.7 GPa\nand 2.4 GPa the strong increase of nat 15 T on cooling to\na valuen>2 indicates the onset of the antiferromagnet-\nically ordered state. For p > p c\u00192:5 GPa we \fnd the\nexponentn < 2 in all temperature range. At very low\ntemperature, n!1 is found below 300 mK. Even awayJ. Phys. Soc. Jpn. Full Paper Author Name 7\nFig. 12. (Color online) Temperature dependence of the resistivity\nexponentn=d(ln(\u001a\u0000\u001a0))=dlnTfor di\u000berent pressures at high\nmagnetic \feld (lines). Additionally we plotted n(T) forH= 0\nat 2.4 GPa above the superconducting transition (circles). The\n\feld dependence of the exponent nis rather weak.\nfrom the critical pressure at lowest temperatures the T\ndependence is less than T2. The di\u000eculty to observe a\nniceT2dependence at very high pressure in the resis-\ntivity has already been mentioned in ref. 21. We should\nemphasize that this not achievement of a T2dependence\nunder high magnetic \feld at low temperature may result\nfrom a cross-over from a collision regime to a collision-\nless mode; the product !c\u001cof the cyclotron frequency !c\nby the relaxation time \u001cbecoming higher than 1.47,48)\nIn this limit, the characteristic time of the quasiparticle\norbital motion is short compared with the time between\ncollisions, and therefore the Fermi surface topology plays\nan important role in determining transport properties.\nA linear temperature dependence of the resistivity\nclose to a magnetic instability is generally taken as an\nindication of the importance of the quasi-two dimen-\nsional \ructuations in spin \ructuation theory (see e.g.\nref. 49). The importance of a reduced dimensionality on\nthe superconducting pairing strength has been discussed\nin refs. 50,51. Of course, we should mention that a linear\nTdependent resistivity is also observed in a model of\na critical valence transitions.4,52,53)As discussed above,\nin CeRhIn 5a strong change in the Fermi surface due to\na delocalization of the 4 felectron is experimentally ob-\nserved atpcin full agreement with such a valence transi-\ntion scenario which can also account for the enhancement\nresidual resistivity at pc\u0019pv44,54)and the appearance\nof superconductivity in a large range of pressure due to\ndensity \ructuations.\nTo analyze the \feld and pressure dependence of the\nquasi-particle scattering term A, we forced the resistivity\ndata to be \ftted by a AT2dependence in the tempera-\nture range 0.1 K H c2in\nthe temperature range 0.1 K p c. For CeRhIn 5we \fnd at the highest pressure of\n5.6 GPaA= 0:0335\u0016\ncm/K2. Contrary to these sys-\ntems, CeCu 2Si2is located just at the magnetic quantum\ncritical point at ambient pressure, thus the Acoe\u000ecient\nhas its maximum at p= 0. Clearly, in CeCu 2Si2a second\ncritical pressure pv\u00194 GPa indicates the critical valence\n\ructuations which are responsible for a second supercon-\nducting dome around pv.61,62)The very small values of A\nabovepvare a strong indication for a valence transition\nin Ce heavy fermion systems due to the strong increase\nof the hybridization with pressure and the concomitant\nloss of the crystal \feld degeneracy.63)In di\u000berence to\nCeCu 2Si2in CeRhIn 5and CeIn 3the critical pressures\nfall together, pc\u0019pv.\nThe \feld dependence of the Acoe\u000ecient is plotted\nin Fig. 14(a) for di\u000berent pressures. Adoes not show a\npronounced \feld dependence and this is also compara-\nble to the observation in CeIn 3.55)Far from the critical\npressure (p= 1:7 GPapc) the\n\feld dependence of A(H) is very \rat. Close to the critical\npressurepc\u00192:5 GPaAdecreases almost linearly with\nincreasing \feld H > H c2; however, there is no strong\nindication of quantum critical phenomena under mag-\nnetic \feld in this pressure range, in di\u000berence to CeCoIn 5\nwhere a strong enhancement of Aclose toHc2for both\n\feld directions is observed if the current is perpendicular\nto the \feld direction as will be discussed below. Remark-\nably, similar \feld dependence of the e\u000bective mass are\nknown in the dHvA experiments for both, CeRhIn 5and\nCeCoIn 5.64)Di\u000berent magnetic \feld scales are important\nin di\u000berent pressure domains of the phase diagram. In the\nantiferromagnetic domain p < p cthe relevant magnetic\n\feld scale is the critical \feld HMbetween the antiferro-\nmagnetic and the polarized paramagnetic domain under\nhigh \feld which is rather high ( HM= 52 T at zero pres-\nsure65)andHM\u001d15 T forp= 2:4 GPa). In the para-\nmagnetic regime p > p cit would be the \feld HKwhich\ncorresponds to the Kondo temperature ( \u0016BHK\u0018kBTK).\nOf course for the superconducting properties the relevant\nscale is always the upper critical \feld. However, a cou-\npling of these di\u000berent scales is not compulsory.\nInsights of the superconducting properties can be ob-\ntained by the analysis of the upper critical \feld Hc2.\nGenerally, the upper critical \feld is determined by the\norbital and the paramagnetic pair-breaking e\u000bects. The\norbital limiting \feld Horb(T) = \b 0=2\u0019\u00182(T) is given by\nthe \felds at which vortex cores starts to overlap (\b 0is\nthe \rux quantum). Close to Tc, it is always the dom-\ninant mechanism (the paramagnetic limitation has in-\n\fnite slope at Tc), so that the initial slope of Hc2at\nFig. 15. (Color online) Upper critical \feld of CeRhIn 5(symbols)\nnormalized to the transition temperature Tcas function of the\nreduced temperature T=T cat di\u000berent pressures.\nTcis a good measure of the average Fermi velocity in\ndirections perpendicular to the applied \feld : H0\nc2=\n(dHc2=dT)T=Tc\u0019Tc=v2\nF. The orbital limitation at zero\ntemperature is of course proportional to Tcand toH0\nc2,\nand in a weak coupling scheme, it can be estimated from\nHorb(0) =\u00000:7TcH0\nc2.66)In a strong coupling scheme,\nprobably more appropriate for the Ce MIn5compounds\nowing to their large speci\fc heat jump (\u0001 C=C) atTc,\nHorb(0) is even larger: the general trend of strong cou-\npling regime is that superconductivity is \"reinforced\" to-\nward low temperatures. However, in CeRhIn 5as well as\nin CeCoIn 5,Hc2(0) is much lower than \u00000:7TcH0\nc2by at\nleast a factor 2, which points to an additional mechanism\ncontrolling the upper critical \feld.\nThe other known mechanism is the paramagnetic pair-\nbreaking e\u000bect, which originates from Zeeman splitting\nof single electron energy levels. This so-called Pauli lim-\niting upper critical \feld can be estimated by HP=p\n2\u0001=g\u0016B.67)Here \u0001 is the superconducting energy gap\natT= 0 andgis the gyromagnetic ratio. However, the\nestimation of the gfactor is not straightforward: g= 2\nTable I. Experimental values of Tc,Hc2(0), the initial slope\nH0\nc2= (dHc2=dT)T=TcatTc. The orbital limit of the upper\ncritical \feld is determined using Horb=\u00000:7Tc(dHc2=dT)T=Tc.\nThe superconducting coherence length \u00180is estimated by the\nBCS relation \u00180= 0:18~vF=(kBTc). Further parameters for\nthe best \ft shown in Fig. 16 (solid lines) with strong coupling\nmodel for the upper critical \feld are given, \u0015is the coupling\nparameter, gthe gyromagnetic ratio, and vFthe Fermi velocity\nas well as the bare Fermi velocity vF0.\np(GPa) 1.7 2.4 2.6 2.8 3.7 4.5\nTc(K) 2.124 2.258 2.207 2.21 1.82 1.16\nHc2(0) (T) 10.19 10.62 9.34 9.35 5.7 2.76\n\u0000H0\nc2(T/K) 17.28 22.83 20.34 19.93 13.6 7.25\nHorb(T) 25.7 36.1 31.4 30.8 17.3 5.9\n\u00180(\u0017A) 41.2 36.9 38.5 38.43 47.35 74.75\n\u0015 2.04 2.2 2.14 2.14 1.71 1.133\ng 2.3 2.45 2.75 2.75 3.2 3.2\nvF(103m/s) 6.40 6.08 6.20 6.20 6.29 6.33\nvF0(103m/s) 19.5 19.5 19.5 19.5 17 13.5J. Phys. Soc. Jpn. Full Paper Author Name 9\nFig. 16. (Color online) Upper critical \feld of CeRhIn 5at di\u000ber-\nent pressures on a linear scale. Solid lines are \fts within a strong\ncoupling model as described in the text. Dashed lines are corre-\nspond to \fts in a weak coupling approach.\nfor free electrons, but spin-orbit coupling and Fermi-\nliquid corrections can lead to strong deviations from this\nvalue, as well as the exchange with local moments. An\nexperimental determination from the Pauli susceptibil-\nity is also cumbersome in heavy-fermion systems, as the\nmeasured susceptibility ( \u001f) mixes Pauli and local con-\ntributions, which can also lead to temperature indepen-\ndent terms through Van-Vleck contributions. Moreover,\nabsolute measurements of \u001fare not available under high\npressure for CeRhIn 5. So in the following, gis considered\nas a \ftting parameter. In Table I we have summarized\nthe experimentally obtained parameter for CeRhIn 5for\ndi\u000berent pressures.\nBecause in CeRhIn 5,Hc2(0) seems completely domi-\nnated by the paramagnetic limitation, it is nevertheless\npossible to reveal the evolution of the Pauli limit (equiva-\nlently, of the g-factor) under pressure without any \ft, by\nusing appropriate \feld and temperature scales to draw\nHc2in order to suppress the dependence on Tc: so Fig. 15\npresents the upper critical \feld Hc2=Tcas function of\nthe reduced temperature T=T c. The striking feature is\nthat the initial slope at Tchas no strong variation in\nthe pressure range from 1.7 GPa to 2.8 GPa, whereas\nHc2(0) is systematically depressed with increasing pres-\nsure. At \frst glance, this decrease of Hc2(0) with pressure\non Fig. 15 re\rects an increase of the gyromagnetic ratio\ngwith pressure. This is true as long as a weak coupling\nscheme remains valid. But in the Ce MIn5compounds,\nas already mentioned, strong coupling corrections can\nbe large, and one then has to disentangle the pressure\nevolution of gand of the strong coupling constant \u0015, so\nthat Fig. 15 could be misleading: a large \u0015leads to a\nweaker Pauli limitation ( HP(0)/p\n\u0015for\u0015\u001d1). How-\never, it will be seen below that quantitatively, even in a\nstrong coupling framework, the gfactor is found to in-\ncrease signi\fcantly under pressure. Possible reasons for\nthis behavior will be discussed below. More surprisingly,\nwe would have expected that the strong increase of the\ne\u000bective mass on approaching pcas observed in dHvA\nmeasurements22)would be re\rected in a strong enhance-\nment of the initial slope H0\nc2(remember H0\nc2/1=v2\nF).\nFigure 16 shows the temperature dependence of Hc2of CeRhIn 5for di\u000berent pressures on a linear scale. It is\nnot possible to \ft Hc2(T) in a weak coupling approach\nconsistently (see dashed lines in Fig 16). If the slope and\ncurvature are well adjusted close to Tc, then the calcu-\nlatedHc2curve is too low by comparison to the experi-\nmental results at low temperatures, notably close to the\ncritical pressure. In fact, this is also true for CeCoIn 5, al-\nthough not publicized as only the low temperature part\nof the \fts is usually shown,68)which can be good at the\nexpense of a bad \ft closer to Tc. This can be cured by\ntaking strong coupling e\u000bects into account (see lines in\nFig. 16), at the expense of a new parameter, the strong\ncoupling constant \u0015. Details of the model are described\nin refs. 69 and 70. The parameters of the model are (i)\nthe strong coupling parameter \u0015, (ii) a characteristic fre-\nquency \n of the excitations responsible for the pairing\n(\u0015and \n determine completely Tc, together with the\nCoulomb repulsion parameter \u0016\u0003\fxed at the common\nvalue\u0016\u0003= 0:1), (iii) the gyromagnetic factor g, and (iv)\nthe average Fermi velocity in the plane perpendicular to\nthe applied \feld. The mass renormalization of the heavy\nelectrons due to the pairing mechanism depends on \u0015ac-\ncording tom?=mb=\u0015+ 1; herembis the band mass of\nthe quasiparticles renormalized by all interactions which\ndo not participate to the pairing potential, and m?in-\ncludes all \ructuations including those contributing to the\npairing.\nAs shown in Fig. 16 the \ft of Hc2with\u0015= 2:2 (solid\nlines) at 2.4 GPa is very good up to p= 3:7 GPa. For\nthese pressures, \n and the bare Fermi velocity ( vF0, not\nrenormalized by the pairing interaction) have been kept\n\fxed.\u0015(p) has been adjusted to reproduce the Tc(p) vari-\nation, and it is enough to reproduce also most of the\nchange of the initial slope, whereas the gfactor needs\nto be strongly increased, as anticipated from Fig. 15:\nH0\nc2depends, like in the weak-coupling scheme, of Tc,\nthe physical average Fermi velocity vF=vF0=(1 +\u0015),\nand also slightly on the value of \u0015. Forp= 4:5 GPa,\nthe bare Fermi velocity vF0needs to be decreased (by\n30%) to reproduce the strong slope at \fxed \n, and opti-\nmum \ft at p= 3:7 GPa is obtained for a 10% decrease\nofvF0, although this is almost in the error bars of the\nexperimental points. The parameter of the best \fts are\nalso given in Table I. The starting value of the strong\ncoupling constant of at least \u0015= 2 is necessary to re-\nproduce the global shape of Hc2(T) at the optimum Tc,\nas found also for CeCoIn 5. This\u0015value points to an\nenhancement of the e\u000bective mass m?due to the pair-\ning potential by m?=mb\u00193:2 close topc, which is of\nsame order of magnitute as in CeIn 3or CePd 2Si2(see\nTab. II).55,71)Figure 17 represents the pressure varia-\ntion of the initial slope which also shows a maximum\nclose topc:H0\nc2is highest for maximum \u0015, what is natu-\nral within the scheme used for the \ftting. We found that\nthe variation of the slope with pressure could be repro-\nduced by varying only \u0015, keepingvF0constant (at least\nclose topc).\nHowever, quantitatively, the pressure variation of the\ne\u000bective mass as determined by \u0015(or byH0\nc2) derived\nfrom resistivity measurements is far weaker than that de-\nduced from the pressure variation of the Acoe\u000ecient of10 J. Phys. Soc. Jpn. Full Paper Author Name\nTable II. Comparison of parameters of the upper critical \feld using the strong coupling model for di\u000berent compounds close to their\nquantum critical points. (Data for CeCoIn 5are from ref. 68. In di\u000berence to ref. 68 we analyzed the data not in weak coupling model.\np\u0019pc(GPa)Tc(K)\u0000H0\nc2(T/K)g \u0015 ref.\nCeCu 2Si2Hka 0 0.677 23 2 0.63 72\nCePd 2Si2Hka 2.67 0.395 12.7 4.6 1.5 71\nHkc 16 2.35 1.5 71\nCeIn 3 2.58 0.207 3.2 1.4 1.3 55\nCeRhIn 5Hka 2.4 2.258 22.8 2.45 2.2 this work\nCeCoIn 5Hka 0 2.241 30.5 2 2 68, this work\nHkc 10.8 4.7 2 68, this work\nFig. 17. (Color online) Pressure dependence of the initial slope\nof the upper critical \feld \u0000(dHc2=dT)T=Tc. As criterion for the\nde\fnition of Tcwe used the onset of the transition. (Full symbols)\nare from a linear extrapolation of the data, open symbols from\nthe calculation.\nthe resistivity. It is also far weaker than the variation of\nthe cyclotron mass of the \f2branch measured directly by\nde Haas-van Alphen measurements (for Hkc),22)which\nis in good agreement with the estimate from the Acoef-\n\fcient forp

p cis very di\u000ecult, as a\nstrong variation of the Acoe\u000ecient may also occur due\nto a (possible) change of the degeneracy of the Ce.63,73)\nThe relation between Aand\r2itself (Kadowaki-Woods\nrule) changes strongly at pv: entering in the intermedi-\nate valence regime the change is by a factor of 15 (see\nref. 63). In addition, the links between the anisotropy of\ntheAcoe\u000ecient, the anisotropy of the e\u000bective mass m?\nand their respective \feld dependences are not straight-\nforward.\nTo summarize, whereas de Haas-van Alphen and trans-\nport experiments in the normal state-high \feld phase,\ndetect a strong enhancement of the e\u000bective mass on ap-\nproachingpc, and the de Haas-van Alphen measurementseven show that there is an abrupt Fermi surface change\natpc, the superconducting properties ( H0\nc2,Tc) as deter-\nmined by the resistivity detect only a smooth evolution\ncrossingpc. Abovep?\nc, excellent agreement exist between\nresistivity and calorimetric measurements in the determi-\nnation ofTcand of the slope H0\nc2. Belowp?\ncthe situation\nis quite di\u000berent. Tc(\u001a) de\fned by the superconducting\nanomaly in the resistivity is far higher than Tc(C) mea-\nsured by the ac calorimetry (see Fig. 8); furthermore,\nTc(\u001a) as well as the slope H0\nc2derived from the resis-\ntivity seems to be the continuation of the high pressure\nsuperconducting phase for pressures below p?\nc. In the re-\ncent ac calorimetry study it is clearly demonstrated that\nbelowp?\ncbothTcandH0\nc2decrease strongly with decreas-\ning pressure (for p=p?\nc\u00180:78,Tcdecreases by a factor\n4,H0\nc2by factor of 15, and the jump of the speci\fc heat\nanomaly by at least a factor of 8).74,75)Thus, as already\npointed out previously, the superconducting transition\nbelowp?\ncappears quite inhomogeneous as indicated by (i)\nthe large discrepancies in the value of Tcdetermined by\ndi\u000berent experimental probes, (ii) the strong reduction\nof the speci\fc heat anomaly at Tcand its concomitant\nlarge broadening.\nLet us also point out that the \ft of the upper\ncritical \felds takes into account the appearance of\nan spatially modulated Fulde-Ferrel-Larkin-Ovchinnikov\n(FFLO) state. However, this is only for technical rea-\nsons, because the calculation of Hc2taking orbital and\nparamagnetic e\u000bects into account if it is much simpler\nif limited to a second order phase transition, which nec-\nessarily implies the appearance of such an FFLO state\nat low temperature for clean systems being so strongly\nPauli limited. In our experiment we do not \fnd hints\nfor such a phase transition, but again, new calorimetric\nexperiments to lower temperatures and higher magnetic\n\felds are required.\nWe have also delayed the discussion on the evolution of\ntheg-factor under pressure: the results in Table I do show\nthat even with strong coupling corrections, there is a sig-\nni\fcant increase of the g-factor under pressure. At \frst\nglance, one possible explanation could be that this is re-\nlated to the system becoming more isotropic under pres-\nsure: if CeRhIn 5under pressure would be like CeCoIn 5,\nwith a stronger Pauli limitation along the c axis than\nalong the a axis, then an increase of the isotropy would\nlead to an increased g-factor, and a more isotropic state\nis natural under pressure as the Kondo temperature is\nexpected to increase. If it gets of the order of the crystalJ. Phys. Soc. Jpn. Full Paper Author Name 11\nFig. 18. (Color online) Estimation of the pressure dependence of\nthe e\u000bective mass m?determined from (i) the initial slope, m?/p\nH0\nc2=Tc(triangles), (ii) the Acoe\u000ecient measured at 15 T,\nm?/p\nA(squares), (iii) the pressure variation of the cyclotron\nmass of the \f2branch from the dHvA experiment from ref. 22\n(stars), and the de\fned from the variation of \u0015. All values are\nnormalized to the value at pc, the line is to guide the eyes.\n\feld splitting, the degeneracy of the ground-state multi-\nplet will increase. As discussed above, there are strong\narguments of a possible valence transition4)in CeRhIn 5\nat the critical pressure pc\u0018pv((i) linear resistivity, (ii)\nstrong enhancement of the Acoe\u000ecient at pcand very\nrapid decrease to very low values for p > p c, (iii) maxi-\nmum of\u001a0, (iv) abrupt change of the Fermi surface22)).\nSo this scenario seems reasonable. However, recent mea-\nsurements do show that the anisotropy of Hc2is reversed\nin CeRhIn 5in comparison to CeCoIn 5.43)So at present\nfrom the sole CeRhIn 5results, we have no physical in-\nterpretation of this increase of the g-factor, except that\nit should be somehow related either to an increase of the\n\"molecular \feld\" on the conduction electron, or it may be\ncorrelated to the suppression of antiferromagnetic corre-\nlations or an e\u000bect of a multiband system: what is really\nthe passive or active band? Below we discuss for CeCoIn 5\nthe possible e\u000bect a change in the \feld dependence of the\ne\u000bective mass.\n4.2 Comparison to CeCoIn 5\nCeCoIn 5is superconducting at ambient pressure and\nno magnetism has been observed up to now at zero mag-\nnetic \feld and on applying high pressure. However, there\nare strong evidences that the compound is located close\nto a quantum critical point due to the observation of\nstrong spin \ructuations and non Fermi liquid behavior\nsuch as a linear temperature dependence of the resis-\ntivity over a large range of temperature above the su-\nperconducting transition.58,76{79)The application of a\nmagnetic \feld su\u000eciently high to suppress superconduc-\ntivity reveals a speci\fc heat which increases as \u0000TlnT\nto low temperatures for both directions, Hkaand\nHkc.57,77)The temperature dependence of the resis-\ntivity in CeCoIn 5is quite similar to our observation for\nCeRhIn 5close topcas shown in Fig. 12 with an exponent\nn!1 in high magnetic \felds. In CeCoIn 5forHkaband\nthe current in the basal plane at p= 0 at e.g.H= 18 T,\non cooling nstarts with a value n\u00180:6 forT= 2 K,\nreaches 1.5 at T\u00180:2 K and seems to extrapolate tothe Fermi liquid value n= 2, but de facto decreases to-\nwardsn= 1 after passing through a maxima of n\u00181:7\nforT= 90 mK.57)For CeRhIn 5at high magnetic \feld of\n15 T we observe the same behavior as shown in Fig. 12 at\n2.4, 2.6, and 3.7 GPa, the temperature where ndeviates\nfrom the Fermi liquid limit n= 2 increases with pressure\nin good agreement with the idea that this departure from\nthe Fermi liquid regime is just an artifact of the crossing\nfrom the conditions !c\u001c <1 to!c\u001c >1 on cooling. Thus,\nit is worthwhile to remember that FL deviations at high\nmagnetic \feld may not always be linked to the proximity\nof a quantum critical point, but may also result from the\nlost of the collision regime (which was characterized by\n!c\u001c\u001c1) as discussed above. Even for the clean heavy\nfermion compound UPt 3an increase of \u001a(T) has been\nclearly reported,47,48)as well as in CeCoIn 5.58)\nComparing the ( p;T) phase diagram of CeCoIn 5with\nCeRhIn 5it gets obvious that CeCoIn 5corresponds\nclearly to the condition p?\nc<0. Applying pressure, sup-\npresses the strong spin \ructuations and tunes the sys-\ntem even away from a quantum critical point.80)The\npressure variation of the e\u000bective mass derived from the\nAcoe\u000ecient of the resistivity,79)from the initial slope\nof the upper critical \feld,68)the jump of the supercon-\nducting speci\fc heat anomaly,12,81)or quantum oscil-\nlations82)indicates that the critical pressure pcwould\neven be negative. However, under pressure the supercon-\nducting transition temperature is \frst increasing up to\n1.6 GPa which seems to be a characteristic pressure of\nthe systems. Magnetism can be induced in CeCoIn 5by\ntiny doping of Cd or Hg on the In site indicating the\ncloseness to a magnetic ordered state.8,9)Furthermore,\nthe behavior under magnetic \feld at ambient pressure\nseems to lead to the conclusion that a quantum critical\n\feldHQCP occurs in the vicinity of the upper critical\n\feld, for both \feld directions, HkaandHkc.57,58,83)\nThe main observation is the strong increase of the Aco-\ne\u000ecient of the resistivity on approaching HQCP\u0019Hc2\nwithA=A0(H\u0000HQCP)xwithx= 1:37 for both \feld di-\nrections,HkcandHka.57,58)In Fig. 14(b) we compare\nthe observed \feld dependence in CeCoIn 5to CeRhIn 5on\na reduced scale Avs. (H\u0000Hc2)=Hc2. Clearly no critical\nbehavior is observed in the \feld dependence close to pc\nin CeRhIn 5. Under pressure the \feld induced quantum\ncritical point in CeCoIn 5moves inside the superconduct-\ning dome and HQCPvanishes close to p= 1:6 GPa where\nTc(p) has a smooth maximum indicating that the criti-\ncal \feldHQCP is well separated from the upper critical\n\feld.59)If this \feld HQCP is associated to the change of\nthe ground state from antiferromagnetism to paramag-\nnetism,HQCP=HMandpcwould be at 1.5 GPa. Obvi-\nously, no magnetism appears in CeCoIn 5in the normal\nphase thus HMH c2almost up\ntopc. In CeCoIn 5, if antiferromagnetism is an underly-12 J. Phys. Soc. Jpn. Full Paper Author Name\nFig. 19. (Color online) Upper critical \feld of CeCoIn 5from ref. 68\nforHkab(solid symbols) and Hkc(open symbols). Solid lines\nare \fts with the strong coupling model as described in the text.\nParameters of the \fts are given in Table III.\nTable III. Experimental values of Tc,Hc2(0), the initial slope\nH0\nc2atTc, the orbital limit Horband superconducting coherence\nlength of CeCoIn 5from refs. 68, 84. Further parameters of\nthe \fts (\u0015,gfactor, and the Fermi velocity vF) shown in\nFig. 19 (solid lines) with the strong coupling model used\nfor the \ftting data of CeRhIn 5are given. We have added\nexperimental (for H==ab ) and calculated (within an s-wave \ft)\nvalues for the appearance of the FFLO state. Note that \u00180\nhas been calculated from \u00180= 0:18~vF=(kBTc) and not from\n\u00180=p\n\b0=(2\u0019\u00160Hc2(0)),68)as the strong Pauli limitation\ngoverningHc2(0) invalidates the last formula.\np(GPa) 0 0.45 1.34\nTc(K) 2.241 2.425 2.58\nHkab H c2(0) (T) 11.6 12.5 14.3\n\u0000H0\nc2(T/K) 30.5 29.4 16.4\nHorb(T) 47.9 49.9 29.7\n\u00180(\u0017A) 35 31 36\n\u0015 2 2.2 2.37\ng 2 2.15 2.2\nvF(103m/s) 5.8 5.5 6.8\nTrmFFLO\u0000exp (K) 0.312 0.369 0.504\nTFFLO\u0000calc (K) 0.9 1.1 0.88\nHkc H c2(0) (T) 4.9 4.7 4.2\n\u0000H0\nc2(T/K) 10.8 10.2 6.5\nHorb(T) 16.9 17.3 11.7\n\u00180(\u0017A) 43 42 48\n\u0015 2 2.2 2.35\ng 4.7 5.5 7\nvF(103m/s) 7.0 7.5 9.0\nTFFLO\u0000calc (K) 1.1 1.2 1.27\ning mechanism, HM(T;p)< H c2for all pressures and\nHM!0 close top= 1:6 GPa.\nThe upper critical \feld of CeCoIn 5can be \ftted within\nthe same model as presented for CeRhIn 5. Figure 19\nshows the the data of the upper critical \feld for Hka\nandHkcfrom ref. 68 together with \fts within the\nstrong coupling model for di\u000berent pressures. The param-\neter of the upper critical \feld are given in Table III. As\nmentioned in the previous section, we want to point out\nthat it is not possible to calculate the upper critical \feld\nwithin a weak coupling model in the whole temperature\nrange consistently as opposed to what could be implicitly\nunderstood from ref. 68: the strong coupling e\u000bects have\nFig. 20. (Color online) (a) Schematic ( H;T) phase diagram for\nCeRhIn 5in the pressure range p?\nc 1:820,68)(for a recent review see ref. 86\nand references therein). However, the identi\fcation of\nthe so-called FFLO state in CeCoIn 5is still under de-\nbate. Detailed NMR experiments in CeCoIn 5and on\nCd-doped samples have evidenced the existence of static\nmagnetic moments and it can almost be excluded that\nthe high \feld phase is purely superconducting in ori-\ngin.87{89)Looking on the superconducting properties, all\nconditions for the appearance of a FFLO state seems\nto be ful\flled in CeRhIn 5too. The paramagnetic limit\nalso exceeds the orbital limit by the same factor than in\nCeCoIn 5, CeRhIn 5is surely in the clean limit, the Fermi\nsurface is highly anisotropic and has a quasi-two dimen-\nsional structure,22,90,91)and the superconducting state\nhas most likely dx2\u0000y2symmetry,11)which is claimed to\nbe favorable to FFLO state.92,93)One can imagine that\na FFLO like state could appear above pc, however, in\nour experiment we did not observe any indications of aJ. Phys. Soc. Jpn. Full Paper Author Name 13\nhigh \feld phase for p > p c. Remarkably, in CeCoIn 5,\nthe FFLO phase extends to higher \felds and temper-\natures under pressure, even if e.g. the Maki parameter\n\u000bis reduced,68)while the antiferromagnetic \ructuations\nare suppressed applying pressure ( HQCP!0): in Table\nIII, it can be seen that the experimental values for the\ntemperature of appearance of the FFLO state are smaller\nthan the theoretical ones, a phenomenon for which many\nexplanations could be put forward. But it is also seen\nthat theory predicts a small decrease of this FFLO sate\n(forHkab)), whereas a 60% increase is observed be-\ntween 0 and 1.34 GPa. Moreover, the gfactor is found\nto increase in both directions (particularly along the c\naxis), whereas the measured dc susceptibility decrease\nunder pressure.94)So, like in CeRhIn 5, several points re-\nmain unclear as regard the Pauli limitation in the two\nsystems:\n\u000fthe large increase of the g-factor with pressure (for\nHkcin CeCoIn 5,Hkabin CeRhIn 5).\n\u000fthe increase of TFFLO under pressure in CeCoIn 5,\nand its absence (for similar conditions) in CeRhIn 5.\n\u000fthe opposite anisotropy between HkcandHkab\nin the two systems.43)\nAt present, there is no satisfying answer to these ques-\ntions. So it can also be argued that the so-called FFLO\nphase in CeCoIn 5may have a completely di\u000berent ori-\ngin: one could speculate that, if HM< H c2, some kind\nof new magnetic order might appear inside the super-\nconducting phase, taking advantage the opening of the\nsuperconducting gap: then HMwould instead stick to\nHc2(0), and the observed phase would just be a pecu-\nliar \"reentrant\" magnetic phase. This might be indi-\nrectly supported by claims that the antiferromagnetic\nspin-\ructuations at p= 0 in CeCoIn 5are unfavorable\nfor the formation of the FFLO state.94)In view of the\ndecrease of the Maki parameter with pressure it will be\nvery informative for the understanding of the interplay\nof antiferromagnetic spin-\ructuations and the high mag-\nnetic \feld phase, to investigate the ( H{T) phase diagram\nof CeCoIn 5to highr pressures. Of course, in the future\nnew ac calorimetry studies are desired to search more\nthoroughly, even above pc, for the existence an FFLO-\nlike state in CeRhIn 5.\nThe origin of an apparent increase of the gfactor de-\nrived from the \ft of the upper critical \feld for Hkcin\nCeCoIn 5appears linked to the fact that in CeCoIn 5the\ne\u000bective mass is strongly \feld dependent for H >H QCP.\nThe relative \feld variation of m?belowHc2shifts from\nHc2(0) atp= 0 to zero at 1.6 GPa. To correct this\n\feld change of m?, an arti\fcial increase of the gfactor\nis necessary in the model which assumes the \feld in-\nvariance of m?. The importance of a \feld variation of\nm?is clearly demonstrated for URhGe where the re-\nentrance of the superconductivity under magnetic \feld\nis strongly connected to the \feld variation of the e\u000bec-\ntive massm?.95,96)\n5. Conclusions\nIn summary, we presented a detailed study of the high\npressure phase diagram of CeRhIn 5under high magnetic\feld. Above p?\ncthe phase-diagram determined from these\nresistivity measurements is in excellent agreement with\nprevious published data from ac-calorimetry. Clear ev-\nidence for a quantum critical point at pc= 2:5 GPa\nis given. However, when the superconducting transition\ntemperature Tcgets higher than the N\u0013 eel temperature at\np?\nc\u00192 GPa the ground state is purely superconducting\nin zero magnetic \feld. Under magnetic \feld an antiferro-\nmagnetic state is induced for p?\nc p c, no\nclear Fermi liquid behavior of the resistivity has been re-\ncovered. The analysis of the upper critical \feld allows us\nto determine the pressure dependence of the strong cou-\npling parameter \u0015which determines the strength of the\npairing interaction and of the e\u000bective gyromagnetic ra-\ntiog. The di\u000berences to the magnetic \feld{temperature\nphase diagram of CeCoIn 5are discussed in detail.\nWith the speci\fcity of CeCoIn 5, where at p= 0,\nHM< H c2, the clear event is the \feld dependence of\nthe e\u000bective mass which must play a key role in the tem-\nperature and \feld dependence of the upper critical \feld\nHc2. It is also suggested that the preservation of a su-\nperconducting gap up to Hc2may stabilized long range\nmagnetic order up to Hc2.\nAcknowledgment\nWe thank N. Cherroret for his contributions in the be-\nginning of this work. We acknowledge Profs. R. Settai\nand Y. Onuki for showing us results of the upper critical\nunder high pressure for Hkcand allowing us to re-\nfer to these results prior publication. Financial support\nhas been given by the French ANR within the programs\nICENET, ECCE, and NEMSICOM.\n1) J. Flouquet: Progress in Low Temperature Physics, Vol. 15\n(Elsevier, Amsterdam, 2005) p. 139.\n2) P. Thalmeier and G. Zwicknagl: Handbook of the Physics\nand Chemistry of Rare Earths, Vol 34 (Elsevier, Amsterdam,\n2005) p. 135.\n3) N. D. Mathur, F. M. Grosche, S. R. Julian, I. R. Walker, D. M.\nFreye, R. K. W. Haselwimmer and G. G. Lonzarich: Nature\n394(1998) 39.\n4) K. Miyake: J. Phys.: Condens. Matter 19(2007) 125201.\n5) H. v. L ohneysen, A. Rosch, M. Voitja and P. W ol\re: Rev.\nMod. Phys. 79(2007) 1015.\n6) J. L. Sarrao and J. D. Thompson: J. Phys. Soc. Jpn. 76(2007)\n051013.\n7) P. G. Pagliuso, R. Movshovich, A. D. Bianchi, M. Nicklas,\nN. O. Moreno, J. D. Thompson, M. F. Hundley, J. L. Sarrao\nand Z. Fisk: Physica B 312(2002) 129.\n8) L. D. Pham, T. Park, S. Maquilon, J. D. Thompson and\nZ. Fisk: Phys. Rev. Lett. 97(2006) 056404.\n9) E. D. Bauer, F. Ronning, S. Maquilon, L. D. Pham, J. D.\nThompson and Z. Fisk: Physica B 403(2008) 1135.\n10) H. Hegger, C. Petrovic, E. G. Moshopoulou, M. F. Hundley,\nJ. L. Sarrao, Z. Fisk and J. D. Thompson: Phys. Rev. Lett.\n84(2000) 4986.\n11) T. Mito, S. Kawasaki, G. q Zheng, Y. Kawasaki, K. Ishida,\nY. Kitaoka, D. Aoki, Y. Haga and \u0016Onuki: Phys. Rev. B 63\n(2001) 220507(R).\n12) G. Knebel, M. A. Measson, B. Salce, D. Aoki, D. Braithwaite,14 J. Phys. Soc. Jpn. Full Paper Author Name\nJ. P. Brison and J. Flouquet: J. Phys.: Condens. Matter 16\n(2004) 8905.\n13) T. Park, F. Ronning, H. Q. Yuan, M. B. Salamon,\nR. Movshovich, J. L. Sarrao and J. D. Thompson: Nature 440\n(2006) 65.\n14) G. Knebel, D. Aoki, D. Braithwaite, B. Salce and J. Flouquet:\nPhys. Rev. B 74(2006) 020501(R).\n15) M. Yashima, S. Kawasaki, H. Mukuda, Y. Kitaoka,\nH. Shishido, R. Settai and Y. \u0016Onuki: Phys. Rev. B 76(2007)\n020509.\n16) V. S. Zapf, E. J. Freeman, E. D. Bauer, J. Petricka, C. Sirvent,\nN. A. Frederick, R. P. Dickey and M. B. Maple: Phys. Rev. B\n65(2002) 014506.\n17) G. F. Chen, K. Matsubayashi, S. Ban, K. Deguchi and N. K.\nSato: Phys. Rev. Lett. 97(2006) 017005.\n18) J. Paglione, P. C. Ho, M. B. Maple, M. A. Tanatar, L. Taillefer,\nY. Lee and C. Petrovic: arXiv:0712.0382v1 .\n19) T. Park, J. Sarrao and J. Thompson: Physica C 460-462\n(2007) 137.\n20) A. Bianchi, R. Movshovich, C. Capan, P. G. Pagliuso and J. L.\nSarrao: Phys. Rev. Lett. 91(2003) 187004.\n21) T. Muramatsu, N. Tateiwa, T. C. Kobayashi, K. Shimizu,\nK. Amaya, D. Aoki, H. Shishido, Y. Haga and Y. \u0016Onuki: J.\nPhys. Soc. Jpn. 70(2001) 3362.\n22) H. Shishido, R. Settai, H. Harima and Y. \u0016Onuki: J. Phys. Soc.\nJpn.74(2005) 1103.\n23) Y. Nakajima, H. Shishido, N. Nakai, T. Shibauchi, K. Behnia,\nK. Izawa, M. Hedo, Y. Uwatoko, T. Matsumoto, R. Settai,\nY.\u0016Onuki, H. Kontani and Y. Matsuda: J. Phys. Soc. Jpn. 76\n(2007) 024703.\n24) S. Kawasaki, T. Mito, Y. Kawasaki, G. q Zheng, Y. Kitaoka,\nD. Aoki, Y. Haga and \u0016Onuki: Phys. Rev. Lett. 91(2003)\n137001.\n25) A. L.Cornelius, P. G.Pagliuso, M. F.Hundley and J. L.Sarrao:\nPhys. Rev. B 64(2001) 144411.\n26) S. Raymond, E. Ressouche, G. Knebel, D. Aoki and J. Flou-\nquet: J. Phys.: Condens. Matter 19(2007) 242204.\n27) S. A. Kivelson, G. Aeppli and V. J. Emery: Proc. Natl. Acad.\nSci. U.S.A. 98(2001) 11903.\n28) E. Demler, W. Hanke and S. C. Zhang: Rev. Mod. Phys. 76\n(2004) 909.\n29) S. C. Zhang: Science 275(1997) 1089.\n30) S. Majumdar, G. Balakrishnan, M. R. Lees and D. M. Paul:\nPhys. Rev. B 66(2002) 212502.\n31) A. Llobet, J. S. Gardner, E. G. Moshopoulou, J. M. Mignot,\nM. N. W. Bao, N. O. Moreno, P. G. Pagliuso, I. N. Gon-\nscharenko, J. LSarrao and J. D. Thompson: Phys. Rev. B 69\n(2004) 024403.\n32) S. Raymond, G. Knebel, D. Aoki and J. Flouquet: Phys. Rev.\nB77(2008) 172502.\n33) The origin of the small di\u000berence of the magnetic phase dia-\ngram forp= 1:2 GPa as presented in ref. 14 from the one in\nFig. 3 is not clear. Now we believe, the T2line of Fig. 4a in\nref.14 should merge the T1line.\n34) M. Yashima: private communication .\n35) M. Yokoyama, H. Amitsuka, K. Matsuda, A. Gawase,\nN. Oyama, I. Kawasaki, K. Tenya and H. Yoshizawa: J. Phys.\nSoc. Jpn. 75(2006) 103703.\n36) S. Ohira-Kawamura, H. Shishido, A. Yoshida, R. Okazaki,\nH. Kawano-Furukawa, T. Shibauchi, H. Harima and Y. Mat-\nsuda: Phys. Rev. B 76(2007) 132507.\n37) A. D. Christianson, A. Llobet, W. Bao, J. S. Gardner, I. P.\nSwainson, J. W.Lynn, J. M.Mignot, K. Prokes, P. G.Pagliuso,\nN. O.Moreno, J. L.Sarrao, J. D.Thompson and A. H.Lacerda:\nPhys. Rev. Lett. 95(2005) 217002.\n38) M. Nicklas, O. Stockert, T. Park, K. Habicht, K. Kiefer, L. D.\nPham, J. D. Thompson, Z. Fisk and F. Steglich: Phys. Rev.\nB76(2007) 052401.\n39) J. M. Lawrence and S. M. Shapiro: Phys. Rev. B 22(1980)\n4379.\n40) A. Benoit, J. X. Boucherle, P. Convert, J. Flouquet, J. Palleau\nand J. Schweizer: Solid State Commun. 34(1980) 293.\n41) C. Stock, C. Broholm, J. Hudis, H. J. Kang and C. Petrovic:Phys. Rev. Lett. 100(2008) 087001.\n42) K. Miyake and H. Ikeda: J. Phys. Soc. Jpn. 75(2006) 033704.\n43) Y. Ida, R. Settai, Y. Ota, F. Honda and Y. \u0016Onuki: J. Phy.\nSoc. Jpn. 77(2008) 084708.\n44) K. Miyake and O. Narikiyo: J. Phys. Soc. Jpn. 71(2002) 867.\n45) G. Knebel, D. Aoki, D. Braithwaite, N. Cherroret, B. Salce\nand J. Flouquet: J. Phys. Soc. Jpn. 76 (2007) Suppl. A, pp.\n124-127 76 Suppl. A (2007) 124.\n46) T. Park, Y. Tokiwa, E. D. Bauer, F. Ronning, R. Movshovich,\nJ. L. Sarrao and J. D. Thompson: Physica B 403(2008) 943.\n47) L. Taillefer, F. Piquemal and J. Flouquet: Physica 153C\n(1988) 451.\n48) L. Taillefer, J. Flouquet and W. Joss: J. Magn. Magn. Mater.\n76(1988) 218.\n49) T. Moriya: Rep. Prog. Phys. 66(2003) 1299.\n50) P. Monthoux and G. G. Lonzarich: Phys. Rev. B 63(2001)\n054529.\n51) P. Monthoux and G. G. Lonzarich: Phys. Rev. B 69(2004)\n064517.\n52) Y. Onishi and K. Miyake: J. Phys. Soc. Jpn. 69(2000) 3955.\n53) A. T. Holmes, D. Jaccard and K. Miyake: J. Phys. Soc. Jpn.\n76(2007) 051002.\n54) K. Miyake and H. Maebashi: J. Phys. Soc. Jpn. 71(2002) 1007.\n55) G. Knebel, D. Braithwaite, P. C. Can\feld, G. Lapertot and\nJ. Flouquet: Phys. Rev. B 65(2001) 024425.\n56) E. Vargoz: Ph.D. thesis University of Geneva (1998).\n57) F. Ronning, C. Capan, A. Bianchi, R. Movshovich, A. Lac-\nerda, M. Hundley, J. D. Thompson, P. G. Pagliuso and J. L.\nSarrao: Phys. Rev. B 71(2005) 104528.\n58) J. Paglione, M. A. Tanatar, D. G. Hawthorn, E. Boaknin,\nR. W. H. andF Ronning, M. Sutherland, L. Taillefer, C. Petro-\nvic and P. C. Can\feld: Phys. Rev. Lett. 91(2003) 246405.\n59) F. Ronning, C. Capan, E. Bauer, J. D. Thompson, J. L. Sarrao\nand R. Movshovich: Phys. Rev. B 73(2006) 064519.\n60) K. Kadowaki and S. B.Woods: Solid State Commun. 58(1986)\n507.\n61) A. T. Holmes, D. Jaccard and K. Miyake: Phys. Rev. B 69\n(2004) 024508.\n62) H. Q. Yuan, F. M. Grosche, M. Deppe, C. Geibel, G. Sparn\nand F. Steglich: Science 302(2003) 2104.\n63) N. Tsujii, H. Kontani and K. Yoshimura: Phys. Rev. Lett. 94\n(2005) 057201.\n64) R. Settai, T. Takeuchi and Y. \u0016Onuki: J. Phys. Soc. Jpn. 76\n(2007) 051003.\n65) T. Takeuchi, T. Inoue, K. Sugiyama, D. Aoki, Y. Tokiwa,\nY. H. K. Kindo and Y. \u0016Onuki: J. Phys. Soc. Jpn. 70(2001)\n877.\n66) N. R. Werthammer, E. He\rand and P. C. Hohenberg: Phys.\nRev.147(1966) 295.\n67) A. M. Clogston: Phys. Rev. Lett. 9(1962) 266.\n68) C. F. Miclea, M. Nicklas, D. Parker, K. Maki, J. L. Sarrao,\nJ. D. Thompson, G. Sparn and F. Steglich: Phys. Rev. Lett.\n97(2006) 039901.\n69) F. Thomas, B. Wand, T. L uhmann, P. Gegenwart, G. R.\nStewart, F. Steglich, J. P. Brison, A. Buzdin, L. Glemot and\nJ. Flouquet: J. Low Temp. Phys. 102(1996) 117.\n70) L. Glemot, J. P. Brison, J. Flouquet, A. I. Buzdin, I. Sheikin,\nD. Jaccard, C. Thessieu and F. Thomas: Phys. Rev. Lett. 82\n(1999) 169.\n71) I. Sheikin, E. Steep, D. Braithwaite, J. P. Brison, S. Raymond,\nD. Jaccard and J. Flouquet: J. Low Temp. Phys. 122(2001)\n591.\n72) I. Sheikin, D. Braithwaite, J. Brison, W. Assmus and J. Flou-\nquet: J . Low Temp. Phys. 118(2000) 113.\n73) K. Miyake, T. Matsuura and C. M. Varma: Solid State Com-\nmun. 71(1989) 1149.\n74) T. Park, J. Sarrao and J. Thompson: J. Magn. Magn. Mater.\n310(2007) 712.\n75) T. Park, M. J. Graf, L. Boulaevskii, J. L. Sarrao and J. D.\nThompson: Proc. Natl. Acad. Sci. U.S.A. 105(2008) 6825.\n76) Y. Kohori, Y. Yamamoto, Y. Iwamoto, T. Kohara, E. D.\nBauer, M. B. Maple and J. L. Sarrao: Phys. Rev. B 64(2001)\n134526.J. Phys. Soc. Jpn. Full Paper Author Name 15\n77) C. Petrovic, P. G. Pagliuso, M. F. Hundley, R. Movshovich,\nJ. L. S. J. D. Thompson, Z. Fisk and P. Monthoux: J. Phys.:\nCondens. Matter 13(2001) L337.\n78) M. Nicklas, R. Borth, E. Lengyel, P. G. Pagliuso, J. L. Sarrao,\nV. A. Sidorov, G. Sparn, F. Steglich and J. D. Thompson: J.\nPhys.: Condens. Matter 13(2001) L905.\n79) V. A. Sidorov, M. Nicklas, P. G. Pagliuso, J. L. Sarrao,\nY. Bang, A. V. Balatzky and J. D. Thompson: Phys. Rev.\nLett. 89(2002) 157004.\n80) M. Yashima, S. Kawasaki, Y. Kawasaki, G. q Zheng, Y. Ki-\ntaoka, H. Shishido, R. Settai, Y. Haga and Y. \u0016Onuki: J. Phys.\nSoc. Jpn. 73(2004) 2073.\n81) G. Sparn, R. Borth, E. Lengyel, P. G. Pagliuso, J. L. Sarrao,\nF. Steglich and J. D. Thompson: Physica B-Condensed Matter\n319(2002) 262.\n82) H. Shishido, T. Ueda, S. Hashimoto, T. Kubol, R. Settai,\nH. Harima and Y. \u0016Onuki: J. Phys.: Condens. Matter 15(2003)\nL499.\n83) A. Bianchi, R. Movshovich, I. Vekhter, P. G. Pagliuso and\nJ. L. Sarrao: Phys. Rev. Lett. 91(2003) 257001.\n84) M. Nicklas, C. F. Miclea, J. L. Sarrao, J. D. Thompson,\nG. Sparn and F. Steglich: J. Low Temp. Phys. 146 (2007)\n669.\n85) A. Bianchi, R. Movshovich, N. Oeschler, P. Gegenwart, F. S.\nJ. D. Thompson, P. G. Pagliuso and J. L. Sarrao: Phys. Rev.\nLett. 89(2002) 137002.\n86) Y. Matsuda and H. Shimahara: J. Phys. Soc. Jpn. 76(2007)051005.\n87) V. F. Mitrovi\u0013 c, M. Horvati\u0013 c, C. Berthier, G. Knebel, G. Laper-\ntot and J. Flouquet: Phys. Rev. Lett. 97(2006) 117002.\n88) B.-L. Young, R. R. Urbano, N. J. Curro, J. D. Thompson, J. L.\nSarrao, A. B. Vorontsov and M. J. Graf: Phys. Rev. Lett. 98\n(2007) 036402.\n89) R. R. Urbano, B.-L. Young, N. J. Curro, J. D. Thompson, L. D.\nPham and Z. Fisk: Phys. Rev. Lett. 99(2007) 146402.\n90) D. Hall, E. C. Palm, T. P. Murphy, S. W. Tozer, C. Petro-\nvic, E. Miller-Ricci, L. Peabody, C. Q. H. Li, U. Alver, R. G.\nGoodrich, J. L. Sarrao, P. G. Pagliuso, J. M. Wills and Z. Fisk:\nPhys. Rev. B 64(2001) 0464506.\n91) H. Shishido, R. Settai, D. Aoki, S. Ikeda, H. Nakawaki,\nN. Nakamura, T. Iizuka, Y. Inada, K. Sugiyama, T. Takeuchi,\nK. Kindo, T. C. Kobayashi, Y. Haga, H. Harima, Y. Aoki,\nH. Namiki, T. Sato and Y. \u0016Onuki: J. Phys. Soc. Jpn. 71(2002)\n162.\n92) K. Yang and S. L. Sondhi: Phys. Rev. B 57(1998) 8566.\n93) H. Shimahara: Phys. Rev. B 50(1994) 12760.\n94) T. Tayama, Y. Namai, T. Sakakibara, M. Hedoa, Y. Uwatoko,\nH. Shishido, R. Settai and Y. \u0016Onuki: J. Phys. Soc. Jpn. 74\n(2005) 1115.\n95) F. Levy: Ph.D. thesis University Joseph Fourier Grenoble\n(2006).\n96) A. Miyake, D. Aoki and J. Flouquet: submitted to J. Phys.\nSoc. Jpn. ." }, { "title": "2104.05396v4.High_speed_ionic_synaptic_memory_based_on_two_dimensional_titanium_carbide_MXene.pdf", "content": "1 High-speed ionic synaptic memory based on two-dimensional titanium carbide MXene Armantas Melianas1†*, Min-A Kang2†, Armin VahidMohammadi3*, Weiqian Tian2, Yury Gogotsi3, Alberto Salleo1*, Mahiar Max Hamedi2* 1 Department of Materials Science and Engineering, Stanford University, Stanford, CA 94305, USA 2 Department of Fibre and Polymer Technology, School of Engineering Sciences in Chemistry, Biotechnology and Health, KTH Royal Institute of Technology, Teknikringen 56, 10044 Stockholm, Sweden 3 A. J. Drexel Nanomaterials Institute and Department of Materials Science and Engineering, Drexel University, Philadelphia, PA 19104, USA † These authors contributed equally * armantas.melianas@stanford.edu, avm57@drexel.edu, mahiar@kth.se, asalleo@stanford.edu Abstract Synaptic devices with linear high-speed switching can accelerate learning in artificial neural networks (ANNs) embodied in hardware. Conventional resistive memories however suffer from high write noise and asymmetric conductance tuning, preventing parallel programming of ANN arrays as needed to surpass conventional computing efficiency. Electrochemical random-access memories (ECRAMs), where resistive switching occurs by ion insertion into a redox-active channel address these challenges due to their linear switching and low noise. ECRAMs using two-dimensional (2D) materials and metal oxides suffer from slow ion kinetics, whereas organic ECRAMs enable high-speed operation but face significant challenges towards on-chip integration due to poor temperature stability of polymers. Here, we demonstrate ECRAMs using 2D titanium carbide (Ti3C2Tx) MXene that combines the high speed of organics and the integration compatibility of inorganic materials in a single high-performance device. Our ECRAMs combine the speed, linearity, write noise, switching energy and endurance metrics essential for parallel acceleration of ANNs, and importantly, they are stable after heat treatment needed for back-end-of-line integration with Si electronics. The high speed and performance of these ECRAMs introduces MXenes, a large family of 2D carbides and nitrides with more than 30 compositions synthesized to date, as very promising candidates for devices operating at the nexus of electrochemistry and electronics. Inspired by the brain, neuromorphic computing in the form of hardware-based artificial neural networks (ANNs) holds the promise to execute artificial intelligence algorithms at latency and energy efficiency unattainable by conventional computing. To fulfill the potential of hardware ANNs, synaptic devices made with unconventional materials are required because complementary metal-oxide-semiconductor (CMOS) scaling cannot meet the increasingly demanding energy efficiency and computational density requirements of ANNs1. For this purpose, two-terminal resistive memories, such as phase-change memory (PCM)2 and resistive random-access memory (ReRAM)3, have been investigated owing to their fast switching, small footprint, and integration compatibility. Despite significant progress, these devices are hampered by fundamental limitations 2 such as the programming asymmetry inherent in melting and recrystallization processes in PCMs and the large write errors caused by stochastic filament formation in ReRAMs4,5. Furthermore, it has proven difficult to optimize two-terminal resistive memories across all metrics simultaneously5, such as linear high-speed switching, low write noise, and low current operation, needed for parallel programming of large ANN arrays6. To address these challenges, three-terminal synaptic memories, where the write and read operations are decoupled, have recently emerged as a promising alternative. In particular, electrochemical random-access memories (ECRAMs), where resistive switching is facilitated by ion insertion into a redox-active channel, have shown linear switching with low noise in several materials classes, including 2D materials (graphene7, WSe28, α-MoO39, α-Nb2O510), metal oxides (Li1−xCoO211, LixTiO212, WO313–15), and polymers6,16,17. Among these, only polymer-ECRAMs have demonstrated the sub-microsecond switching and readout speeds6,16 compatible with neuromorphic computing. Organic materials however face significant challenges as they do not satisfy the strict temperature and contamination requirements for on-chip integration. 2D material and metal-oxide based ECRAMs on the other hand are more attractive to the semiconductor industry as they are more suitable for on-chip integration13,14. These devices are currently severely limited by slow ion kinetics, requiring either millisecond write speeds or millisecond-to-second read pulses to ensure accurate readout of a non-volatile change in device conductance7–15 (Supplementary Table S1). An ideal synaptic memory would combine the high performance and speed of polymer-ECRAMs with the integration compatibility of 2D materials and metal oxides. 2D MXene enables high-performance ECRAMs To find suitable ECRAM materials, one strategy is to investigate emerging redox-active materials, since the ECRAM architecture resembles that of a solid-state electrochemical energy storage device having two thin-film electrodes separated by an electrolyte (Fig. 1a). Here, we show that 2D Ti3C2Tx MXene enables high-performance and high-speed ECRAMs amenable to future on-chip integration. MXenes are a large family of 2D carbides, nitrides, and carbonitrides with a general formula of Mn+1XnTx, where M is a transition metal (Ti, V, Nb, etc.), X is carbon or nitrogen (or both), n = 1-4, and Tx represents the mixed surface terminations (-O, -OH, -F, -Cl) that are present on the MXene surface18. The electronic and electrochemical behavior of MXenes can be tuned by changing their composition, surface terminations, and interlayer chemistry18,19, resulting in a unique combination of material properties that are highly desirable for ECRAMs. MXenes have been previously explored for threshold-type resistive switches20–23, but these devices lack non-volatility and face the same challenges as conventional 2-terminal synaptic memories, namely poor linearity and high write noise (Supplementary Table S1). Here, we show how these challenges can be overcome by using MXenes as the redox-active resistive switching layer in ECRAMs (Fig. 1a). More broadly, we demonstrate the potential of this diverse materials family to enable devices that leverage ion intercalation to switch their electronic properties with unprecedented speed, control, and range, of which ECRAMs are just one example24. The titanium-carbon core layers in Ti3C2Tx are highly conductive, while the oxygen and hydroxyl surface functional groups promote a metal-oxide-like surface, enabling fast and highly reversible surface redox activity in protic electrolytes25,26. These structural factors enable fast electronic and ionic transport, owing to 2D nanochannels and nanosheet morphology, and therefore ultra-high-rate pseudocapacitive charge storage in multilayer Ti3C2Tx MXene films (~400 F g-1 at 10 V s-1 in 90 nm films25 and with the capability to perform at even higher charging rates27,28 of 100 V s-1 to 1,000 V s-1) – a key requirement for high-speed switching in ECRAMs. In contrast, other 2D materials, with the exception of graphene29, have not been able to perform at such extreme charging rates. Ti3C2Tx has high thermal and chemical stability: dry Ti3C2Tx films are stable for several years in ambient conditions, and their water dispersions are stable up to a year30. Ti3C2Tx retains its chemical 3 structure when annealed to temperatures as high as 830 ᵒC31 – another key requirement for future ECRAM integration with underlying Si electronics. Finally, the high electrochemical activity of Ti3C2Tx in protic acidic electrolytes25, enables ECRAM operation with protons, the smallest and fastest diffusing cation. Indeed, polymer-ECRAMs that rely on proton-conducting electrolytes6,16 operated significantly faster than those using Li-based electrolytes7–14. Importantly, Li is incompatible with semiconductor fabrication processes. The unique properties of Ti3C2Tx (fast charging, thermal/chemical stability, and switching using protons) allow, in a single device, to match the high performance and speed of polymer-ECRAMs while combining it with the integration compatibility of 2D materials and metal oxides. Layer-by-layer self-assembly of 2D multilayers Ti3C2Tx can form a stable water dispersion as the surface terminations on each flake render them negatively charged18. This charge enables various thin-film processing techniques for device fabrication, such as layer-by-layer (LbL) self-assembly32 or interfacial assembly on a wafer scale33. To leverage the inherent charge of Ti3C2Tx, we used an LbL self-assembly process that we developed previously to form multilayered MXene films32. We chose LbL assembly because this versatile technique allows precise control over the number of bilayers, denoted n, where each bilayer consists of only a few/single flakes of coplanar Ti3C2Tx. These films can be further patterned by standard photolithography34. The main building block in our LbL-assembled films consists of negatively charged MXene flakes and a positively charged spacer (counter-ion) molecule (Fig. 1a). To fabricate devices, we LbL assembled multilayered MXene films at the ECRAM channel and gate, as confirmed by scanning electron microscopy (SEM) (Fig. 1b). We used PVA-H2SO4 as the solid-state proton-conducting electrolyte to connect the ECRAM channel to gate and to leverage the high electrochemical activity of Ti3C2Tx in protic acidic electrolytes25. Role of spacer molecules on ECRAM performance We studied ECRAM device performance as a function of the number of bilayers and explored three different spacer molecules, tris(3-aminopropyl)amine (TAPA), tris(2-aminoethyl)amine (TAEA), and polyethylenimine (PEI). The different spacer molecules provided evidence of the importance of MXene interlayer chemistry on the properties of electrochemical resistive switching in multilayered MXene films. Transfer curve measurements show a clear and nearly linear tuning of the MXene/TAPA ECRAM channel conductance versus gate voltage (Fig. 1c). ECRAMs using other spacer molecules show similar switching but with a reduced dynamic range (TAEA) or slower speed (PEI) (Supplementary Fig. S1). While details of electrochemical resistance switching in MXenes are not understood, the mechanism resembles that of conjugated polymers16,17, where ions inserted from the electrolyte are compensated either electrostatically or via redox reactions by charges on the polymer backbone, thus affecting the carrier density and conductivity of the polymer film. The TAPA spacer increases the spacing between the MXene flakes from 1.28 nm in pristine T3C2Tx to 1.46 nm in the MXene/TAPA multilayer (Fig. 1d), leading to a decreased electronic conductivity [~9 x 102 S m-1 for (M/TAPA6)] compared to the pristine MXene film (~3 x 104 S m-1), while enhancing ionic access to the redox-active sites on the outer Ti atoms of T3C2Tx. These two factors are both beneficial for neuromorphic computing resulting in lower power consumption and faster switching, respectively. Using TAPA as a spacer between the MXene flakes, the ECRAMs showed nearly no hysteresis under a high-rate gate voltage sweep of 8 V s-1, indicating high-speed resistance switching across an appreciable dynamic range irrespective of the number of bilayers (Fig. 1c). In contrast, the dynamic range of ECRAMs based on pristine Ti3C2Tx was very limited (Fig. 1c). We hypothesize that the large MXene/TAPA ECRAM conductance range originates from changes in the electronic structure and electrochemical behavior of the MXene films due to the incorporation of organic 4 molecules. The role of the interlayer molecule on dictating device characteristics is however more complex than simply tuning interlayer spacing. For example, while the smaller TAEA spacer (d-spacing = 1.38 nm, Supplementary Fig. S2) resulted in similar performance to TAPA but with a more pronounced hysteresis and reduced dynamic range, devices made using the larger PEI spacer (d-spacing = 2.15 nm, Supplementary Fig. S2) showed substantial hysteresis even at reduced scan rates (Supplementary Fig. S1). While further studies are needed for a more detailed understanding of the switching mechanisms and the role of spacer molecules on the improved performance of LbL-assembled MXene-ECRAMs, these results already demonstrate the versatility of the LbL self-assembly technique as a knob to tune device properties. Indeed, the prominent role of interlayer molecules in the electronic behavior of MXene multilayer films has been demonstrated both theoretically35 and experimentally19. While pristine MXene films are metallic, the presence of spacer molecules in multilayer MXene films can result in semiconducting behavior19 and consequently a larger dynamic range. These results show that the interactions between inserted protons and specific pillaring molecules play an important role in the switching kinetics and mechanisms, significantly affecting the behavior of the different multilayered MXene films, especially when compared to pristine T3C2Tx MXene. Since TAPA resulted in the best performing devices, we used MXene/TAPA ECRAMs for further neuromorphic function characterization. To ensure accurate operation at low power, ANN accelerators require high resistance synaptic devices that span a sufficient dynamic range to accommodate multiple states while tolerating write noise4,5. We selected (MXene/TAPA)6 and (MXene/TAPA)10 ECRAMs for further characterization due to their highest (>2x) dynamic range among the MXene/TAPA series (Fig. 1e). Note that for neuromorphic computing applications it is desirable to operate the synaptic cells linearly across such a relatively small (~2x) dynamic range since this prevents large currents from saturating neurons (e.g., stuck ON cells in PCM arrays). Nearly linear switching at high speed and low energy To probe device performance under fast pulsing, we used two switches (write and read select) that simulate access devices in an ANN array and ensure accurate readout of both the channel conductance (GSD), and the injected write current (IGD) (Supplementary Fig. S3). Fig. 2a shows successful high-speed programming of the (MXene/TAPA)10 device using ±1-V 4-µs pulses, followed by 1-µs write-read delay and 0.1-V 10-µs readout. The resulting resistance switching is nearly linear and spans 50x distinct states (Fig. 2b), highlighting the potential of these ECRAMs for parallel ANN acceleration6. The amount of injected charge (∆Q) per write needed to switch between these states is independent of GSD and equal to 1.6 nC (Fig. 2b), corresponding to a constant switching energy of ∆E = 1.6 nJ per write across the ECRAM dynamic range. The area normalized switching energy for (MXene/TAPA)10 ECRAMs is ∆E = 80 fJ µm-2, meeting the requirements for low-power neuromorphic computing36. To ensure low ANN accelerator latency, synaptic devices must exhibit both sub-microsecond write speed and rapid readout. Fig. 2c shows successful programming of the (MXene/TAPA)6 ECRAM using 200 ns write pulses, spanning an appreciable dynamic range of 5x at ±3 V. ECRAMs using (MXene/TAPA)10 can also be cycled using 200 ns write pulses but over a smaller 1.3x dynamic range (Supplementary Fig. S4). Such fast switching is already attainable in large devices (1,000x20 µm2), highlighting the potential of MXene-ECRAMs to meet the high-speed requirements for neuromorphic computing when scaled down. These devices are already orders of magnitude faster than most three-terminal synaptic memories based on 2D materials and metal oxides, typically operating with millisecond write pulses7–12,15,37,38 (Fig. 2d and Supplementary Table S1). 5 Rapid readout Importantly, the conductance of these ECRAMs can be rapidly read following just 1 µs write-read delay (Fig. 2a). This is at least a thousand times shorter than other inorganic ECRAMs made with 2D material and metal-oxide channels (Fig. 2d). While some previously reported devices have demonstrated non-volatile switching using sub-microsecond write pulses10,13,14, slow ion kinetics limits these devices to at least millisecond-long write-read delays or require long read pulses (collectively referred to as settling time) to ensure accurate readout of a non-volatile change in device conductance7–12,14,15 (Fig. 2d and Supplementary Table S1), severely limiting the overall speed of the device. State retention To investigate the volatility of conductance states, we programmed the device to a desired source-gate voltage (VSG), and continuously monitored GSD with a floating gate (Fig. 3a). We observed a bi-exponential decay yielding time constants of ~50 s and ~250 s, respectively. These estimates represent a lower bound as state retention is limited by device architecture and lack of encapsulation, which we have not optimized here. These figures do not translate directly into functional state retention times, which depend on the bit precision requirements of the ANN application where ECRAMs will be used. We note however that our device retention is already adequate for applications where ANN learning occurs either continuously or the learned ANN weights are subsequently transferred to external memory for storage5. High endurance and low write noise If these ECRAMs are to be integrated into ANN accelerators, they must demonstrate stable operation during extensive cycling. We observe stable operation following >108 write-read events in both (MXene/TAPA)6 (Fig. 3b) as well as (MXene/TAPA)10 ECRAMs (Supplementary Fig. S5). In (MXene/TAPA)6, the median channel conductance drifted by only 1.75 % following >108 write-read events while the device dynamic range and ∆Q needed to switch between states remained unaffected (<0.1 % change for both). 108 write-read events represent the lower bound for device endurance, as the ECRAM was still fully operational after the last cycle shown in Fig. 3b. Such endurance at the early stages of MXene-ECRAM development is very promising as it already exceeds that of FLASH memories (106 cycles39) and it is competitive with state-of-the-art inorganic resistive switches (109-1012 cycles36). Further statistical analysis of the endurance data (Figs. 3c-d), obtained by uniformly sampling 3,000 write-read events, reveals that MXene-ECRAMs also display extremely low write noise ∆G2/σ2 > 100, where ∆G is the conductance update per write and σ its standard deviation. Such high update accuracy is comparable to the best ECRAMs reported to date6,10,11,16 and significantly exceeds the typical accuracy observed in ReRAMs5 and PCMs2 (∆G2/σ2 < 1) that limits their ANN performance4,5. Using measured MXene-ECRAM switching statistics (Figs. 3c-d) as input to ANN simulations, image classification of 8 x 8-pixel handwritten digits yields close to ideal numerical accuracy (Fig. 3e), thanks to the linearity and low noise of our MXene-ECRAMs. Temperature stability for on-chip integration Having established that our ECRAMs fulfill a large number of requirements for efficient neuromorphic computing, we have taken steps towards investigating their on-chip integration compatibility. We annealed a (MXene/TAPA)6 film in vacuum from room temperature to 400 ᵒC using a gradual 15 ᵒC min-1 ramp up (total anneal time ~25 min), this way spanning the range of temperatures relevant for back-end-of-line (BEOL) processing (upper limit ~400 ᵒC), and cycled the resulting ECRAM for >108 write-read events to inspect its reliability. While the heat-treated ECRAM shows increased channel conductance and some loss of linearity, it 6 displays stable operation throughout the 108 write-read events with comparable cycling characteristics to that of the untreated sample (Fig. 4). The median channel conductance of the heat-treated sample drifted by only 1.9 % following >108 write-read events while the device dynamic range and ∆Q per write remained nearly unaffected (<1 % change for both). The device was still fully operational after the last cycle shown in Fig. 4. While significant challenges remain to demonstrate actual BEOL integration, this result marks a fundamental first step towards meeting the temperature requirements for integration into ANN accelerators. Device scaling Finally, since smaller devices require less ∆Q per write, the ECRAM write speed, voltage, and switching energy can be further improved by device downscaling, as we have shown previously6,16: write duration and switching energy scale linearly with the ECRAM channel area6,16,17. For example, while the (MXene/TAPA)6 ECRAM with a 1,000x20 µm2 channel can be programmed across 5x dynamic range using ±3 V 200 ns pulses (Fig. 2c), we estimate that a 20x20 µm2 channel (20x reduction in ∆Q) can be programmed across the same range using 20 ns pulses at a low voltage of ±1.5 V and 7.8 pJ per write. Similarly, the relatively high channel conductance demonstrated in this work (sub-mS to mS), which stems from the wide channel dimensions (1,000x20 µm2), can also be reduced by a combination of scaling and materials choice: we estimate a median conductance of 8 µS for a 20x20 µm2 (MXene/TAPA)6 channel, which can be further decreased by exploring other carbides and nitrides from the large family of 2D MXenes40. Conclusions This work represents a fundamental first step in realizing the potential of the large family of 2D MXenes as electrochemically tunable materials for high-performance applications, in particular for synaptic memories. To the best of our knowledge, this is the fastest ionic memory based on 2D materials, where the advantages of previously reported materials are combined in a single high-performance device. It also shows that layer-by-layer self-assembly can be used to tackle future challenges by enabling rapid prototyping and fundamental studies of multilayer Ti3C2Tx films, MXenes beyond Ti3C2Tx, and even other 2D materials41 and 2D heterostructures42 on a wafer scale43. Our work opens several new interesting research avenues, such as elucidating the conductance switching mechanism of 2D materials as a function of their redox state, the effect of interlayer spacing on the electronic and electrochemical behavior of multilayer 2D films, and wafer-scale patterning of MXene films to meet the requirements for device integration into neuromorphic circuitry. Ti3C2Tx is just one of the many compositions in the MXene family, where different electronic and electrochemical properties can be dialed in40. The high speed and overall performance we demonstrated in ECRAMs here illustrates that MXenes are a new family of electronic materials, ideally suited to devices that operate at the nexus of electrochemistry and electronics. References 1. Xu, X. et al. Scaling for edge inference of deep neural networks. Nat. Electron. 1, 216–222 (2018). 2. Burr, G. W. et al. Experimental demonstration and tolerancing of a large-scale neural network (165,000 synapses), using phase-change memory as the synaptic weight element. Tech. Dig. - Int. Electron Devices Meet. IEDM 29.5.1-29.5.4 (2015). doi:10.1109/IEDM.2014.7047135 3. Hu, M. et al. Memristor-Based Analog Computation and Neural Network Classification with a Dot Product Engine. Adv. Mater. 30, 1705914 (2018). 4. Agarwal, S. et al. Resistive memory device requirements for a neural algorithm accelerator. in Proceedings of the International Joint Conference on Neural Networks 929–938 (IEEE, 2016). doi:10.1109/IJCNN.2016.7727298 7 5. Tsai, H., Ambrogio, S., Narayanan, P., Shelby, R. M. & Burr, G. W. Recent progress in analog memory-based accelerators for deep learning. Journal of Physics D: Applied Physics 51, 283001 (2018). 6. Fuller, E. J. et al. Parallel programming of an ionic floating-gate memory array for scalable neuromorphic computing. Science 364, 570–574 (2019). 7. Sharbati, M. T. et al. Low-Power, Electrochemically Tunable Graphene Synapses for Neuromorphic Computing. Adv. Mater. 30, 1802353 (2018). 8. Zhu, J. et al. Ion Gated Synaptic Transistors Based on 2D van der Waals Crystals with Tunable Diffusive Dynamics. Adv. Mater. 30, 1800195 (2018). 9. Yang, C. Sen et al. All-Solid-State Synaptic Transistor with Ultralow Conductance for Neuromorphic Computing. Adv. Funct. Mater. 28, 1804170 (2018). 10. Li, Y. et al. Oxide-Based Electrolyte-Gated Transistors for Spatiotemporal Information Processing. Adv. Mater. 32, 2003018 (2020). 11. Fuller, E. J. et al. Li-Ion Synaptic Transistor for Low Power Analog Computing. Adv. Mater. 29, 1604310 (2017). 12. Li, Y. et al. Low-Voltage, CMOS-Free Synaptic Memory Based on LiXTiO2 Redox Transistors. ACS Appl. Mater. Interfaces 11, 38982–38992 (2019). 13. Tang, J. et al. ECRAM as Scalable Synaptic Cell for High-Speed, Low-Power Neuromorphic Computing. in Technical Digest - International Electron Devices Meeting, IEDM 13.1.1-13.1.4 (IEEE, 2019). doi:10.1109/IEDM.2018.8614551 14. Kim, S. et al. Metal-oxide based, CMOS-compatible ECRAM for Deep Learning Accelerator. in Technical Digest - International Electron Devices Meeting, IEDM 35.7.1-35.7.4 (IEEE, 2019). doi:10.1109/IEDM19573.2019.8993463 15. Yao, X. et al. Protonic solid-state electrochemical synapse for physical neural networks. Nat. Commun. 11, 3134 (2020). 16. Melianas, A. et al. Temperature-resilient solid-state organic artificial synapses for neuromorphic computing. Sci. Adv. 6, eabb2958 (2020). 17. Van De Burgt, Y. et al. A non-volatile organic electrochemical device as a low-voltage artificial synapse for neuromorphic computing. Nat. Mater. 16, 414–418 (2017). 18. Anasori, B., Lukatskaya, M. R. & Gogotsi, Y. 2D metal carbides and nitrides (MXenes) for energy storage. Nature Reviews Materials 2, 16098 (2017). 19. Hart, J. L. et al. Control of MXenes’ electronic properties through termination and intercalation. Nat. Commun. 10, 522 (2019). 20. Yan, X. et al. A New Memristor with 2D Ti3C2Tx MXene Flakes as an Artificial Bio-Synapse. Small 15, 1900107 (2019). 21. Sokolov, A. et al. Partially Oxidized MXene Ti3C2Tx Sheets for Memristor having Synapse and Threshold Resistive Switching Characteristics. Adv. Electron. Mater. 7, 2000866 (2020). 22. Wang, K., Chen, J. & Yan, X. MXene Ti3C2 memristor for neuromorphic behavior and decimal arithmetic operation applications. Nano Energy 79, 105453 (2021). 23. Wei, H. et al. Redox MXene Artificial Synapse with Bidirectional Plasticity and Hypersensitive Responsibility. Adv. Funct. Mater. 31, 2007232 (2021). 24. Sood, A. et al. Electrochemical ion insertion: From atoms to devices. arXiv (2020). doi:arXiv:2011.12991 25. Lukatskaya, M. R. et al. Ultra-high-rate pseudocapacitive energy storage in two-dimensional transition metal carbides. Nat. Energy 6, 17105 (2017). 26. Simon, P. & Gogotsi, Y. Perspectives for electrochemical capacitors and related devices. Nature Materials 19, 1151–1163 (2020). 27. Jiang, Q. et al. On-Chip MXene Microsupercapacitors for AC-Line Filtering Applications. Adv. Energy Mater. 9, 1901061 (2019). 28. Gund, G. S. et al. MXene/Polymer Hybrid Materials for Flexible AC-Filtering Electrochemical Capacitors. Joule 3, 164–176 (2019). 29. Sheng, K., Sun, Y., Li, C., Yuan, W. & Shi, G. Ultrahigh-rate supercapacitors based on eletrochemically 8 reduced graphene oxide for ac line-filtering. Sci. Rep. 2, 247 (2012). 30. Mathis, T. S. et al. Modified MAX Phase Synthesis for Environmentally Stable and Highly Conductive Ti3C2 MXene. ChemRxiv (2020). doi:10.26434/chemrxiv.12805280.v1 31. Seredych, M. et al. High-Temperature Behavior and Surface Chemistry of Carbide MXenes Studied by Thermal Analysis. Chem. Mater. 31, 3324–3332 (2019). 32. Tian, W. et al. Layer-by-layer self-assembly of pillared two-dimensional multilayers. Nat. Commun. 10, Article number: 2558 (2019). 33. Mojtabavi, M. et al. Wafer-Scale Lateral Self-Assembly of Mosaic Ti3C2Tx MXene Monolayer Films. ACS Nano 15, 625–636 (2021). 34. Driscoll, N. et al. Two-Dimensional Ti3C2 MXene for High-Resolution Neural Interfaces. ACS Nano 12, 10419–10429 (2018). 35. Khazaei, M., Ranjbar, A., Arai, M., Sasaki, T. & Yunoki, S. Electronic properties and applications of MXenes: a theoretical review. Journal of Materials Chemistry C 5, 2488–2503 (2017). 36. Lanza, M. et al. Recommended Methods to Study Resistive Switching Devices. Advanced Electronic Materials 5, 1800143 (2019). 37. Sangwan, V. K. & Hersam, M. C. Neuromorphic nanoelectronic materials. Nature Nanotechnology 15, 517–528 (2020). 38. Seo, S. et al. Recent Progress in Artificial Synapses Based on Two-Dimensional van der Waals Materials for Brain-Inspired Computing. ACS Appl. Electron. Mater. 2, 371–388 (2020). 39. Zhao, C., Zhao, C. Z., Taylor, S. & Chalker, P. R. Review on non-volatile memory with high-k dielectrics: Flash for generation beyond 32 nm. Materials 7, 5117–5145 (2014). 40. Anasori, B. & Gogotsi, Y. 2D Metal carbides and nitrides (MXenes): Structure, properties and applications. (Springer International Publishing, 2019). doi:10.1007/978-3-030-19026-2 41. Akinwande, D. et al. Graphene and two-dimensional materials for silicon technology. Nature 573, 507–518 (2019). 42. Pomerantseva, E. & Gogotsi, Y. Two-dimensional heterostructures for energy storage. Nat. Energy 2, 17089 (2017). 43. Kang, K. et al. Layer-by-layer assembly of two-dimensional materials into wafer-scale heterostructures. Nature 550, 229–233 (2017). 44. Alhabeb, M. et al. Guidelines for Synthesis and Processing of Two-Dimensional Titanium Carbide (Ti3C2Tx MXene). Chem. Mater. 29, 7633–7644 (2017). 45. Dua, D. & Graff, C. UCI Machine Learning Repository [http://archive.ics.uci.edu/ml]. (2019). 46. He, C. et al. Artificial Synapse Based on van der Waals Heterostructures with Tunable Synaptic Functions for Neuromorphic Computing. ACS Appl. Mater. Interfaces 12, 11945–11954 (2020). 47. Sangwan, V. K. et al. Multi-terminal memtransistors from polycrystalline monolayer molybdenum disulfide. Nature 554, 500–504 (2018). 48. Schranghamer, T. F., Oberoi, A. & Das, S. Graphene memristive synapses for high precision neuromorphic computing. Nat. Commun. 11, 5474 (2020). 49. Wang, M. et al. Robust memristors based on layered two-dimensional materials. Nat. Electron. 1, 130–136 (2018). 50. Shi, Y. et al. Electronic synapses made of layered two-dimensional materials. Nat. Electron. 1, 458–465 (2018). 51. Chen, S. et al. Wafer-scale integration of two-dimensional materials in high-density memristive crossbar arrays for artificial neural networks. Nat. Electron. 3, 638–645 (2020). 52. Zhu, X., Li, D., Liang, X. & Lu, W. D. Ionic modulation and ionic coupling effects in MoS2 devices for neuromorphic computing. Nat. Mater. 18, 141–148 (2019). 53. Kuzum, D., Yu, S. & Philip Wong, H. S. Synaptic electronics: Materials, devices and applications. Nanotechnology 24, 382001 (2013). 9 Materials and methods MXene preparation. Ti3C2Tx MXene was synthesized according the previously described modified MILD method44 from the in-house made Ti3AlC2 MAX phase powder. Briefly, Ti3AlC2 was made by dry mixing TiC (99.5%, Alfa Aesar), Ti (-325 mesh, 99.5%, Alfa Aesar), and Al (-325 mesh, 99.5%, Alfa Aesar) powders in a 2:1:1 ratio using zirconia balls for 18 h, followed by sintering the powder mixture in flowing Ar atmosphere at 1400 ᵒC for 2 h with a 3 ᵒC min-1 ramping rate. The obtained MAX phase block was milled and sieved using a 400 mesh to obtain Ti3AlC2 powder with particle size smaller than ~38 µm. To synthesize delaminated Ti3C2Tx, the obtained Ti3AlC2 powder was slowly added to an etchant solution containing mixture of HCl and LiF (20 mL of 9 M HCl (ACS) + 1.6 g of LiF (98.5%, Alfa Aesar) per 1 g of the MAX phase). The etching was carried out at 35 ᵒC for 24 h while the solution was continuously stirred at 550 rpm using a Teflon coated magnetic stirrer bar. Then, the etched powders were washed several times using DI water to remove the residual acid and aluminum salt complexes. The washing was done each time by adding 50 mL of water to each centrifuge vial (4 vials per 1 g etched powders), shaking the vials, and centrifugation at 3500 rpm for 5 min. This was repeated until the pH of supernatant reaches above 5. At this step the supernatant was decanted, and precipitates were redispersed in 150 mL of DI water. The solution was probe sonicated in an ice bath under flowing Ar for 1 h (35% amplitude, 750 W). Finally, the obtained solution was centrifuged again at 3500 rpm for 1 h and the supernatant was collected, referred to as the Ti3C2Tx solution. MXene-ECRAM fabrication using LbL self-assembly. MXene/TAPA multilayers were formed on glass substrates with lithographically patterned Au electrodes (ECRAM channel dimensions, L = 20 µm, W = 1000 µm). Prior to LbL self-assembly, the substrates were sonicated in acetone and ethanol for 20 min each, and subsequently boiled in isopropyl alcohol at 270 ᵒC for 20 min. Pre-cleaned substrates were treated with O2 plasma (Optrel GBR, Multi-stop) for 15 min to create a hydrophilic surface which promotes uniform coating. We used adhesive tape to cover the back side of the substrate during dip-coating. The clean substrates were then loaded into a dipping robot (StratoSequence VI, nanoStrata Inc.). For LbL self-assembly, we used an aqueous Ti3C2Tx MXene solution (0.5 g L-1) and one of the organic molecules (TAPA, TAEA, or PEI) dissolved in water (1 g L-1). One MXene/TAPA bilayer was formed in 8 steps using an automated program (StratoSmart v7.0). First, the pre-cleaned and Au-coated substrates were slowly dipped into the TAPA solution and subsequentially spun in a circle for 5 min. After that, the coated substrate was rinsed 3 times (2 min each) with Milli-Q water, leading to the formation of a positively charged surface. Following these 4 steps, the now positively charged substrate was dipped and spun again in the MXene solution for 5 min and was subsequently rinsed 3 times (2 min each) as described above. Following these 8 steps, a (MXene/TAPA)1 film was formed. This process was then repeated to achieve the desired number of bilayers. The LbL assembled films were dried overnight in a vacuum oven at room temperature. The adhesive tape was removed, and the film was cut using a razor blade to create physically separate channel/gate films of the ECRAM device. We used 1 g poly(methyl methacrylate) (PMMA, Sigma, Mw ~996,000 by GPC, crystalline) dispersed in 10 mL Toluene (Sigma, ACS reagent, ≥99.5%) to coat the Au electrodes in order to prevent shorting the device via Au contact with the gel electrolyte. The PVA-H2SO4 gel electrolyte was then drop-casted on top of and in-between the channel/gate films to complete the ECRAM device. MXene multilayer characterization using SEM and XRD. We used LbL-assembled MXene multilayer films on Si substrates. Cross-sectional SEM images were collected by field emission SEM (Hitachi S4800, Hitachi Corp., Japan). XRD was performed in air at room temperature. The corresponding XRD patterns were recorded with a PANalytical XʹPert PRO diffraction system using CuKα radiation (λ = 1.5418 Å) in the 2θ range from 4° to 20°. 10 PVA-H2SO4 gel electrolyte synthesis. 10 mL Milli-Q water was bubbled through Ar for 1 h and mixed with 1 g of PVA (Sigma, Mw 89,000-98,000, 99+% hydrolyzed). The resulting solution was stirred at 85 ᵒC for at least 2 h until it became transparent, and was subsequently cooled to room temperature. 3 g of H2SO4 (Sigma, >97.5%) was then slowly added to the above solution, followed by stirring for at least 1 hour at room temperature. MXene-ECRAM transfer characteristics. Transfer characteristics were measured in ambient using either a semiconductor parament analyzer (Keithley 4200A-SCS) or a source-meter unit (Keithley 2600) that was controlled using custom LabVIEW code. MXene-ECRAM pulsed measurements. Time-resolved ECRAM cycling experiments were performed in ambient using two waveform generators (33520B and 33522B, 30 MHz, Keysight Technologies) and an oscilloscope (DSOS054A, 500 MHz, Keysight Technologies) that were controlled using custom LabVIEW code. The measurement circuit was assembled using off-the-shelf components on a custom-designed printed circuit board and enclosure. The collected data were analyzed using custom MATLAB code. See Supplementary Fig. S3 for a measurement schematic and a more detailed explanation. MXene-ECRAM retention measurements. To estimate state retention in ambient, the ECRAM device was first programmed to a desired source to gate voltage (VSG), for example VSG = -0.4 V, followed by leaving the gate floating, and continuously measuring ISD using a source-meter unit (Keithley 2600) that was controlled using custom LabVIEW code. ANN simulations. Fig. 3e simulations were performed using the crossbar simulator “CrossSim” (Sandia National Laboratory, USA)4,11, which accounts for experimentally measured ECRAM write noise and switching nonlinearity/asymmetry. Measured cumulative distribution functions (CDF) (Figs. 3c-d) were used to generate a lookup table for synaptic weight updates in ANN simulations. Negative weights were obtained by subtracting a bias from the center of the experimental ECRAM conductance range. An ANN with a size of 64x36x10 was trained using a set of 5620 handwritten digits (8 x 8-pixel version of the MNIST handwritten digit dataset45). MXene/TAPA multilayer anneal. The (M/TAPA)6 film in Fig. 4 was annealed in a vacuum probe station (LTMP-4, MMR Technologies) under 2 × 10−4 mbar vacuum. Acknowledgements A.M. and A.S. acknowledge financial support from the Semiconductor Research Corporation (SRC), IMPACT nCore Center, Task no. 2966.012. M.K. and M.H. acknowledge financial support by the ÅForsk Foundation (18-461). W.T. and M.H. acknowledge financial support by the Swedish Energy Agency (Energimyndigheten 48489-1). Authors acknowledge Alessandro Enrico at KTH for help with laser patterning, Tyler James Quill at Stanford University for help with ANN simulations, and Toni Moore and Alex Blagojebic for their help at University of Connecticut with MAX phase synthesis. A.V.M. acknowledges Sina Shahbazmohamadi for providing access to chemical laboratories at innovation partnership building (IPB) of the University of Connecticut for part of this project. Author contributions A.M. and M.H. conceived the original idea. A.M. led the project, characterized ECRAM performance, and wrote the manuscript draft. M.K. performed LbL self-assembly and measured SEM. M.K. and A.M. fabricated ECRAMs and measured their transfer curves. W.T. developed the LbL self-assembly of MXene/TAPA multilayers. W.T. and M.K. measured XRD. A.V.M. prepared the MAX phase powder and MXene solutions, provided guidance 11 for MXene film preparation to M.K. and A.M., and helped A.M. draft the manuscript. All authors contributed to developing ideas and interpreting data, and to the writing and preparation of the final manuscript. Competing financial interests The authors declare no competing financial interests. Data availability The data and code that support the findings of this study are available from the corresponding authors upon reasonable request. Supplementary Information Supplementary Figs. S1-S5 Supplementary Table S1 12 Figure 1. MXene-ECRAM device architecture and performance optimization using LbL self-assembly. (a) ECRAM device schematic. (b) Cross-sectional SEM images of (MXene/TAPA)n multilayers with n = 10, 20, and 30 bilayers on Si. (c) Transfer characteristics of MXene-ECRAMs based on pristine Ti3C2Tx (black dashed) and (MXene/TAPA)n multilayers (colored), obtained at a high-rate gate voltage sweep of 8 V s-1, show nearly linear high-speed resistance switching. (d) XRD patterns of pristine Ti3C2Tx (black) and LbL-assembled (MXene/TAPA)10 multilayer (blue). Vertical dashed lines mark the (0002) peak positions. (e) ECRAM dynamic range versus number of bilayers. (M/TAPA) label abbreviates (MXene/TAPA). \n13 Figure 2. Fast cycling of MXene-ECRAMs and speed comparison to other ionic memories. (a) Fast pulsed operation of (MXene/TAPA)10 ECRAM using ±1-V 4-µs write pulses, followed by 1-µs write-read delay and 0.1-V 10-µs readout. The write current (IGD) is divided by 3.5 to fit in the same figure. (b) (MXene/TAPA)10 ECRAM cycling using ±1-V 4-µs write pulses (top panel) and ∆Q per write (bottom panel). Cycling is nearly linear with constant ∆Q per write across the ECRAM dynamic range. This cycling data was obtained from panel a: channel conductance (GSD) was calculated by dividing the measured read current (ISD) by the known read voltage (VSD), while ∆Q per write was obtained by integrating the measured IGD. (c) (MXene/TAPA)6 ECRAM cycling using 200 ns write pulses with varying write voltage. Dynamic range increases at higher voltages due to an increase in ∆Q per write. (d) Non-volatile write duration versus settling time in ECRAMs using various materials classes: polymers (orange circles), 2D materials (green triangles), metal oxides (blue diamonds), and 2D Ti3C2Tx MXene used in this work (red square). MXene-ECRAMs enable high-speed switching and fast readout comparable to polymer-ECRAMs, and are orders of magnitude faster compared to previously reported ionic memories using 2D materials and metal oxides. (M/TAPA) label abbreviates (MXene/TAPA). \n14 Figure 3. MXene-ECRAM retention, endurance to >108 write-read events, and image recognition simulations using experimentally measured ECRAM write noise. (a) (MXene/TAPA)6 ECRAM state retention in ambient (red) after the device has been programmed to the indicated source to gate voltage (VSG) and fits to a bi-exponential decay (orange dashed). (b) (MXene/TAPA)6 ECRAM endurance to >108 write-read events (top panel) with stable ∆Q per write throughout (bottom panel), recorded using ±1-V 4-µs write pulses. (c-d) (MXene/TAPA)6 ECRAM ∆GSD vs GSD switching statistics for write noise characterization for potentiation (c) and depression (d), obtained by uniformly sampling 3,000 write-read events from panel b. The cumulative distribution function (CDF) represents the probability that ∆GSD per write is less or equal to the plotted ∆GSD. The small spread around the mean ∆GSD indicates low write noise (∆G2/σ2 > 100). (e) Simulated ANN accuracy for 8 x 8-pixel handwritten digit image classification using MXene-ECRAM switching statistics (red) from panels c-d, compared to ideal numerical accuracy (black). (M/TAPA) label abbreviates (MXene/TAPA). \n15 Figure 4. MXene-ECRAM resilience to high temperature. Endurance to >108 write-read events (top panel) with stable ∆Q per write throughout (bottom panel) of MXene-ECRAMs using a (MXene/TAPA)6 film that was annealed up to 400 ᵒC in vacuum (red) versus a non-annealed (MXene/TAPA)6 reference (grey), recorded using ±1-V 4-µs write pulses at room temperature. The (MXene/TAPA)6 film was annealed without the electrolyte. (M/TAPA) label abbreviates (MXene/TAPA). \n16 Supplementary Information \n Supplementary Figure S1. MXene-ECRAM performance versus spacer molecule. (a) Transfer characteristics of MXene-ECRAMs based on (MXene/TAPA)n (red), (MXene/TAEA)n (black), and (MXene/PEI)n versus the number of bilayers, recorded at a scan rate of 8 V s-1. MXene/PEI devices were only tested using 20 bilayers due to their slow response (large hysteresis), shown in panel b. (MXene/TAPA)n devices showed the best performance: nearly no hysteresis and a larger dynamic range compared to (MXene/TAEA)n irrespective of the number bilayers, shown in panel c. (b) Transfer characteristic of (MXene/PEI)20 ECRAM shows a pronounced hysteresis even at a reduced scan rate of 3.15 V s-1, indicating a slow device response. (c) MXene-ECRAM dynamic range versus number of bilayers for (MXene/TAPA)n and (MXene/TAEA)n (red and black circles, respectively). The black square shows the limited dynamic range of pristine Ti3C2Tx. (MXene/PEI)20 dynamic range is 4x but is not shown due to the slow response of the (MXene/PEI)20 device, shown in panel b. (M/TAPA), (M/TAEA), and (M/PEI) labels abbreviate (MXene/TAPA), (MXene/TAEA), and (MXene/PEI), respectively. \n17 Supplementary Figure S2. XRD patterns of MXene multilayers using different spacer molecules. (M/TAEA)10 (black), (M/TAPA)10 (blue), and (M/PEI)10 (orange). Vertical dashed lines mark the (0002) peak positions. (M/TAPA), (M/TAEA), and (M/PEI) labels abbreviate (MXene/TAPA), (MXene/TAEA), and (MXene/PEI), respectively. \n18 \n Supplementary Figure S3. MXene-ECRAM pulsed measurement schematic. We use a high-speed switch at the ECRAM gate as the write select and a high-speed switch at the ECRAM source as the read select. These switches are temporally synced with the corresponding write (blue) and read (red) pulses, and are turned ON (allowing current flow) only for the duration of the write and read pulses. The above schematic shows the pulsing conditions used to cycle the (MXene/TAPA)10 ECRAM in Figs. 2a-b of the main text. The write select is ON for the 4 µs duration of the write pulse and is turned OFF (no current flow) immediately after. The read pulse is delayed by 1 µs, see inset (black rectangle). Following the 1 µs write-read delay, the read select is turned ON for the 10 µs duration of the read pulse and turned OFF immediately after. The ECRAM gate to drain current (IGD), i.e. the write current, and the source to drain current (ISD), i.e. the read current, are measured using operational amplifiers (OpAmps). The measured OpAmp voltage is converted to current by using known OpAmp gain. We use two additional switches: gate to drain (GD) readout select and source to drain (SD) readout select to ensure accurate readout of IGD and ISD, respectively. The GD readout select is ON (allowing current flow) for the duration of the write pulse and is turned OFF (no current flow) immediately after. The SD readout select is synced with the read pulse in similar fashion: the SD readout select is ON (allowing current flow) for the duration of the read pulse and is turned OFF (no current flow) immediately after. The channel conductance (GSD) is calculated by dividing the measured ISD by the known read voltage (VSD). The write current (IGD) is integrated for the duration of the write pulse to obtain the amount of injected charge (∆Q) per write. \n19 Supplementary Figure S4. (MXene/TAPA)6 and (MXene/TAPA)10 ECRAM cycling using 200 ns write. Comparison between (MXene/TAPA)6 (a) and (MXene/TAPA)10 (b) ECRAM cycling characteristics using 200 ns write pulses and varying write voltage. In both cases the dynamic range increases at higher voltages due to an increase in ∆Q per write. (MXene/TAPA)6 dynamic range is significantly larger (5x at ±3 V) compared to (MXene/TAPA)10 (1.3x at ±3 V). (M/TAPA) label abbreviates (MXene/TAPA). \n20 Supplementary Figure S5. (MXene/TAPA)10 ECRAM endurance to >108 write-read events (top panel) with stable ∆Q per write throughout (bottom panel), recorded using ±1-V 4-µs write pulses. Drift = 1.4 %, dynamic range and ∆Q needed to switch between states remained nearly unaffected (<0.14 % change for both).\n21 Supplementary Table S1. Performance comparison of various synaptic devices. MXene- and polymer-based ECRAMs stand out due to their linear high-speed switching at low energy, low noise, and high endurance. Other 3-terminal synaptic memories and ECRAMs based on 2D materials and metal oxides generally suffer from slow write and readout speeds. 2-terminal MXene-based threshold-type resistive switches have been demonstrated20–23, but lack non-volatility and predictable switching characteristics. 2-terminal multilayered 2D h-BN and 2D MoS2−xOx devices have shown promising performance, but face the same challenges as conventional 2-terminal memories, such as ReRAM and PCM, namely poor linearity and high write noise. More in-depth analysis of synaptic memory technologies and metrics can be found in recent reviews4,5,37,38. Device Material Electrolyte or gate Non-volatile write duration Write-read delay or settling time Write amplitude Switching energy or power Endurance Linearity # of states Write noise Ref. \n3 terminal ECRAM 2D MXene Multilayer Ti3C2Tx/TAPA H2SO4-PVA 200 ns 1 µs ±1 V 80 fJ µm-2 >108 writes Med/High >50 Low This work Polymers p(g2T-TT) EMIM:TFSI PVDF-HFP 20 ns 100 ns ±1 V <10 fJ µm-2 >108 writes Excellent >100 Low 16 PEDOT:PSS EIM:TFSI PVDF-HFP 20 ns 1 µs ±1 V <10 fJ µm-2 >108 writes High >100 Low 16 PEDOT:PSS Nafion 200 ns 250 ns ±1 V 4 fJ µm-2 >108 writes Excellent >50 Low 6,16 2D materials Graphene LiClO4-PEO 10 ms ~20 s ±50 pA <500 fJ >500 High >250 - 7 WSe2 multilayer LiClO4-PEO 100 ms 2.8 s +1.2V/-0.4V >30 fJ - Med/High >50 - 8 α-MoO3 LiClO4-PEO 10 ms 10 s ±2.5 V 1.8 pJ - Med >50 Low 9 Metal oxides LixTiO2 LiClO4-PEO 10 ms at 80 ᵒC ΔG settles in ~50 ms ±0.3 V ~30 fJ µm-2 >106 writes High >250 Low 12 Li1−xCoO2 LiPON 2 s ~1 s ±0.75 mV ~50 aJ µm-2 - Med >200 Low 11 WO3 LiPON 5 ns 1.5 s ±0.3 mA 2 pJ >105 writes High >200 Low 13 WO3 HfO2 100 ns 0.2 s ±4 V - >107 writes High >40 - 14 3 terminal 2D materials MoS2/h-BN heterostructure Gr gate 1 ms (50 ns) - ±12 V (±20 V) 7.3 fJ - Med >30 - 46 MoS2 monolayer Si/SiO2 gate 1 ms - ±30 V - - Poor >2 - 47 Graphene Pt/TiN/Si/ Al2O3 gate 1 s - ±5 V 5 mJ >500 - >16 Med 48 Metal oxides α-Nb2O5 LixSiO2 gate 100 ns to 10 ms 10 ms to 1s +3.6V/-3.4V 20 fJ µm-2 >106 writes Med/High >32 Low 10 WO3 Nafion 5 ms ~1 s ±0.5 µA ~860 aJ µm-2 >2x104 writes Poor >100 - 15 \n2 terminal 2D MXene Multilayer Ti3C2Tx - 10 ns set 500 µs reset - ±2 V - >106 writes Poor >2 - 20 Multilayer Ti3C2Tx - 1 ms - ±3 V - >200 writes Poor >2 - 21 Multilayer Ti3C2Tx - 50 ns, volatile - +4 V 0.35 pJ >100 writes Poor >2 - 22 Multilayer Ti3C2Tx - 360 ms, volatile -4.5 V 25.9 pJ - Poor >2 - 23 2D materials Multilayer MoS2−xOx - 100 ns - +3V/-4V - >107 writes - 2 - 49 Multilayer h-BN - >20-200 µs, many pulses needed for nonvolatility - +0.7V/-0.1V 600 pW - Poor >2 - 50 Multilayer h-BN - 1 ms set - 5.8V set - 20 fJ for volatile write >8x104 cycles Poor >25 - 51 Multilayer LixMoS2 - 1 ms - ±4 V - >104 writes Poor ~100 High 52 Example ReRAM TiOx/HfOx - ~10 ns - ~1.2 V 1-24 pJ ~109-1013 writes Poor 2-100 High 53 Example PCM Ge2Sb2Te5 - ~50 ns - 5 V 2-50 pJ ~109-1012 writes Poor 2-100 High 53 " }, { "title": "1203.4781v1.Magnetoplasmon_resonance_in_2D_electron_system_driven_into_a_zero_resistance_state.pdf", "content": "arXiv:1203.4781v1 [cond-mat.mes-hall] 21 Mar 2012Magnetoplasmonresonance in2D electron system driven into azero-resistance state\nA.T. Hatke,1M.A. Zudov,1,∗J.D. Watson,2,3and M.J. Manfra2,3\n1School of Physics and Astronomy, University of Minnesota, M inneapolis, Minnesota 55455, USA\n2Department of Physics, Purdue University, West Lafayette, Indiana 47907, USA\n3Birck Nanotechnology Center, School of Materials Engineer ing and School of Electrical and Computer Engineering,\nPurdue University, West Lafayette, Indiana 47907, USA\n(Received January 12, 2012; accepted for publication March 21, 2012)\nWe report on a remarkably strong, and a rather sharp, photore sistance peak originating from a dimensional\nmagnetoplasmon resonance (MPR)inahighmobilityGaAs/AlG aAsquantum welldrivenbymicrowave radia-\ntionintoazero-resistancestate(ZRS).Theanalysisofthe MPRsignalrevealsanegativebackground, providing\nexperimental evidence for the concept of absolute negative resistance associated with the ZRS. When the sys-\ntem is further subject to a dc field, the maxima of microwave-i nduced resistance oscillations decay away and\nthe system reveals a state with close-to-zero differential resistance. The MPR peak, on the other hand, remains\nessentiallyunchanged, indicatingsurprisingly robust Oh mic behavior under the MPRconditions.\nPACS numbers: 73.43.Qt, 73.63.Hs,73.40.-c\nRecent low-field magnetotransport experiments in very\nhigh mobility two-dimensional electron systems (2DES) re-\nvealed a variety of remarkable phenomena,1–9which include\nmicrowave-induced resistance oscillations (MIRO).1,10–13\nMIROoriginatefromeitherthe displacement mechanism,14–18\nstepping from the modification of impurity scattering by mi-\ncrowaves, or from the inelasticmechanism,18,19owing to the\nradiation-induced non-equilibrium distribution of elect rons.\nIneithercase, MIRO canbedescribedbya radiation-induced\ncorrection(photoresistivity)oftheform\nδρω∝ −sin(2πω/ωc), (1)\nwhereωc=eB/m⋆is the cyclotron frequency, m⋆is the\nelectron effective mass, and ω= 2πfis the microwave fre-\nquency. The negative photoresistance at the MIRO minima\ncanapproach(butcannotexceed)thedarkresistivity,byab so-\nlute value, giving rise to zero-resistance states (ZRS).4,5,20–25\nItwaspredictedtheoretically26thatZRSemergeasaresultof\naninstabilityoftheunderlyingnegativeresistance.\nIn addition to MIRO, microwave photoresistance can also\nreveal magnetoplasmon resonance (MPR).1,27–32The disper-\nsion of 2D plasmons in the long-wavelengthlimit was calcu-\nlatedbyStern33\nω2\np(q) =e2ne\n2ε0¯εm∗q, (2)\nwhereε0is the permittivity of vacuum, ¯εis the effective di-\nelectric constant of the surroundings,34andneis the density\nof 2D electrons. In a laterally confined2DES, such as a long\nHall bar of width w, fundamental mode of standing plasmon\noscillations have a wave number q0=π/w. Upon applica-\ntion of a perpendicular magnetic field B, the plasmon mode\nhybridizes with the cyclotron resonance35and the dispersion\nofa combined(magnetoplasmon)modeisgivenby\nω2=ω2\nc+ω2\np. (3)\nIn contrast to MIRO, there exists no theory of MPR photore-\nsistance. However, it is believed that radiation absorptio ntranslatesto electronheatingwhich,in turn,causesa (usu ally\npositive36)resistivitychange.27,28\nWhile both MPR and MIRO were realized simultaneously\ninseveralexperiments,1,29–31,37theMPRpeakremainedmuch\nsmaller than both MIRO and the dark resistivity. Moreover,\neven in studies using ultra-high mobility 2DES, the MPR\npeakremainedweak but was broadenoughto completelyde-\nstroy ZRS,29and, as a result, their interplay could not be ex-\nplored. On the other hand, it is interesting to see if the MPR\ncan be used to study ZRS and, e.g., to obtain information on\ntheunderlyingabsolutenegativeresistancepredictedalm osta\ndecadeago.26Furthermore,thereexist no studiesofthe MPR\nin strong dc electric fields, which were successfully used to\ngetinsightintootherlowfield phenomena.38–41\nInthisRapidCommunicationwereportonmicrowavepho-\ntoresistivity measurements in a high mobility GaAs/AlGaAs\nquantum well. In addition to MIRO and ZRS, our experi-\nment reveals a remarkably strong and sharp photoresistance\npeak. This peak originates from a dimensional MPR and, in\ncontrast to previous studies, its height is comparable to th e\nMIRO amplitude, to the zero-field resistivity, and is severa l\ntimeslargerthanthedarkresistivity. Bytuningthemicrow ave\nfrequency, the MPR and ZRS conditions can be satisfied si-\nmultaneously giving rise to a re-entrant ZRS interrupted by\nthe sharp MPR peak. Lorentzian fit of the MPR peak reveals\na negative background,providing strong evidence for the ab -\nsolutenegativeresistanceassociatedwithZRS.26Uponappli-\ncationofa dcelectricfield,low-orderMIROmaximaquickly\ndecayandthe2DESgoesintoastatewithclose-to-zerodiffe r-\nential resistance.42The MPR peak, on the other hand, shows\nsurprisinglylittle sensitivity to the dc field, bothin its m agni-\ntude and in its position. This behavior implies that under th e\nMPR condition,the resistivity remainsOhmic to muchlarger\ncurrentscomparedtobothMIROandthedarkresistivity.\nOur sample is a lithographically defined Hall bar\n(widthw= 50µm) fabricated from a 300 ˚A-wide\nGaAs/Al 0.24Ga0.76As quantum well grown by molecular\nbeamepitaxy. After a brief low-temperatureillumination, the\ndensity and the mobility were ne≈2.9×1011cm−2and\nµ≃1.3×107cm2/Vs, respectively. Microwave radiation2\n3\n2\n1\n0U\u000f \u0003UZ (:)\n4 3 2 1 0\nB (kG)f =150 GHz\nF3 2 \nMPR1b\nSdHO\nMIRO\nZRS ZRS3\n2\n1\n0U\u000f \u0003UZ (:)f =170 GHz\nF3 2 \nMPR1a\n02\nFFFFFF\nSdHO\nMIRO\nZRS ZRS\nFIG.1. (Coloronline)Magnetoresistivity ρω(B)43(darkcurves) un-\nder microwave irradiation of frequency (a) f= 170GHz and (b)\nf= 150GHz atT= 0.65K. Both panels also show ρ(B)mea-\nsured without irradiation (light curves). The dashed curve s are fits\nto the data (see text). The vertical lines are drawn at the har monics\nof the cyclotron resonance, ω/ωc= 1,2,3,..., obtained from the\nMIRO period. The MPR and X2peaks are marked by “MPR” and\nby“X2”,respectively. Arrows mark anadditional series of peaks.\noffrequency f,generatedbyabackwardwaveoscillator,was\ndelivered to the sample via a 1/4 inch (6.35 mm) diameter\nlight pipe. The resistivity ρωand the differential resistivity\nrω≡dV/dIwere measured using a low-frequency lock-in\ntechnique under continuous microwave irradiation in sweep -\ningmagneticfield.\nInFig.1(a)and(b)we presentresistivity, ρω,(darkcurves)\nasafunctionofmagneticfield Bundermicrowaveirradiation\nof frequency f= 170GHz and f= 150GHz, respectively,\nmeasured at T= 0.65K. For comparison, both panels also\nshow magnetoresistivity, ρ(B), measuredwithout microwave\nirradiation (light curves). Without radiation, ρ(B)exhibits\na strong negative magnetoresistance effect44–47followed by\nShubnikov-deHaas oscillations (SdHO) at B/greaterorsimilar1.5kG. Un-\nder microwave irradiation, the magnetoresistivity ρω(B)re-\nveals pronounced MIRO which persisting up to the 10-th or-\nder. Being controlled by ω/ωc[cf. Eq.(1)], MIRO appear\nnear the cyclotron resonance harmonics at both frequencies\n(cf. vertical lines drawn at ω/ωc= 1,2,3,...). We furthernotice that ρω(B)reveals a series of fast oscillations super-\nimposed on the second MIRO maximum [cf. ↓in Fig.1(a)].\nAt this point we are not certain about the origin of these\noscillations48but the position and the shape of the maximum\nclosest to the second cyclotron resonance harmonic [marked\nby “X2” in Fig.1(a)] appear consistent with the recently dis-\ncoveredX2peak,41,44,45,49,50whose nature, however, is also\nunknownat thispoint.\nFurtherexaminationofthedatarevealsthatthelowerorder\nMIRO minima are developed into ZRS, attesting to the high\nquality of our 2DES. Remarkably, the fundamental (first or-\nder)ZRS inFig.1(a)isinterruptedbyaverystrongandsharp\nphotoresistance peak. As we show below, this peak (marked\nby “MPR”) corresponds to the fundamental mode of the di-\nmensionalMPR, whichapparentlycaneasily destroythecur-\nrentdomainstructureassociatedwiththeZRS.25,26Theheight\nof this MPR peak in our experiment is several times larger\nthan the dark resistivity. This finding contrasts with previ -\nous studies,1,27–29where the the MPR photoresistance was\nonly a few percent of the dark resistivity. While the origin\nofsucha giantresponseto MPRin our2DES isnotprecisely\nknown, it might be qualitatively explained by strong temper -\naturedependence47of the darkresistivity in the regimeof the\ngiantnegativemagnetoresistance.44–47UndertheMPRcondi-\ntion, this strong temperature dependence translates to a gi ant\nresistivity peak owing to electron heating due to resonant a b-\nsorptionofradiation.\nWe next examine the height, the position, and the width of\nthe MPR peak shown in Fig.1(a) in more detail. The peak\nheight, if measured from zero, is about 1.5 Ω, which is com-\nparable to both the zero-field resistivity and the third-ord er\nMIRO peak. However, since the MPR peak is overlapping\nwiththeZRS,whichisbelievedtobecharacterizedbytheun-\nderlyingnegativeresistance,23,26the actual heightofthe peak\nshould be even larger. To test this prediction, and to obtain\nother characteristics of the MPR photoresistance, we fit our\ndata with Lorentzian, ρω(B) =a+b/[(B−B0)2+(δB)2],\nandpresenttheresultasadashedcurveinFig.1(a). Thefitti ng\nprocedure reveals the negative background a≈ −0.45 Ω,51\nsuggestingthatthe actualheightofthe MPRpeakinFig.1(a)\nis close to 2.0 Ω, and that the MPR photoresistance can be\nusedtoprobetheabsolutenegativeresistance associatedw ith\nthe ZRS.52We also notice that the half-width of the MPR\npeak,δB≈0.08kG≈0.16K, is considerably smaller\nthan the radiativedecay rate, τ−1\nem=nee2/2ǫ0√\n˜εm⋆c,√\n˜ε=\n(√\n12.8+1)/2≈2.3,53whichwe estimate as τ−1\nem≈0.74K\ninour2DES.\nWithB0≈3.0kG andm⋆= 0.067m0, we calculate the\nplasmon frequency fp=/radicalbig\nω2−ω2c/2π≈115GHz using\nEq.(3). Thisvalue is somewhatlowerthan ωp(q0)/2π≈126\nGHz obtained from Eq.(2). We notice that the dispersion\ngiven by Eq.(3) is generally valid in a quasi-electrostatic ap-\nproximationwherethe retardationeffectscan be ignored.54,55\nAccordingtoRef.55,theimportanceofretardationcanbede -\nscribedbytheratiooftheplasmonfrequencytothefrequenc y\noflightwith thesamewavevector, α=/radicalbig\ne2new/2πε0m⋆c2.\nIn our Hall bar, we estimate α≃0.15and thusdo not expect\nsignificant modification of the MPR dispersion. It is known,3\n10\n5\n0rZ (:)\n3 2 1 0\nB (kG)f = 165 GHz\nT = 1.4 K C\n0 A/m0.050.100.150.20\nMPRZdRS4 2 3\nZRSFFFFF\nFIG. 2. (Color online) Differential resistivity rωversus magnetic\nfieldBunder microwave irradiation of f= 165GHz measured at\nT= 1.4K for different current densities from j= 0to 0.20 A/m,\nin a step of 0.05 A/m. The traces are vertically offset for cla rity by\n2.5Ω. The verticallines are drawnatthe harmonics ofthe cyclotr on\nresonance, ω/ωc= 1,2,3,....\nhowever,that even when retardationeffects are not importa nt\nthe actual plasmon frequency is expected to be about 15%\nlower than ωp(q0)estimated from Eq.(2).54,55Such a reduc-\ntionwasobservedinbothearly27andmorerecent28,37experi-\nments.\nAs shown in Fig.1(b), at f= 150GHz the MPR peak is\nmovedtowards the second MIRO maximumand is no longer\noverlappingwiththeZRS.Thisobservationisconsistentwi th\nthe MPR dispersion relation, Eq.(3), which dictates strong er,\ncompared to MIRO, dependence of the MPR peak position\non the microwave frequency. Direct comparison of the data\natf= 170GHz and at f= 150GHz reveals roughly\nequal MIRO amplitudes, indicative of comparable effective\nmicrowave intensities incident on our 2DES. We fit our data\n(cf. dashed curve) in the vicinity of the MPR peak with\nρω(B) =a+b/[(B−B0)2+ (δB)2] +c(B−B0), where\nthe last term accountsfora B-dependentbackground. Unlike\nthef= 170GHz data, the fit reveals positive background\nresistance, a≈0.15 Ω, explaining a considerably higher\n(≈2.35 Ωif measured from zero) MPR peak compared to\noneatf= 150GHz.\nWe nowturntotheroleofadcelectricfieldonMIROand,\nespecially, on the MPR peak. In Fig.2 we present the differ-ential resistivity rωas a function of Bmeasured at T= 1.4\nK,undermicrowaveirradiationof f= 165GHz,andselected\ndirect current densities from j≡I/w= 0to 0.20 A/m, in a\nstepof0.05A/m. Thetracesareverticallyoffsetforclarit yby\n2.5Ωand the vertical lines are drawnat the harmonicsof the\ncyclotron resonance, ω/ωc= 1,2,3,.... First, we observe\nthat the response of MIRO to the dc field is strongly nonlin-\near. Indeed,alreadyat j= 0.05A/m(I= 2.5µA)MIROde-\ncrease in amplitude by about a factor of two and at j= 0.10\nA/m almost disappear. At higher j, high-order MIRO reap-\npear and start shifting towards higher B, in agreement with\npreviousexperimental38,39,41andtheoretical56,57studies. This\nbehavior is a result of nonlinear mixing of MIRO and Hall\nfield-inducedresistanceoscillations,3,58–60arisingduetoelec-\ntronbackscatteringoffshortrangedisorderbetweenHallfi eld\ntilted Landau levels. On the other hand, the low order MIRO\nmaximaremainstronglysuppressedandthedatarevealastat e\nwith close-to-zero differential resistance which spans a w ide\nmagnetic field range. The presence of microwave irradiation\nin formation of these states is not essential since they also\nemerge in a non-irradiated 2DES in a similar range of elec-\ntricandmagneticfields.42\nUnlike MIRO, which change dramatically with increasing\ndcfield,theMPRpeakshowssurprisinglylittlevariationbo th\nin magnitude and in position. This behavior is totally unex-\npectedsinceitdemonstratesthatthemicrowaveradiationp ro-\ntects Ohmic behavior within a narrow magnetic field range\ncorrespondingtotheMPR.Wenotethatinallpreviousexper-\niments within this range of dc fields, both with and without\nmicrowaveradiation,theOhmicregimealwaysremainedlim-\nitedtoan orderofmagnitudelowermagneticfields.38,42,58\nIn summary, we have studied microwave photoresistance\nof a Hall bar-shaped, high mobility GaAs/AlGaAs quantum\nwell. In addition to microwave-induced resistance oscilla -\ntions and zero-resistance states, the photoresistance rev eals a\ndistinct peak which originates from a fundamental mode of\na dimensional magnetoplasmon resonance. This MPR peak\nis several times higher than the dark resistivity, likely du e\nto strongly temperature dependent dark resistivity47in our\n2DES. Analysis of the MPR peak, when it is superimposed\nonto a ZRS, allows us to obtain information about the ZRS-\nassociated absolute negative resistance, which is otherwi se\nmasked by instabilities.26When the irradiated system is fur-\nther subject to a dc electric field, microwave-induced resis -\ntanceoscillationsquicklydecayandthe2DESexhibitsasta te\nwith close-to-zero differential resistance. The MPR peak, on\ntheotherhand,isfoundtobeimmunetothedcfieldexhibiting\nOhmicbehavior.\nWe thank J. Jaroszynski, J. Krzystek, G. Jones, T. Mur-\nphy, and D. Smirnov for technical assistance. This work was\nsupported by the US Department of Energy, Office of Ba-\nsic Energy Sciences, under Grant Nos. DE-SC002567 (Min-\nnesota) and de-sc0006671 (Purdue). A portion of this work\nwas performed at the National High Magnetic Field Labo-\nratory (NHMFL), which is supported by NSF Cooperative\nAgreement No. DMR-0654118, by the State of Florida, and\nbytheDOE.4\n∗Corresponding author: zudov@physics.umn.edu\n1M.A.Zudov,R.R.Du,J.A.Simmons,andJ.L.Reno,Phys.Rev.\nB64, 201311(R) (2001).\n2M. A. Zudov, I. V. Ponomarev, A. L. Efros, R. R. Du, J. A. Sim-\nmons, et al.,Phys.Rev. Lett. 86, 3614 (2001).\n3C. L. Yang, J. Zhang, R. R. Du, J. A. Simmons, and J. L. Reno,\nPhys.Rev. Lett. 89, 076801 (2002).\n4R.G.Mani, J.H.Smet,K.vonKlitzing,V.Narayanamurti, W.B .\nJohnson, et al.,Nature (London) 420, 646 (2002).\n5M.A.Zudov,R.R.Du,L.N.Pfeiffer,andK.W.West,Phys.Rev.\nLett.90, 046807 (2003).\n6C.L.Yang,M.A.Zudov,T.A.Knuuttila,R.R.Du,L.N.Pfeiffe r,\net al.,Phys.Rev. Lett. 91, 096803 (2003).\n7I. V. Kukushkin, M. Y. Akimov, J. H. Smet, S. A. Mikhailov,\nK.von Klitzing,et al.,Phys.Rev. Lett. 92, 236803 (2004).\n8A. A. Bykov, J.-Q. Zhang, S. Vitkalov, A. K. Kalagin, and A. K.\nBakarov, Phys.Rev. Lett. 99, 116801 (2007).\n9M. Khodas, H. S. Chiang, A. T. Hatke, M. A. Zudov, M. G. Vav-\nilov, etal.,Phys. Rev. Lett. 104, 206801 (2010).\n10S. A. Studenikin, M. Potemski, A. Sachrajda, M. Hilke, L. N.\nPfeiffer,etal.,Phys. Rev. B 71, 245313 (2005).\n11S. A. Studenikin, A. S. Sachrajda, J. A. Gupta, Z. R. Wasilews ki,\nO.M. Fedorych, etal.,Phys. Rev. B 76, 165321 (2007).\n12A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W. West, Phys.\nRev. Lett. 102, 066804 (2009).\n13A. T. Hatke, M. Khodas, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest,Phys.Rev. B 84, 241302(R) (2011).\n14V. I.Ryzhii, Sov.Phys. SolidState 11, 2078 (1970).\n15A. C. Durst, S. Sachdev, N. Read, and S. M. Girvin, Phys. Rev.\nLett.91, 086803 (2003).\n16X.L.Lei andS.Y. Liu,Phys.Rev. Lett. 91, 226805 (2003).\n17M. G.VavilovandI. L.Aleiner,Phys.Rev. B 69, 035303 (2004).\n18I. A. Dmitriev, M. Khodas, A. D. Mirlin, D. G. Polyakov, and\nM. G.Vavilov, Phys.Rev. B 80, 165327 (2009).\n19I. A. Dmitriev, M. G. Vavilov, I. L. Aleiner, A. D. Mirlin, and\nD.G. Polyakov, Phys.Rev. B 71, 115316 (2005).\n20R. L. Willett, L. Pfeiffer, K. West, Phys. Rev. Lett. 93, 026804\n(2004).\n21J. H. Smet, B. Gorshunov, C. Jiang, L. Pfeiffer, K. West, et al .,\nPhys.Rev. Lett. 95, 116804 (2005).\n22M.A.Zudov,R.R.Du,L.N.Pfeiffer,andK.W.West,Phys.Rev.\nB73, 041303(R) (2006).\n23M.A.Zudov,R.R.Du,L.N.Pfeiffer,andK.W.West,Phys.Rev.\nLett.96, 236804 (2006).\n24A. A. Bykov, A. K. Bakarov, D. R. Islamov, and A. I. Toropov,\nJETPLett. 84, 391(2006).\n25S. I. Dorozhkin, L. Pfeiffer, K. West, K. von Klitzing, and J. H.\nSmet,Nature Phys. 7, 336 (2011).\n26A. V. Andreev, I. L. Aleiner, and A.J. Millis, Phys.Rev. Lett .91,\n056803 (2003).\n27E. Vasiliadou, G. Mller, D. Heitmann, D. Weiss, K. von Klitzi ng,\net al.,Phys.Rev. B 48, 17145 (1993).\n28I. V. Kukushkin, V. M. Muravev, J. H. Smet, M. Hauser, W. Di-\netsche, et al.,Appl. Phys.Lett. 73, 113310 (2006).\n29C. L. Yang, R. R. Du, L. N. Pfeiffer, and K. W. West, Phys. Rev.\nB74, 045315 (2006).\n30S. I. Dorozhkin, J. H. Smet, K. von Klitzing, L. N. Pfeiffer, a ndK.W.West,JETPLett. 86, 543(2007).\n31L.-C. Tung, C. L. Yang, D. Smirnov, L. N. Pfeiffer, K. W. West,\net al.,SolidStateCommun. 149, 1531 (2009).\n32I. V. Andreev, V. M. Muravev, I. V. Kukushkin, S. Schmult, and\nW.Dietsche, Phys.Rev. B 83, 121308(R) (2011).\n33F.Stern,Phys.Rev. Lett. 18, 546 (1967).\n34In our 2DES, ¯ε≈6.9, which is the average of dielectric constant\nof GaAs (12.8) andthat of free space (1).\n35A.V. Chaplik, Sov. Phys.JETP 35, 395(1972).\n36A negative MPRphotoresistance was reported inRef.31.\n37Z.Q. Yuan, C. L.Yang, R. R.Du, L.N. Pfeiffer,and K. W.West,\nPhys.Rev. B 74, 075313 (2006).\n38W. Zhang, M. A. Zudov, L. N. Pfeiffer, and K. W. West, Phys.\nRev. Lett. 98, 106804 (2007).\n39A.T.Hatke,H.-S.Chiang, M.A.Zudov, L.N.Pfeiffer,andK.W .\nWest,Phys.Rev. B 77, 201304(R) (2008).\n40A.T.Hatke,H.-S.Chiang, M.A.Zudov, L.N.Pfeiffer,andK.W .\nWest,Phys.Rev. Lett. 101, 246811 (2008).\n41A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W. West, Phys.\nRev. B83, 201301(R) (2011).\n42A.T.Hatke,H.-S.Chiang, M.A.Zudov, L.N.Pfeiffer,andK.W .\nWest,Phys.Rev. B 82, 041304(R) (2010).\n43Measured at B <0, negative magnetoresistance, MIRO, and\nMPR photoresistance peak are all of approximately the same\nstrengthas their counterparts at B >0.\n44Y. Dai, R. R. Du, L. N. Pfeiffer, and K. W. West, Phys. Rev. Lett .\n105, 246802 (2010).\n45A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W. West, Phys.\nRev. B83, 121301(R) (2011).\n46L. Bockhorn, P. Barthold, D. Schuh, W. Wegscheider, and R. J.\nHaug, Phys.Rev. B 83, 113301 (2011).\n47A. T. Hatke, M. A. Zudov, J. L. Reno, L. N. Pfeiffer, and K. W.\nWest,Phys.Rev. B 85, 081304 (2012).\n48Asanoriginfortheseoscillationsonecanconsider,e.g.,r adiation-\ninduced modification of the Shubnikov-de Haas oscillations , see\nI.A. Dmitriev,J.of Phys.: Conf. Ser. 334, 012015 (2011).\n49Y.Dai,K.Stone,I.Knez,C.Zhang,R.R.Du,etal.,Phys.Rev. B\n84, 241303 (2011).\n50A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W. West, Phys.\nRev. B84, 241304(R) (2011).\n51Reducing the fittingrange leads toupto 20%largervalues of |a|.\n52Information on negative resistance can also be obtained fro m\nbichromatic microwave photoresistance (Ref.23).\n53K.W.Chiu, T.K. Lee,and J.J. Quinn, Surf.Sci. 58, 182(1976).\n54S.A.Mikhailov, Phys.Rev. B 70, 165311 (2004).\n55S.A.MikhailovandN.A.Savostianova,Phys.Rev.B 71,035320\n(2005).\n56X.L.Lei,Appl. Phys.Lett. 91, 112104 (2007).\n57M. Khodas andM. G.Vavilov, Phys.Rev. B 78, 245319 (2008).\n58W. Zhang, H.-S. Chiang, M. A. Zudov, L. N. Pfeiffer, and K. W.\nWest,Phys.Rev. B 75, 041304(R) (2007).\n59A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W. West, Phys.\nRev. B79, 161308(R) (2009).\n60A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W. West, Phys.\nRev. B83, 081301(R) (2011)." }, { "title": "1406.7111v1.Energy_measurement_with_the_SDHCAL_prototype.pdf", "content": "Energy measurement with the SDHCAL prototype\nAlexey PETRUKHIN, for the CALICE Collaboration\u0003\nIPNL/CNRS, France\nE-mail: alexey.petrukhin@gmail.com\nThe SDHCAL prototype that was completed in 2012 was exposed to beams of pions, electrons\nof different energies at the SPS of CERN for a total time period of 5 weeks. The data are being\nanalyzed within the CALICE Collaboration. However preliminary results indicate that a highly\ngranular hadronic calorimeter conceived for PFA application is also a powerful tool to separate\npions from electrons. The SDHCAL provides also a very good resolution of hadronic showers\nenergy measurement. A new calibration method that takes into account the degradation of the\nGlass Resistive Plate Chambers (GRPC) response for runs with rather high particle beam rate is\npresented.\nTechnology and Instrumentation in Particle Physics 2014\n2-6 June, 2014\nAmsterdam, the Netherlands\n\u0003Speaker.\nc\rCopyright owned by the author(s) under the terms of the Creative Commons Attribution-NonCommercial-ShareAlike Licence. http://pos.sissa.it/arXiv:1406.7111v1 [physics.ins-det] 27 Jun 2014Energy measurement with the SDHCAL prototype Alexey PETRUKHIN, for the CALICE Collaboration\n1. Introduction\nThe SDHCAL prototype was conceived for two purposes. The first one is to confirm that\nhighly-granular gaseous hadronic calorimeters are capable of achieving good resolution of the\nhadronic energy measurement while providing an excellent tracking tool for the Particle Flow Al-\ngorithms (PFA). The second and most important aim is to demonstrate that such calorimeters are\ncompatible with the requirements of future ILC experiments in terms of efficiency, compactness\nand low power consumption.\nIn order to validate the SDHCAL technology, the prototype was exposed to muons, pions and\nelectrons of the CERN H6 beam line of the SPS in September 2012, and of the H2 beam line in\nNovember 2012. We show here reanalysis of the same set of events collected during the September\n2012 campaign and presented in the CALICE Collaboration analysis note CAN–037 [ 1] and in the\nassociated addendum-1. Also we show here the results of the new analysis of the data taken during\nNovember 2012 runs. In both cases to avoid efficiency loss in the GRPC in case of high particle\nrate only runs with less than 1000 particles per spill were studied.\n2. Prototype description\nThe SDHCAL comprises 48 active layers. Each of these layers is made of 1 m2Glass Resistive\nPlate Chamber (GRPC). The GRPC signal is read out through 9216 pads of 1 cm2each. The pads\nare located on one face of an electronics board which hosts 144 HARDROC ASICs [ 2] on its other\nside. The GRPC and the electronics board are put inside a cassette made of two stainless steel\nwalls of 2.5 mm thickness each. The cassette keeps the pick-up pads of the electronics board in\ncontact with the GRPC, and, it constitutes a part of the calorimeter absorber. The total thickness of\na cassette is 11 mm of which 6 mm are the active layer thickness occupied by the GRPC (3 mm),\nand the readout electronics (3 mm). A cross-section of the active layer inside the cassette is shown\nin Figure 1.\nFigure 1: A schematic cross-section of the active layer inside a SDHCAL cassette.\nThe upper part of the cassette hosts also three Detector InterFace (DIF) cards which transfer\nthe acquisition commands received through HDMI cables to the ASICs of each slab, and collect the\n2Energy measurement with the SDHCAL prototype Alexey PETRUKHIN, for the CALICE Collaboration\ndata received from these ASICs before forwarding the data through USB protocol to the acquisition\nstations.\nThe 48 cassettes are then inserted into a self-supporting mechanical structure. The structure is\nbuilt using 1.5 cm thickness stainless steel plates with a distance of 13 mm between two consecutive\nplates to allow an easy insertion of the cassettes. The acquisition mode used in the test beam\n(TB) was the triggerless mode. In this mode, data are collected after an acquisition command is\nsent to the ASIC. When the memory of one ASIC is full, a RamFull command is sent to all, and\nthe acquisition is stopped to allow the readout of the data recorded in the different ASICs. The\nacquisition restarts automatically upon the completion of the data transfer. During the data transfer\nno data is collected. This dead time was reduced by increasing the number of USB buses for the\ndata transfer.\nThe heating due to the power consumption of more than 440 000 channels of the prototype\nleads ineluctably to an increase of the prototype temperature which results in a change of the GRPC\ngain and an increase of the noise. To avoid these problems the power-pulsing mode was used. This\nmode allows to keep the electronics in an idle mode during the time period separating two beam\nspills. In the case of the SPS beam cycle this amounts to a reduction factor of five of the ASIC\nconsumption (about nine seconds spill duration within a cycle of approximately 45 s).\n3. Data collection and quality control\nAn important feature of the SDHCAL readout is the presence of three thresholds. The aim of\nusing the thresholds information is not to measure the energy deposit in each pad but an attempt\nto distinguish between pads crossed by few, many or too many charged particles. Information of\nthree thresholds is coded in two bits. The thresholds values were fixed to 114 fC, 5 pC and 15 pC\nrespectively, the average MIP induced charge being around 1.2 pC. The choice of these values was\nmotivated by simulation studies.\nNo gain correction was applied. The same electronics gain was used for all the channels (g=1).\nThe gas mixture used to run the GRPC was made of TetraFluoroEthane (TFE, 93%), CO 2\n(5%) and SF 6(2%). The high voltage applied on the GRPC was of 6.9 kV .\nTo monitor the calorimeter performance, the efficiency and particle multiplicity of each of the\n48 layers are estimated using the beam muons. To study the efficiency of one layer, tracks are used\nfrom the hits of the other layers. The expected impact point of the track in the layer under study\nis determined. The efficiency is then estimated as being the fraction of tracks for which at least\none hit is found at a distance of less than 3 cm around the expected position. A track by track\nmultiplicity is also estimated by counting the number of hits, if any, in the cluster built around the\nclosest hit to the track’s impact. A particle multiplicity for one sensitive region of the detector is\nthen computed by averaging the track by track multiplicity for tracks going through the sensitive\nregion under study. Figure 2shows the efficiency and particle multiplicity of the layers during\nthe September 2012 run. Other methods to estimate the efficiency and particle multiplicity were\nperformed, and confirm the results presented here.\n3Energy measurement with the SDHCAL prototype Alexey PETRUKHIN, for the CALICE Collaboration\nlayer010203040Multiplicity\n00.511.52\nFigure 2: Efficiency (left) and particle multiplicity (right) in September run. The red line is the average\nefficiency (left) and average multiplicity (right).\n4. Hadronic showers selection\nThe original selection of pion sample is peresented in the CALICE Collaboration analysis note\nCAN–037 [ 1]. We are showing here an extended version of that leading for the better rejection of\nelectrons, muons and neutral particles in our data samples.\nElectrons are present in the pion beam despite the use of a lead filter to reduce their number.\nThe absence of a Cherenkov counter or any other detector able to discriminate electrons against\npions makes it necessary to find other means to eliminate the electrons in our hadronic sample.\nOne way is to use the fact that electrons start their electromagnetic shower in the prototype in the\nfirst plates. This is due to the fact that the radiation length in steel is 1.76 cm. For data which\nfeature electromagnetic or hadronic showers, requiring that the shower starts in the fifth layer or\nafter should in principle kill almost all of the electrons. To define the start of the shower we look for\nthe first layer with more than 4 fired pads. To eliminate fake shower starts due to accidental noise or\nlocal high multiplicity effect, three consecutive layers were required to have more than 4 fired pads\nas well. Electromagnetic showers in the energy range between 5 and 80 GeV are longitudinally\ncontained in less than 30 layers of our SDHCAL prototype. This electron rejection criterion was\ntherefore applied only for events in which no more than 30 layers containing each more than 4\nfired pads are found. This limitation helps to minimize the loss of true pion hadronic showers at\nhigh energy where the number of fired layers exceeds 30. In this way high energy pions starting\ntheir shower in the first layers are not rejected. Low energy pions have relatively smaller number\nof fired layers and are fully contained in the SDHCAL. For these pions the effect of this selection\nis only statistical. Pions that start showering in the first 4 layers are lost but no bias on the energy\nresolution is introduced. Figure 3(left) shows the distribution of number of hits before and after\nthe selection for 40 GeV electron run. It shows clearly the rejection power of this selection.\nMuons are also present in the pion beam. They are produced by pions stopped in the collimator\nor those decaying before reaching the prototype. To eliminate these muons as well as the cosmic\ncontamination the average number of hits per fired layer was requested to be greater than 2 :2. This\nis higher than the average pad multiplicity which was found to be 1 :73 [1].\n4Energy measurement with the SDHCAL prototype Alexey PETRUKHIN, for the CALICE Collaboration\nNumber of hits0 200 400 600 800# reconstructed events\n110210310CALICE Preliminary=40GeVbeamE\nBefore selection\nAfter selection\nNumber of hits0 200 400 600 800 1000# reconstructed events\n110210310410510 CALICE Preliminary\n=30GeVbeamE\nBefore selection\nAfter selection\nFigure 3: Left: distribution of number of hits for 40 GeV electron run before (solid black line) and after\n(dashed green line) electron rejection. Right: number of hits for 30 GeV pion run before (black line) and\nafter (red line) full selection.\nTo eliminate neutral contamination in our selection, events with strictly less than 4 hits in the\nfirst 5 layers are not considered. This criterion eliminates most of the cosmics as well. In addition\nto the previous criteria and in order to avoid the presence of more than one incoming particle in\nthe final sample, events for which the first five layers have hits separated by more than 5 cm are\neliminated. The result of such selection is shown in Figure 3(right) for 30 GeV pion run where the\ntotal number of hits of the collected events is drawn. The fact that the shape of pion component\nis not affected ensures that our selection does not bias the hit distribution of pions and hence the\nenergy resolution should not be affected by this selection.\n5. Energy reconstruction\nAn extension of the procedure presented in [ 1] is used to determine the reconstructed energy\nof hadronic showers. In the extended procedure the energy is given by the following equation:\nEreco=a(Ntot)N1+b(Ntot)N2+g(Ntot)N3+cNHT (5.1)\nwhere NHTis the number of hits belonging to the segments of the hadronic shower selected using\nthe Hough Transform method as explained in [ 3].Niare the number of remaining hits associated\nto the iththreshold. a;b;g, are quadratic functions of the total number of hits Ntotandcis a\nconstant coefficient that reflects the fact that the HT segments are essentially produced by mips.\nThe presence of high thresholds in these segments is either a fluctuation or the result of large dE/dx\nat the stopping end and in both cases this has not the same signification as the thresholds associated\nto the hits present in the dense part of the shower. Therefore all the hits belonging to such segments\nare given the same weight. The ten parameters are optimized using a part of September data of\nonly few energy points. The coefficients are obtained from a c2minimization using some of the\nenergy bins:\n5Energy measurement with the SDHCAL prototype Alexey PETRUKHIN, for the CALICE Collaboration\nc2=N\nå\ni=1(Ei\nbeam\u0000Ei\nreco)2\nEi\nbeam(5.2)\nThese coefficients are then used to estimate the energy of incoming particles. The recon-\nstructed energy distributions were fitted with a two-step Gaussian fit. First, a Gaussian was used to\nfit over the full range of the distribution. Second, a Gaussian was fitted only in the range of \u00061:5s\nof the first fit. The sof second fit was used for the energy resolution estimation. The Crystal\nBall function fit defined in [ 1] was also performed. The difference of the two fits is used as the\nsystematic error.\n6. Spill time correction\nEven though the beam parameters during the two data taking periods were optimized to get\nspills containing less than 1000 particles it was observed that for some runs of both periods the\nnumber of hits associated to hadronic showers was decreasing during the spill time. The decrease\nis more apparent for the number of hits associated to the second and third thresholds of the semi-\ndigital readout. The effect is more frequent in runs of high energy pions. The consequence of\nsuch behavior is a degradation of hadronic showers energy resolution. In order to correct for the\neffect, two special calibration techniques were developed. The first one is a linear fit calibration.\nThe average number of hits associated to each threshold of each hadronic shower is plotted as a\nfunction of their time occurrence within a spill. Then a linear fit to the hit distributions is performed\nand the slope of the fit is determined. The corrected number of hits Ncorrfor each run is defined\naccording to the following formula:\nNcorr=3\nå\ni=1Nhit i\u0000slope i\u0003TimeInSpill (6.1)\nwhere Nhit iis the number of hits of a given threshold ibefore correction and TimeInSpill is the\noccurrence time within the spill. The results before and after the linear fit calibration for 80 GeV\nrun from September data can be seen in Figure 4. The alternative way of doing the correction is\na time slots calibration. For each run and each threshold, the spill time was divided by 5 slots.\nThen a gaussian fit was performed for each of the number of hits distribution of each threshold for\neach time slot separately. The mean value from the fit for the first distribution (at the beginning\nof the spill) was taken as a reference. The correction factors for other 4 time slots are defined as\ncoe f f i=mean 1=mean i. The corrected number of hits Ncorrfor each threshold is then defined as\nfollowing: Ncorr=å5\ni=1Nhit i\u0003coe f f i.\nBoth types of calibration are able to correct for the spill time effect. We observed that the\nenergy resolution is slightly better for linear fit calibration however the linearity was found to be a\nlittle worse in this case. Finally the lack of statistics for some runs led us to choose the linear fit\ncalibration as the default one for both September and November data samples.\n6Energy measurement with the SDHCAL prototype Alexey PETRUKHIN, for the CALICE Collaboration\nTime in spill [sec]0 2 4 6 8 10Number of hits\n020406080100120140\nCALICE Preliminary SDHCAL\nTime in spill [sec]0 2 4 6 8 10Number of hits\n020406080100120140160\nCALICE Preliminary SDHCAL\nFigure 4: Number of hits for the third threshold 80 GeV run as a function of spill time before (left) and after\n(right) linear fit calibration.\n7. Results\nThe energy resolution and linearity of the two sets of data are presented in Figures 5and6.\nThe improvement of the September data with respect to the results presented in addendum-1 to\nCAN–037 [ 1] is obvious at high energy. This improvement in energy resolution is as high as 20%\nin some cases. The value of resolution reaches 6.8% at 80 GeV for November data.\nThe parameters used for energy reconstruction were optimized with September data set only.\nApplication of those parameters to November data set (where beam conditions are different) shows\na good agreement between two data periods. It clearly demonstrates that the behavior of SDHCAL\nprototype was stable between the two periods.\n [GeV]beamE0102030405060708090reco/Erecoσ\n0.050.10.150.20.250.30.35\n3 thresh., Hough track corr., Sep'12 data\nCALICE Preliminary SDHCAL\n [GeV]beamE0102030405060708090reco/Erecoσ\n0.050.10.150.20.250.30.35\n3 thresh., Hough track corr., Nov'12 data\nCALICE Preliminary SDHCAL\nFigure 5:sEreco\nErecoof the reconstructed pion energy as a function of the beam energy at September (left) and\nNovember (right) runs.\n7Energy measurement with the SDHCAL prototype Alexey PETRUKHIN, for the CALICE Collaboration\n [GeV]recoE\n0102030405060708090\n(a)\nCALICE Preliminary SDHCAL3 thresh., Hough track corr., Sep'12 data\n [GeV]beamE0102030405060708090beamE/EΔ\n-0.1-0.0500.050.1\n(b)\n [GeV]recoE\n0102030405060708090\n(a)\nCALICE Preliminary SDHCAL3 thresh., Hough track corr., Nov'12 data\n [GeV]beamE0102030405060708090beamE/EΔ\n-0.1-0.0500.050.1\n(b)\nFigure 6: (a): Mean reconstructed energy for pion showers at September (left) and November (right) runs;\n(b): relative deviation of the pion mean reconstructed energy with respect to the beam energy as a function\nof the beam energy at September (left) and November (right) runs.\nReferences\n[1] The CALICE Collaboration, First results of the CALICE SDHCAL technological prototype , CALICE\nAnalysis Note CAN–037.\n[2] F. Dulucq et al. ,HARDROC: Readout chip for CALICE/EUDET Digital Hadronic Calorimeter ,\nNuclear Science Symposium Conference Record (NSS/MIC), 2010 IEEE, pp.1678-1683, Oct. 30\n2010-Nov. 6 2010 doi: 10.1109/NSSMIC.2010.5874060\n[3] The CALICE Collaboration, Tracking within Hadronic Showers in the SDHCAL prototype using\nHough Transform Technique , CALICE Analysis Note CAN–047.\n8" }, { "title": "2004.12785v1.The_Resistivity_of_High_Tc_Cuprates.pdf", "content": "arXiv:2004.12785v1 [cond-mat.supr-con] 27 Apr 2020The Resistivity of High-Tc Cuprates\nR. Arouca1,2∗and E. C. Marino1†\n1Instituto de F´ ısica, Universidade Federal do Rio de Janeir o,\nC.P. 68528, Rio de Janeiro, RJ, 21941-972, Brazil. and\n2Institute for Theoretical Physics, Center for Extreme Matt er and Emergent Phenomena,\nUtrecht University, Princetonplein 5, 3584 CC Utrecht, The Netherlands.\n(Dated: April 28, 2020)\nWe show that the resistivity in each phase of the High-Tc cupr ates is a special case of a general\nexpression derived from the Kubo formula. We obtain, in part icular, the T-linear behavior in the\nstrange metal (SM) and upper pseudogap (PG) phases, the pure T2, Fermi liquid (FL) behavior\nobserved in the strongly overdoped regime as well as the T1+δbehavior that interpolates both in the\ncrossover. We calculate the coefficients: a) of Tin the linear regime and show that it is proportional\nto the PG temperature T∗(x); b) of the T2-term in the FL regime, without adjusting any parameter;\nand c) of the T1.6term in the crossover regime, all in excellent agreement wit h the experimental\ndata. From our model, we are able to infer that the resistivit y in cuprates is caused by the scattering\nof holes by excitons, which naturally form as holes are doped into the electron background.\nIntroduction High-Tcsuperconductivity in the\ncuprates [ 1] is, at the same time, one of the most inter-\nesting and complex problems in contemporary physics.\nAlthough some features of these systems, such as the rel-\nevance of magnetic interactions in the CuO2planes [2,3]\nand the non s-wave character of the superconducting or-\nder parameter [ 4–6], are consensual in the community,\nthere are important issues that, so far, remain unsolved.\nAmong the fundamental unanswered questions related\nto the cuprates, there are some that concern the normal\nstate of these materials. A particularly intriguing one\nis: how to explain the perfectly linear dependence of the\nresistivity with the temperature [ 7,8], which is observed\nin all cuprate materials? This deviates from the well-\nknownT2behavior, typical of Fermi liquids, which are\nusually associated with conventional metals [ 9–11]. Nev-\nertheless, the metallic nature of this state is suggested by\nthe resistivityincreasewith the temperature, hence justi-\nfying the name strange metal (SM), by which this phase\nis known [ 12,13]. In the attempt to explain the linear\nbehavior of the resistivity in this phase, different mecha-\nnisms have been proposed [ 14–18]. Among these, we find\nthe “Planckian dissipation hypothesis” [ 8,19,20] that\nassociates the scattering rate of the charge carriers, 1 /τ,\nwith the inverse characteristic time of thermal fluctua-\ntions:kBT//planckover2pi1, which ultimately follows from the uncer-\ntainty principle. Also the state responsible for the linear\nresistivity in cuprates has been associated to a regime\nof quantum criticality, namely, a quantum critical point\n(QCP) would exist, producing a phase consisting in a\nquantum critical fluid whose properties would be univer-\nsally determined[ 21,22].\nNevertheless, as discussed in Ref. [ 23], the Planckian\ndissipation hypothesis (PDH) combined with scaling ar-\nguments would be, in principle, not compatible with a\nT-linear dependence of the resistivity. Yet, it is argued\nin Ref. [23] that in case resistivity could be ascribed to\nthe scattering of holes out of a bosonic field, then thePDH in a quantum critical regime could be reconciled\nwith the linear behavior of resistivity.\nThe QCP supposedly responsible for this universal\nquantumcriticalbehaviorhasbeenassociatedtoametal-\ninsulator quantum phase transition shown to exist at\na doping value xplocated inside the SC dome of these\nmaterials under the effect of strong magnetic fields that\nwould destroy the SC state [ 13,24,25]. The assumption\nthat the PG temperature transition line T∗(x) ends at a\npointxp, which is inside the SC dome however, does not\nseem to have experimental support, since no other T∗(x)\npoints are observed inside the dome [ 25].\nIn a recent publication, we proposed a model which\nprovides a general and accurate description of the high-\nTc superconductivity in cuprates. This model allowed\nfor the obtainment of analytical expressions for the SC\nand PG temperatures: Tc(x) andT∗(x), showing excel-\nlent agreement with the experimental data for different\ncompounds [ 26]. This analytical solution clearly shows\nthat the PG temperature line meets the SC temperature\nline atT= 0, on a QCP located at the right extremity\nof the SC dome.\nThe model we propose for understanding the cuprates\n[26], exhibits two quartic interaction terms: a) one which\nis hole-attractive and derives from the magnetic Kondo\ninteraction between the itinerant holes and localized cop-\nper spins; and b) another, which is hole-repulsive and\nstems from the Hubbard electric repulsion between the\nholes. Performing a Hubbard-Stratonovitch (HS) trans-\nformation in both terms, we introduce two HS scalar\nfields, respectively, Φ and χ. Φ is the creation opera-\ntor of Cooper pairs, that condense on the Superconduct-\ning (SC) phase. χ, by its turn, is the creation operator\nof excitons, (electron-hole bound-states) that, upon con-\ndensation, give rise to the Pseudogap (PG) phase [ 26].\nWith the aid of this picture, we are able to conclude that\nthemainmechanismresponsibleforresistivityin thenor-\nmal phases of cuprates is the scattering of charged holes2\nby excitons. Such exciton states should be observable\nin the insulating regime of the cuprates, namely, in the\nlow-temperature region of the strongly underdoped PG\nphase.\nIn the present study, we shall insert the current corre-\nlators derived from our model into the Kubo formula at a\nfinitetemperature, inordertoobtainageneralexpression\nfor the resistivity, which reduces to the ones occurring in\nthe different normal states of the High-Tc cuprates.\nThe Model and Resistivity We take the model de-\nrived in Ref. [ 26] as the starting point. After performing\na HS transformation with the scalar fields Φ and χin\neach of the two quartic terms, our Hamiltonian becomes\n[26]\nHeff=/summationdisplay\nk,σǫ(k)/bracketleftig\nψ†\nAσ(k)ψBσ(k)+hc/bracketrightig\n+/summationdisplay\nkΦ(k)/bracketleftig\nψ†\nA↑(−k)ψ†\nB↓(k)+ψ†\nB↑(k)ψ†\nA↓(−k)/bracketrightig\n+hc\n+/summationdisplay\nkχ(k)/bracketleftig\nψ†\nAσ(k)ψBσ(k)/bracketrightig\n+hc\n+1\ngS/summationdisplay\nkΦ†(k)Φ(k)+1\ngP/summationdisplay\nkχ†(k)χ(k), (1)\nwhereψaσrepresents a hole on the oxygen sublattices\na=A,Bwith spinσ=↑,↓.gSis the SC pairing coupling\nparameterwhile gPisthecouplingresponsibleforthePG\nphasetransition. Intheaboveexpression ǫ(k)istheusual\ntight-binding kinetic energy of the free-holes on a square\nlattice. Notice that the PG field χacts as a scattering\npotential for the holes, being therefore responsible for\ntheir resistivity, whereas the SC field Φ is related to the\nformation of Cooper pairs.\nIntegrating on the fermionic (holes) degrees of free-\ndom, we arrive at an effective thermodynamic potential\nthat depends on the SC and PG order parameters, re-\nspectively, ∆ = /angbracketleftΦ/angbracketrightandM=/angbracketleftχ/angbracketright, as well as on the\nchemical potential µ: Ω(∆,M,µ,T) (See Supplemental\nMaterial). Using that potential, we could explain the SC\nphase diagramof different cuprate compounds, obtaining\nin particular, the left and right limiting points of the SC\ndome, namely x−\nSCandx+\nSC≡˜x0[26].\nIn order to obtain the resistivity in our model, we first\nintroduce an external electromagnetic field, through the\nminimal coupling of the kinetic term with the vector po-\ntentialA:ǫ(k)→ǫ(k+eA).The Hamiltonian, then\nbecomesH→H[A], and out of this, we obtain the\ngrand-partition functional Z[A] which yields the grand-\ncanonical potential in the presence of an applied electro-\nmagnetic vector potential A, namely, Ω[ A].\nThe average electric current and its correlation func-\ntions are, then, obtained from the expressions:\n/angbracketleftji/angbracketright=δΩ[A]\nδAi,/angbracketleftjijj/angbracketright=δ2Ω[A]\nδAiδAj. (2)We, then use the Kubo formula at a finite tempera-\nture [9,10], and the current-current correlation function\nobtained from the grand-canonical potential Ω, derived\nfrom our model, Eq. ( S15), in order to obtain an explicit\nexpression for the conductivity per CuO2plane. Upon\ninversion, this leads to a general expression for the resis-\ntivity per plane in the normal phase (∆ = 0) (Supple-\nmental Material)\nρ(x,T) =VkB\n/planckover2pi1v2e2TM/bracketleftig\ncosh/parenleftig\nM\nkBT/parenrightig\n+cosh/parenleftig\nµ\nkBT/parenrightig/bracketrightig\nsinh/parenleftig\nM\nkBT/parenrightig (3)\nor\nρ(x,T) =BT2G/parenleftbiggM\nkBT,µ\nkBT/parenrightbigg\n, (4)\nwhereV=da2is the volume of the primitive unit cell,\nperCuO2plane,h/e2≈25812.807Ω is the resistance\nquantum,disthe distancebetweenplanes, aisthelattice\nparameterand visthecharacteristicvelocityoftheholes,\nsuch that ( /planckover2pi1v/a)≈2.9×10−2eV[26]. The resistivity is\nexpressed in terms of the constant\nB=h\ne2d\n2π/parenleftiga\n/planckover2pi1v/parenrightig2\nk2\nB≈3.62d×10−4µΩcm/K2,(5)\nfordin˚A -units, and G(K1,K2), the scaling function of\nthe critical variables K1=M\nkBTandK2=µ\nkBT, given by\nG(K1,K2) =K1coshK1+coshK2\n2sinh(K1).(6)\nThisgeneralformoftheresistivityholdsinallphasesof\nthe phase diagram of cuprates, except the SC one. The\npeculiar form of the resistivity in each of the different\nphases will be determined by the specific form of the\nfunctionG(K1,K2) in each phase.\nThe Cuprates Phase Diagram As discussed thor-\noughly in [ 26], we can understand the phase diagram of\ncuprates by studying the behavior of the grand-canonical\npotential as a function of ∆ and Mas well as of the\ntemperature and chemical potential. Analytical expres-\nsions for,Tc(x) andT∗(x), were obtained, which set\nthe boundaries of the different phases for doping param-\neterxbelow the critical point at ˜ x0, where all phase\nboundaries meet. For doping larger than ˜ x0we have\nthe FL phase, at sufficiently low temperatures, the SM\nphase at high temperatures and a crossover between the\ntwo [28,29]. The LSCO phase diagram, displaying the\nanalytical expressions for the SC and PG temperatures:\nTc(x) andT∗(x), as well as the crossover temperatures:\nT′∗(x)≡T∗(2˜x0−x) andTcross=C0T′∗(x) (withC0\ndefined below) is presented in Fig 1. We can classify the\nnormal state phase diagram in terms of the variables K1\nandK2.\nResistivity in the PG Phase In this phase, both\nK1andK2are different from zero. We subdivide the3\nFIG. 1: Phase diagram of LSCO (not a cartoon!) shows the\ngeneral features of the phase diagram of hole-doped High-Tc\nCuprates. The solid lines are our theoretical expressions o f\nT∗(x)andTc(x)derivedinRef.[ 26], while thedashedlines are\nthe curves T′∗(x)≡T∗(2˜x0−x) andTcross=C0T′∗(x) (with\nC0defined below) that roughly defines the crossover between\nthe FL and SM phases. The phase diagram for other High-\nTc cuprate compounds is similar apart from the asymmetry,\nwhich is observed between the overdoped and underdoped\nregions in the SC dome. The magnetically ordered phase\ndisplayed by all cuprates in the weakly doping regime has\nbeen studied elsewhere [ 27] and is omitted here.\nPG phase in three regions according to the values of x,\nnamely: a) strongly underdoped, for x < x−\nSC; b) un-\nderdoped, for x−\nSC< x/lessorsimilarx0, wherex0is the optimal\ndoping; c) overdoped, for x0/lessorsimilarx\nx+\nSC≡˜x0, the temperature T∗(x) is smoothly replaced\nbyT′∗(x)≡T∗(2˜x0−x)\nResistivity in the FL Phase In the FL phase,\nwhich corresponds to the strongly overdoped regime,\nx > x+\nSC≡˜x0, at low temperatures, both K1andK2\nare equal to zero. Consequently (see Supplemental Ma-\nterial)\nGFL=G(K1= 0,K2= 0) = 1, (15)\nand then, in this phase, the resistivity is given by the\nquadratic behavior, typical of a Fermi liquid:\nρFL=BT2, (16)4\nT*(a)Strongly underdoped (x=0.02)\nRef.[32]Data\nEq.(10)\nEq.(14)\n0 100 200 300 40004812\nT(K)ρ(mΩcm)\nT*(b)Underdoped (x=0.13)\nRef.[32]Data\nEq.(10)\nEq.(14)\n0 100 200 300 4000.0.20.40.6\nT(K)ρ(mΩcm)\nT*(c)Overdoped (x=0.22)\nRef.[32]Data\nEq.(10)\nEq.(14)\n0 100 200 300 40000.10.20.3\nT(K)ρ(mΩcm)\nT*(d)Strongly overdoped (x=0.30)\nRef.[28]Data\nEq.(16)\nEq.(18)\n0 100 2000.050.10.15\nT(K)ρ(mΩcm)\nFIG. 2: Evolution of the resistivity behavior as a function o f doping for LSCO. (a) Strongly underdoped regime ( x < x−\nSC):\nthere is no SC phase and T0< T∗. The resistivity diverges for temperatures below T0. (b) Underdoped regime ( x−\nSC< x/lessorsimilarx0):\nT0diminishes and the upturn of resistivity occurs for lower te mperatures and appears as a kink close to Tc. (c) Overdoped\nregime (x0/lessorsimilarx < x+\nSC:Tcgets higher than T0and the resistivity becomes completely linear in the normal phase. (d) Strongly\noverdoped regime ( x > x+\nSC): for low enough temperatures, the resistivity has the quad ratic behavior typical of the FL phase\nwith the coefficient given by Eq. ( 5), while for higher temperature it has the power law behavior of Eq. (18). The experimental\ndata shown above are for LSCO compounds with doping levels of x= 0.02 (strongly underdoped), x= 0.13 (underdoped),\nx= 0.22 (overdoped) and x= 0.3 (strongly overdoped). The red circles are data extracted f rom Ref. [ 32] and Ref. [ 28]. The\nvalues of the coefficient of the FL and crossover phases are obt ained by Eq. ( 5) and Eq. ( 18) and not by a fitting process.\nwith the coefficient Bgiven by ( 5).\nCrossover The transition between FL and the SM\nphases is not really, a phase transition, but rather a\ncrossover with an intermediate power-law behavior ρ∼\nT1+δ, 0≤δ≤1, that interpolates the resistivity be-\nhaviors, namely, linear and quadratic, of the SM and FL\nphases [28,29]. This can be accounted for assuming that\nGhas the power-law behavior\nGcross=/bracketleftbiggC0T′∗(x)\nT/bracketrightbigg1−δ\n, (17)\nwhich is obtained from the previous one ( 14) by a scale\ntransformation. The resistivity, then, will be given by\nρcross=B[C0T′∗(x)]1−δT1+δ≡BCT1+δ.(18)\nforδ∈[0,1]. Notice that the values δ= 0 andδ= 1,\nrespectively, correspond to the SM and FL phases, which\nare, thereby interpolated by the above expression. Ob-\nserve, also, that the specific function interpolating the\nresistivity expressions in the SM and FL phases could be\ncontinuously deformed, but keeping its form at the limit-\ning values of δsimilarly to what happens to the members\nof the same homotopy class. The crossover behavior oc-curs in the region of the phase diagram located between\nT′∗(x) and the temperature Tcross≡C0T′∗(x).\nComparison with Experimental Data We have\nsuccessfully applied the above theoretical framework in\nthe description of the resistivity of cuprates. Our results\naccurately explain the experimental data of several com-\npounds, namely: LSCO [ 28,29,32], Bi-based (Bi2201\n[33], Bi2212 [ 34]) and Hg-based (Hg1212 [ 35]) families\nof cuprates. Our strategy was to fit A1in the metallic\nregimes and T0, specifically in the insulating state occur-\nring in the low-temperature, strongly underdoped regime\nof the PG phase. The details of the methodology as well\nas all analyses are presented on the Supplemental Mate-\nrial.\nIn order to determine the value of Cwe must con-\nsider the ratio A1(x)/[B(d)T∗(x)], for different values of\nthe doping parameter x. As it turns out, as we increase\nxthus moving towards the quantum critical point, this\nstabilizes at a constant value C0. For LSCO, this occurs\nfor 0.10/lessorsimilarx. The constant behavior of Cimplies that\nin the quantum critical region, the x-dependence of the\nresistivity slope comes through the dependence of T∗on\nx. In other words, A1scales with the PG temperature\nT∗(x). A similar behavior of A1has been reported in the5\nT*\nTH(x)T*\nEXP\nA1/(B C 0)\n0.1 0.2 0.30100200\nxT*(K)LSCO\nT*\nTH(x)T*\nEXP\nA1/(B C 0)\n0.1 0.2 0.3 0.40100200\nxT*(K)Bi2201\nT*\nTH(x)T*\nEXP\nA1/(B C 0)\n0.1 0.2 0.3 0.40100200300\nxT*(K)Bi2212\nT*\nTH(x)T*\nEXP\nA1/(B C 0)\n0.1 0.2 0.3 0.40100200300\nxT*(K)Hg1212\nFIG. 3: Scaling of A1/(BC0) (red circles) with T∗, both with our theoretical expression (black solid line) as well as experimental\nvalues (blue squares), for different compounds. The experim ental data was extracted from: LSCO [ 32], Bi2201 [ 33], Bi2212\n[34] and Hg1212 [ 35]. The values of C0anddused are in Table I.\nliterature in Ref. [ 24], even though it was not associated\nto the PG temperature.\nThis analysis can be consistently repeated for different\nfamilies of cuprates, as shown on Fig. 3, and the values\nofC0thereby obtained are presented in Table I. The\ntendency of Cto become a constant is visible directly\nin Fig.4(a), where we plot A1/(BT∗), withBgiven by\nEq.(5).\nTwo important results obtained in this work are the\ntheoretical calculation of the coefficients of the T2and\nT1.6expressions for the resistivity, respectively in the FL\nphase, using Eq. ( 5) and in the crossover regime, using\nEq. (18).\nIndeed, in the FL case if we insert the value d= 6.61˚A\nfor LSCO, taken from Ref. [ 36], we get from Eq. ( 5),\nB≃0.0024µΩcm/K2. This should be compared\nwith the reported experimental value: Bexp= 0.0025±\n0.0001µΩcm/K2[28].\nThe linear coefficient A1is directly proportional to B,\nnamely,A1=BCT∗, wecanalsocomparehowthevalues\nofBobtained through the coefficient A1, with the theo-\nretical expression of Eq. ( 5). The results of this analysis\nare present on Fig. 4(b). We see that as we approach\nthe critical point, the two definitions of Bcoincide and\nare very close to our theoretical prediction.\nThe LSCO resistivity in the crossover regime, con-\nversely, has been experimentally shown to follow the\npower-lawBCT1.6. Within our theoretical approach, the\ncrossover resistivity coefficient, BC, according to ( 18), is\nthen given by BC=B(C0T′∗(x))0.4.\nUsing the value of C0given in Table I, namely,C0=\n5.35 andT′∗(x= 0.30)≃42.5K[26], we findBC≃\n0.021µΩcm/K1.6. This should be compared with the\nexperimental value, taken from [ 28], namely,BC,exp≃\n0.019µΩcm/K1.6[28].\nConclusions Starting from the model introduced in\nRef. [26], and using the Kubo formula at a finite temper-\nature, we have derived a general expression for the resis-\ntivity of High-Tc cuprates, ( 4) whose particular forms in\neach phase namely: PG, SM, FL, as well as the crossoverCompound d(˚A)C0\nLSCO 6.61 [36]5.35\nBi2201 12.15 [36]5.40\nBi2212 7.74 [36]8.49\nHg1212 6.32 [37]11.41\nTABLE I: Values of dfor many compounds used to obtain the\nvalues of Cthat were used in Fig. 3. The references where\nthe values of dwere obtained are listed.\nbetween the two latter, reproduces the experimentally\nobserved resistivity in such phases.\nWe calculate the resistivity coefficients in the T,T2\nandT1.6regimes, our results being in agreement with\nthe observed experimental values. The obtainment of\nthe resistivity coefficients, BandBC, in particular, with-\nout adjusting any parameter, attests the accuracy of our\nmodel for the description of High-Tc cuprates.\nBased on this model, we may conclude that the main\ncause of resistivity in cuprates is the scattering of the\ncharged holes by excitons, which are associated with a\nscalar field. The presence of excitons should be expected\nin a system containing electrons and holes. Being con-\nfined to the CuO2planes, they should present similar\nproperties as, for instance, the exciton states observed in\ntransition metal dichalcogenides by photoluminescence\ntechniques, namely, high binding energy and very short\nlifetimes [ 39].\nOur expressionfor the resistivity in cuprates involvesa\ntwo-variable scaling function. The SM phase, where the\nlinear resistivity is seen, appears as a quantum critical\nregion associated to a quantum critical point located at\nthe right extremity of the SC dome, precisely where the\nTc,T∗,T′∗andTcrosslines meet. Quantum criticality\nresults from the loss of the energy scale M, related to the\nexciton scattering as Mgoes to zero when we approach\nthe transition line T∗(x) separating the PG from the SM\nphase. Thescalingofeveryenergywith Tinthequantum\ncritical phase, makes the resistivity to become linear.\nThe possibility of expressing the resistivity in the SM6\n(a)\nC0A1/(B T*): This work\nA1/(B T*): Ref. [32]\nA1/(B T*): Ref. [37]\n5101520C\n(b)\nBA1/(C0T*): This work\nA1/(C0T*): Ref. [32]\nA1/(C0T*): Ref. [38]Ref. [28]\nRef. [29]\n0.1 0.2 0.30.0020.0040.0060.008\nx\nFIG. 4: (a) Evolution of A1/(BT∗) with doping, showing that\nit stabilizes in the value C0(black dashed line) displayed in\nTab.I: i) using our fits for A1(red disks); and ii) using results\nfound in the literature (green and blue disks).(b) Value of B\nobtained by: i) Evolution of A1/(CT∗) with doping, showing\nthat it stabilizes in the value of Bgiven by Eq. ( 5) using our\nfits forA1(red disks) as well as using results found in the\nliterature (green and blue disks); ii) the resistivity coeffi cient\nof the FL phase; and iii) the theoretical expression given in\nEq. (5). These show a remarkable agreement close to the\ncritical point x= ˜x0≈0.267. The experimental data points\nwere extracted from the values of the coefficient, availabe in\nthe literature [ 28,29,32,38]. The dashed line corresponds to\nour theoretical calculation.\nphase in terms of a scaling function was already pointed\nout in the literature [ 21–23,30]. In this work, however,\nthis fact has been derived from the proposed Hamilto-\nnian, and the explicit form of the scaling function was,\nthereby, determined. Also, the resistivity being the con-\nsequence of hole scattering by a scalar field, our scaling\napproach does not suffer from the problems pointed out\nin [23,30]. We, therefore, reconcile the existence of a\nquantum critical regime with the Planckian diffusion hy-\npothesis.\nThe scaling allows us to predict that the slope of the\nlinear resistivity is proportional to the PG temperature\nT∗(x), where the excitons condense. We, thereby, can\ninfer the connection between the resistivity and the scat-\ntering by excitons.\nA natural extension of this work would be to considerthe inclusion of a third scaling variable, representing the\neffect of external agents such as pressure or magnetic\nfield on the resistivity of cuprates. That would add a\nthird dimension to the phase diagram of Fig. 1. It would\nbe interesting to compare the new results with the data\navailable in the literature for external magnetic field and\nwith an AC field. The effect of pressure, that changes µ\n[26], on resistivity is also an interesting direction to be\nexplored using this formalism.\nAcknowledgments RA acknowledges funding from\nCAPES. ECM was supported in part by CNPq and\nFAPERJ.\n∗arouca@pos.if.ufrj.br\n†marino@if.ufrj.br\n[1] J. G. Bednorz and K. A. M¨ uller, Zeitschrift f¨ ur Physik B\nCondensed Matter 64, 189 (1986).\n[2] E. Dagotto, Rev. Mod. Phys. 66, 763 (1994).\n[3] D. J. Scalapino, Rev. Mod. Phys. 84, 1383 (2012).\n[4] D. J. Scalapino, E. Loh, and J. E. Hirsch, Physical Re-\nview B34, 8190 (1986).\n[5] D. A. Wollman, D. J. Van Harlingen, W. C. Lee, D. M.\nGinsberg, and A. J. Leggett, Physical Review Letters 71,\n2134 (1993).\n[6] C. C. Tsuei and J. R. Kirtley, Rev. Mod. Phys. 72, 969\n(2000).\n[7] T. Hu, Y. Liu, H. Xiao, G. Mu, and Y. Yang, Scientific\nReports 7, 1 (2017).\n[8] A. Legros, S. Benhabib, W. Tabis, F. Lalibert´ e, M. Dion,\nM. Lizaire, B. Vignolle, D. Vignolles, H. Raffy, Z. Li,\net al., Nature Physics 15, 142 (2019).\n[9] E. C. Marino, Quantum Field Theory Approach to Con-\ndensed Matter Physics (Cambridge University Press,\n2017).\n[10] G. D. Mahan, Many-Particle Physics (Springer Science\n& Business Media, 2013).\n[11] P. Coleman, Introduction to Many-Body Physics (Cam-\nbridge University Press, 2015).\n[12] M. Gurvitch and A. T. Fiory, Physical Review Letters\n59, 1337 (1987).\n[13] B. Keimer, S. A. Kivelson, M. R. Norman, S. Uchida,\nand J. Zaanen, Nature 518, 179 (2015).\n[14] C. M. Varma, P. B. Littlewood, S. Schmitt-Rink,\nE. Abrahams, and A. E. Ruckenstein, Physical Review\nLetters63, 1996 (1989).\n[15] C. M. Varma, Physical Review Letters 83, 3538 (1999).\n[16] T. Faulkner, N. Iqbal, H. Liu, J. McGreevy, and D. Vegh,\nScience329, 1043 (2010).\n[17] R. A. Davison, K. Schalm, and J. Zaanen, Physical Re-\nview B89, 245116 (2014).\n[18] A. A. Patel, J. McGreevy, D. P. Arovas, and S. Sachdev,\nPhysical Review X 8, 021049 (2018).\n[19] J. Zaanen, Nature 430, 512 (2004).\n[20] J. Zaanen, SciPost Phys. 6, 61 (2019).\n[21] K. Damle and S. Sachdev, Physical Review B 56, 8714\n(1997).\n[22] S. Sachdev, Quantum Phase Transitions (Cambridge\nUniversity Press, 2011), 2nd ed.\n[23] P. Phillips and C. Chamon, Physical Review Letters 95,7\n107002 (2005).\n[24] L. Taillefer, Annu. Rev. Condens. Matter Phys. 1, 51\n(2010).\n[25] I. Vishik, M. Hashimoto, R.-H. He, W.-S. Lee,\nF. Schmitt, D. Lu, R. Moore, C. Zhang, W. Meevasana,\nT.Sasagawa, etal., Proceedings oftheNationalAcademy\nof Sciences 109, 18332 (2012).\n[26] E. C. Marino, R. O. Corrˆ ea Jr, R. Arouca, L. H. Nunes,\nand V. S. Alves, Superconductor Science and Technology\n33, 035009 (2020).\n[27] E. C. Marino and M. B. S. Neto, Phys. Rev. B 64, 092511\n(2001).\n[28] S. Nakamae, K. Behnia, N. Mangkorntong, M. Nohara,\nH. Takagi, S. J. C. Yates, and N. E. Hussey, Physical\nReview B 68, 100502 (2003).\n[29] R. Cooper, Y. Wang, B. Vignolle, O. Lipscombe, S. Hay-\nden, Y.Tanabe, T.Adachi, Y.Koike, M.Nohara, H.Tak-\nagi, et al., Science 323, 603 (2009).\n[30] P. Phillips, Advanced Solid State Physics (Cambridge\nUniversity Press, 2012).\n[31] T. R. Kirkpatrick and D. Belitz, Physical Review B 91,\n214407 (2015).\n[32] Y. Ando, S. Komiya, K. Segawa, S. Ono, and Y. Kurita,\nPhysical Review Letters 93, 267001 (2004).\n[33] Y. Ando, Y. Hanaki, S. Ono, T. Murayama, K. Segawa,\nN. Miyamoto, and S. Komiya, Physical Review B 61,\nR14956 (2000).\n[34] M. Akoshima, T. Noji, Y. Ono, and Y. Koike, Physical\nReview B 57, 7491 (1998).\n[35] A. Yamamoto, N. Takeshita, C. Terakura, and\nY. Tokura, Nat Commun. 6(2015).\n[36] D. R. Harshman and A. P. Mills, Physical Review B 45,\n10684 (1992).\n[37] B. Hunter, J. Jorgensen, J. Wagner, P. Radaelli,\nD. Hinks, H. Shaked, R. Hitterman, and R. Von Dreele,\nPhysica C: Superconductivity 221, 1 (1994).\n[38] N. Hussey, R. Cooper, X. Xu, Y. Wang, I. Mouzopoulou,\nB. Vignolle, and C. Proust, Philosophical Transactions\nof the Royal Society A: Mathematical, Physical and En-\ngineering Sciences 369, 1626 (2011).\n[39] E. Marino, L. O. Nascimento, V. S. Alves, N. Menezes,\nand C. M. Smith, 2D Materials 5, 041006 (2018).1\nSupplemental Material\nBRIEF REVIEW OF THE MODEL\nWe use here the same Hamiltonian introduced in [ 26] , namely\nHeff[ψ] =−t/summationdisplay\nR,diψ†\nAσ(R)ψBσ(R+di)+hc\n−gS/summationdisplay\nR,di/bracketleftig\nψ†\nA↑(R)ψ†\nB↓(R+di)+ψ†\nB↑(R+di)ψ†\nA↓(R)/bracketrightig/bracketleftbig\nψB↓(R+di)ψA↑(R)+ψA↓(R)ψB↑(R+di)/bracketrightbig\n−gP/summationdisplay\nR,di/bracketleftig\nψ†\nA↑(R)ψB↑(R+di)+ψ†\nA↓(R)ψB↓(R+di)/bracketrightig/bracketleftig\nψ†\nB↑(R+di)ψA↑(R)+ψ†\nB↓(R+di)ψA↓(R)/bracketrightig\n,(S1)\nin the above expression, Rdenotes the sites of a square sublattice (A) and di,i= 1,...,4, its nearest neighbors,\nbelonging to square sublattice (B). ψ†\nA,Bσis the creation operator of a hole, or, equivalently, the destructio n operator\nof an electron, with spin σ=↑,↓in sublattice A,B. Such sublattices are formed as follows: each oxygen ion possesse s\napxand apyorbital but only one of them, either pxorpy, alternatively, hybridizes with the copper 3d orbitals . Two\ninequivalent oxygen sublattices are thereby formed, one having hy bridizedpxorbitals and the other having py.tis\nthe usual hopping parameter, gSis the coupling parameter of the hole-attractive interaction term a ndgP, coupling\nparameter of the hole-repulsive interaction term . Through a Hubb ard Stratonovitch (HS) transformation we can\nexpress this Hamiltonian in terms of the scalar HS fields Φ( k) andχ(k), which upon integration, generate the quartic\nattractive and repulsive terms, respectively responsible for the f ormation of Cooper pair and exciton bound states.\nHeff[ψ,Φ,χ] =/summationdisplay\nk,σ/braceleftig\nǫ(k)ψ†\nAσ(k)ψBσ(k)+Φ(k)/bracketleftig\nψ†\nA↑(−k)ψ†\nB↓(k)+ψ†\nB↑(k)ψ†\nA↓(−k)/bracketrightig\n+χ(k)/bracketleftig\nψ†\nAσ(k)ψBσ(k)/bracketrightig\n+hc/bracerightig\n+1\ngS/summationdisplay\nkΦ†(k)Φ(k)+1\ngP/summationdisplay\nkχ†(k)χ(k). (S2)\nThe ground-state expectation values of the HS fields, namely, ∆ = /angbracketleftΦ/angbracketrightandM=/angbracketleftχ/angbracketrightare the order parameters for the\nsuperconducting (SC) and pseudogap (PG) phases [ 26].\nWe introduce the doping x-dependence through the constraint\nλ/bracketleftig/summationdisplay\nC=A,Bψ†\nC,σ,aψC,σ,a−Nd(x)/bracketrightig\n(S3)\nwhich is implemented by integrating over the Lagrange multiplier field λ, whose vacuum expectation value is the\nchemical potential: /angbracketleftλ/angbracketright=µ. Hered(x) is a function of the stoichiometric doping parameter, which turns o ut to be\nd(x) = 2(x0−x)/x0andNis the number of CuO2planes intercepting the material primitive unit cell. [ 26].\nWe can represent Hamiltonian ( S2) with the constraint of Eq. ( S3), using Nambu fermion fields Ψ a\nΨa=\nψA,↑,a\nψB,↑,a\nψ†\nA,↓,a\nψ†\nB,↓,a\n, (S4)\nas\nHeff−µN=1\ngS/summationdisplay\nk|∆(k)|2+1\ngP/summationdisplay\nk|M(k)|2+/summationdisplay\nkΨ†\na(k)(H(k)−µN)Ψa(k) (S5)\nwith the matrix H−µN\nH−µN=\n−µ ǫ+M0 ∆\nǫ+M∗−µ∆ 0\n0 ∆∗µ−ǫ−M∗\n∆∗0−ǫ−M µ\n. (S6)2\nByintegratingonthefermionfields, weobtainthegrand-partitionf unctionalaswellasthegrand-canonicalpotential\nΩ[∆,M,µ]:\nZ= exp/braceleftig\n−βΩ[∆,M,µ]/bracerightig\nMinimizing the grand-canonical potential Ω, respectively, with resp ect to ∆,M,µ, we obtain the equations that\ndetermine the behavior of ∆, Mandµin the thermodynamic equilibrium state [ 26]:\n2∆/bracketleftig\n−2T\nαF(∆,M,µ)+η(NgS)\ngc/bracketrightig\n= 0 (S7)\n2M/bracketleftig\n−2T\nαF(∆,M,µ)+η(NgP)\ngc/bracketrightig\n= 0 (S8)\nd(x) =µ4T\nαF(∆,M,µ), (S9)\nwith the critical coupling gc= 0.30 eV andFbeing, close to the critical curves, given by\nF(∆,M,µ)||∆|∼0,|M|∼0= ln2+1\n2lncosh\n/radicalig\n|∆|2+(|M|+µ(x))2\n2T\n+1\n2lncosh\n/radicalig\n|∆|2+(|M|−µ(x))2\n2T\n.(S10)\nIn the superconducting phase, ∆ /negationslash= 0 andM= 0 so that Eq. ( S8) is trivially satisfied and from Eqs. ( S7) and (S9)\nwe arrive at the expressions for the superconducting transition t emperature Tc(x):\n\n\nTc(x) =ln2Tmax\nln2+µ0(x)\n2Tc(x)+1\n2/parenleftBigg\ne−µ0(x)\nTc(x)−1/parenrightBigg, xx 0\nTc(x) =ln2Tmax\nln2+|µ0(x)|\n2Tc(x)+1\n2/parenleftBigg\ne−|µ0(x)|\nTc(x)−1/parenrightBigg,LSCO,(S11)\nwhere\nµ0(x) = 2γ(x0−x) (S12)\nγbeing a parameter to be determined for each compound. Tmax=Λ\n2ln2η(NgS), Λ = 0.018 eV is an energy cut-off\nandη(NgS) = 1−gc\nNgS. Notice that for LSCO we use a symmetrized version of the equation s to comply with the\nexperimental observation of a symmetrical SC dome.\nFor the pseudogap phase, ∆ = 0 and M/negationslash= 0 so that now Eq. ( S7) is trivially satisfied and Eqs. ( S8) and (S9)\nimply for the pseudogap transition temperature T∗(x):\nT∗(x) =Λη(gPN)\n2\nln/bracketleftig\n1+exp/bracketleftig\n−2˜γ(˜x0−x)\nT∗(x)/bracketrightig/bracketrightig, (S13)\nwithη(gPN) = 1−gc\nNgP, ˜x0=x+\nSC(see Main Text) and ˜ γis determined for each compound.\nUsing a systematic procedure, discussed extensively in Ref. [ 26], we were able to obtain the parameters γ,gS,gP\nand ˜γfor each material and characterize their phase diagram with an exc ellent agreement with experimental data.\nwhich yields the grand-canonicalpotential in the presence of an ap plied electromagnetic vector potential A, namely,\nΩ[A].\nCOMPLETE RESISTIVITY CALCULATION\nThe DC conductivity, at a finite temperature, according to the Kub o formula [ 10], is given by\nσij\nDC= lim\nω→01\nω/bracketleftbig\n1−e−β/planckover2pi1ω/bracketrightbig\nlim\nk→0/angbracketleftjijj/angbracketrightC(ω,k). (S14)3\nThe average electric current and its two-point correlator are obt ained from:\n/angbracketleftji/angbracketright=δΩ[A]\nδAi,/angbracketleftjijj/angbracketright=δ2Ω[A]\nδAiδAj. (S15)\nwhere Ω[ A] is the grand-canonical potential in the presence of an applied elec tromagnetic vector potential A. This\nrelates to the grand-partition functional Z[A] as\nΩ[A] =−1\nβlnZ[A]. (S16)\nThe grand-partition functional, is given by\nZ[A] = Tre−β[H[A]−µN](S17)\nwhereµis the chemical potential, Nis the number operator and the electromagnetic field Ais introduced through\nthe usual minimal coupling prescription\nǫ(k)−→ǫ(k+eA) (S18)\nwhereǫ(k) = 2t[coskxa+coskya] is the usual tight-binding energy for a square lattice.\nWe can write the eigenvalues of H−µN, in terms of the stationary values ∆ 0;M0;µas\nE±(k)) =±/radicaligg/parenleftbigg/radicalig\nǫ2(k)+M2\n0±µ/parenrightbigg2\n+∆2\n0. (S19)\nThe grand-partition functional Z[A] follows from ( S17) and Eq.( S18), namely,\nZ[A] = exp/braceleftigg\n−β/braceleftigg\n|∆|2\ngS+|M|2\ngP+Nµ(x)−NTA∞/summationdisplay\nn=−∞/summationdisplay\nl=±1/integraldisplayd2k\n4π2ln/bracketleftbig\nω2\nn+E2\nl[A]/bracketrightbig/bracerightigg/bracerightigg\n(S20)\n= exp/braceleftigg\n−βT/summationdisplay\nωn/summationdisplay\nl=±1/integraldisplayd2k\n(2π)2ln/bracketleftbiggω2\nn+E2\nl[A]\nω2n+E2\nl[0]/bracketrightbigg/bracerightigg\n(S21)\nwhere\nE2\nl[A] = ∆2\n0+/parenleftig/radicalig\nv2(k+eA)2+M2\n0+lµ/parenrightig2\n. (S22)\nUsing (S15), (S18) and (S22), we obtain the average current:\n/angbracketleftji/angbracketright(k= 0,ω= 0) =N/summationdisplay\nl=±12TEl[A]∂El[A]\n∂Ai/summationdisplay\nωn1\nω2n+E2\nl[A]=N/summationdisplay\nl=±1∂El[A]\n∂Aitanh/parenleftigEl[A]\n2kBT/parenrightig\n. (S23)\nIn order to obtain the conductivity matrix, σijwe must take the derivative of /angbracketleftji/angbracketrightwith respect to Aj, atA=k= 0.\nConsidering that, in this case\n∂E[A]\n∂Ai/vextendsingle/vextendsingle/vextendsingle/vextendsingle\nA=0,k=0= 0\nwe have\n/angbracketleftjijj/angbracketright(k= 0,ω= 0) =N/summationdisplay\nl=±1∂2El[A]\n∂Ai∂Ajtanh/parenleftigEl[A]\n2kBT/parenrightig\n. (S24)\nUnder the latter conditions, only the diagonal ( δij) terms survive, namely\n/angbracketleftjijj/angbracketright(k= 0,ω= 0) =Ne2v2\nM0δij\n\n(M0+µ)tanh\n/radicalBigg\n∆2\n0+/parenleftig\nM0+µ/parenrightig2\n2kBT\n\n/radicalbigg\n∆2\n0+/parenleftig\nM0+µ/parenrightig2+(M0−µ)tanh\n/radicalBigg\n∆2\n0+/parenleftig\nM0−µ/parenrightig2\nkBT\n\n/radicalbigg\n∆2\n0+/parenleftig\nM0−µ/parenrightig2\n\n(S25)4\nIn order to obtain the DC conductivity per CuO2plane, we just divide by N. The corresponding DC resistivity\nperCuO2plane, then, will be given by\nρij=/parenleftigg\nσij\nDC\nN/parenrightigg−1\n=δijM0\n/planckover2pi1βV−1e2v2\n\n(M0+µ)tanh\n/radicalBigg\n∆2\n0+/parenleftig\nM0+µ/parenrightig2\n2kBT\n\n/radicalbigg\n∆2\n0+/parenleftig\nM0+µ/parenrightig2+(M0−µ)tanh\n/radicalBigg\n∆2\n0+/parenleftig\nM0−µ/parenrightig2\n2kBT\n\n/radicalbigg\n∆2\n0+/parenleftig\nM0−µ/parenrightig2\n\n−1\n(S26)\nwhereV=da2is the primitive unit cell volume per CuO2plane, that is introduced through the Fourier transform.\nThen, considering that sign( x)tanh|x|= tanh(x), we find that the resistivity for ∆ = 0 is given by\nρij=δijV\n/planckover2pi1βe2v2\nM0\ntanh/parenleftig\nM0+µ\n2kBT/parenrightig\n+tanh/parenleftig\nM0−µ\n2kBT/parenrightig\n. (S27)\nThis can be rewritten as\nρij=δijVkB\n/planckover2pi1v2e2TM0/bracketleftig\ncosh/parenleftig\nM0\nkBT/parenrightig\n+cosh/parenleftig\nµ\nkBT/parenrightig/bracketrightig\n2sinh/parenleftig\nM0\nkBT/parenrightig,\n(S28)\nthat is precisely Eq. ( 3) of the main text.\nParticular Forms of G(K1,K2)in All Phases\nAs discussed in the main text, the different behavior of the resistivit y, observed in each phase can be understood\nas different particular cases of the scaling function G(K1,K2)\nG/parenleftbiggM\nkBT,µ\nkBT/parenrightbigg\n=M\nkBTcosh/parenleftig\nM\nkBT/parenrightig\n+cosh/parenleftig\nµ\nkBT/parenrightig\n2sinh/parenleftig\nM\nkBT/parenrightig (S29)\nin the different phases. Here we derive the particular expressions o fGin the different regions.\nPseudogap Phase, T→0 AsMandµare finite for zero temperature in this phase we can approximate\ncosh/parenleftig\nM\nkBT/parenrightig\n+cosh/parenleftig\nµ\nkBT/parenrightig\nsinh/parenleftig\nM\nkBT/parenrightig =exp/parenleftig\nM\nkBT/parenrightig\n+exp/parenleftig\n−M\nkBT/parenrightig\n+exp/parenleftig\nµ\nkBT/parenrightig\n+exp/parenleftig\n−µ\nkBT/parenrightig\nexp/parenleftig\nM\nkBT/parenrightig\n−exp/parenleftig\n−M\nkBT/parenrightig\n=1+exp/parenleftbigg\n−2M\nkBT/parenrightbigg\n/bracehtipupleft/bracehtipdownright/bracehtipdownleft/bracehtipupright\n≈0+exp/parenleftig\nµ−M\nkBT/parenrightig\n+exp/parenleftbigg\n−µ+M\nkBT/parenrightbigg\n/bracehtipupleft/bracehtipdownright/bracehtipdownleft/bracehtipupright\n≈0\n1−exp/parenleftbigg\n−2M\nkBT/parenrightbigg\n/bracehtipupleft/bracehtipdownright/bracehtipdownleft/bracehtipupright\n≈0\n≈1+exp/parenleftbiggµ−M\nkBT/parenrightbigg\n≈exp/parenleftbiggµ−M\nkBT/parenrightbigg\n, (S30)5\nsuch that\nGPG(T→0)≈M\n2kBTexp/parenleftbiggµ−M\nkBT/parenrightbigg\n≡M\n2kBTexp/parenleftbiggT0\nT/parenrightbigg\n, (S31)\nwhere we define the temperature scale T0≡µ−M\nkB.\nPseudogap Phase, T→T∗ForT→T∗,M→0. We can separate inspect the limit of two separate parts of Fin\nthis limit. First, the sum of cosh,\nlim\nT→T∗cosh/parenleftig\nM\nkBT/parenrightig\n+cosh/parenleftig\nµ\nkBT/parenrightig\n2=1+cosh/parenleftig\nµPG(T→T∗)\nkBT∗/parenrightig\n2= cosh2/parenleftbiggµPG(T→T∗)\n2kBT∗/parenrightbigg\n, (S32)\nthen the fraction\nlim\nT→T∗M/kB\nsin/parenleftig\nM\nkBT/parenrightig=T∗, (S33)\nsuch that\nGPG(T→T∗) =T∗\nTcosh2/parenleftbiggµPG(T→T∗)\n2kBT∗/parenrightbigg\n. (S34)\nStrange Metal Phase In this phase, M→0 for any temperature. This imply that Fwill have the same behavior\nof the previous phase, but with a different dependence of the cosh\nGSM=C0T∗\nT, (S35)\nwhereT∗comes from the condition of the continuity of ρatT=T∗andC0is the value of cosh2/parenleftig\nµ\n2kBT/parenrightig\nin the\nstrange metal phase as discussed in the main text.\nFermi Liquid Phase For the Fermi Liquid phase, the limit M→0 is taken without the constraint imposed by the\ncontinuity of ρatT∗. On the other hand, for ∆ = M= 0, equations ( S7) and (S8) are trivially satisfied, and\nEq. (S9) implies\nµFL\n2T/bracketleftbig\nµ2\nFL−Λ˜η˜µ(x)/bracketrightbig\n= 0, (S36)\nwhere ˜µ(x) = 2˜γ(˜x0−x).\nObserve that, consequently, for x→˜x0, we have the solution of the above equation: µFL(x) = 0.\nNow, forx>˜x0, we see that ˜ µ(x) becomes negative, hence the only solution of ( S36) isµFL(x) = 0 as well.\nHence, in the FL phase, we have\nGFL= 1. (S37)\nDATA ANALYSIS\nDetermination of the Doping Level\nFor compounds other than LSCO, the actual in-plane doping level is not directly related to the stoichiometric\ndoping, due to the absence of a one-to-one relation between the a mount of doped atomic species and the number of\nholes actually introduced into the planes. This creates a problem, sin ce the chemical potential depends on the latter\nwhile measured physical quantities, are expressed in terms on the f ormer. In Ref. [ 26] a solution for this problem\nwas obtained. Noting that the two quantities, although usually differ ent, are closely related, it was assumed that the\nchemical potential should be proportional to the stoichiometric do ping, as we can see in ( S12). The proportionality\ncoefficient,γwasthendeterminedforeachcompoundbycomparingtheresultst herebyobtainedwiththe experimental6\ndata. That is how the curves Tc(x) andT∗(x) were obtained, with excellent agreement to the experiments for s everal\ncuprate compounds. Nevertheless, for some of the experimenta l data we have analyzed, a uniform database of doping\nparameters for the whole set of samples was needed. This is so beca use the reported doping levels were either based\non different analyses or simply were not provided for each sample, bu t only its critical temperature. To circumvent\nthis problem, we used our theoretical expression for Tc(x), derived in Ref. [ 26] in order to obtain the doping level of\neach sample by using the corresponding value of Tc. The resulting xvalues are presented on Table. SI.\nCompound TcxRef.Compound TcxRef.Compound TcxRef.\nBi2201 14.50.14[33]Bi2212 350.13[34]Hg1212 860.12[35]\nBi2201 23.70.17[33]Bi2212 500.14[34]Hg1212 1040.15[35]\nBi2201 30.20.21[33]Bi2212 650.16[34]Hg1212 1240.22[35]\nBi2201 34.20.26[33]Bi2212 800.18[34]Hg1212 1200.25[35]\nBi2201 33.10.30[33]Bi2212 870.20[34]Hg1212 890.28[35]\nBi2201 30.10.31[33]Bi2212 860.25[34]\nBi2212 840.26[34]\nTABLE SI: Obtained doping levels using Eq.( S11) for many analyzed compounds. The references where the expe rimental data\nwere obtained are also listed.\nFitting procedure\nTo fit the data, we have added a constant value ρ0to the theoretical expression of Eq. ( 10) and Eq. ( 14), in order\nto account for any effect of material imperfections in the resistivit y. So, in summary, we have fitted A1andρ0in the\nstrange metal phase and T0(assuming that it does not dependent on T) in the low-T regime of the PG phase.\nThe fitted data for LSCO, Bi2201, Bi2212 and Hg1212 are in Figs. S1,S2,S3andS4. Observe how these\nexpressions describe the resistivity for huge range of experiment al data. The input values of the latter were obtained\nby digitalization of the published data.7\nLSCO\nx=0.01\nT*\nRef.[32]Data\nEq.[10]\nEq.[14]\n100 150 200 250 300 350 400789101112\nT(K)ρ(mΩcm)x=0.02\nT*\nRef.[32]Data\nEq.[10]\nEq.[14]\n0 100 200 300 400024681012\nT(K)ρ(mΩcm)\nx=0.03\nT*\nEq.[12]\nEq.[16]\nRef.[32]Data\n0 100 200 300 40002468\nT(K)ρ(mΩcm)x=0.04\nT*\nEq.[10]\nEq.[14]\nRef.[32]Data\n0 100 200 300 4000123456\nT(K)ρ(mΩcm)x=0.05\nT*\nRef.[32]Data\nEq.[10]\nEq.[14]\n0 100 200 300 4000.00.51.01.52.02.53.03.5\nT(K)ρ(mΩcm)x=0.06\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.51.01.52.0\nT(K)ρ(mΩcm)\nx=0.07\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.51.01.5\nT(K)ρ(mΩcm)x=0.08\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.51.01.5\nT(K)ρ(mΩcm)x=0.09\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.20.40.60.81.01.2\nT(K)ρ(mΩcm)x=0.1\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.20.40.60.81.0\nT(K)ρ(mΩcm)\nx=0.11\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.20.40.60.8\nT(K)ρ(mΩcm)x=0.12\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.20.40.60.8\nT(K)ρ(mΩcm)x=0.13\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.10.20.30.40.50.60.7\nT(K)ρ(mΩcm)x=0.14\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.10.20.30.40.50.6\nT(K)ρ(mΩcm)\nx=0.15\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.10.20.30.40.50.6\nT(K)ρ(mΩcm)x=0.16\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.10.20.30.40.5\nT(K)ρ(mΩcm)x=0.17\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.10.20.30.40.5\nT(K)ρ(mΩcm)x=0.18\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.10.20.30.4\nT(K)ρ(mΩcm)\nx=0.19\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.10.20.30.4\nT(K)ρ(mΩcm)x=0.2\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.00.10.20.30.4\nT(K)ρ(mΩcm)x=0.21\nT*Ref.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.000.050.100.150.200.250.300.35\nT(K)ρ(mΩcm)x=0.22\nT*\nRef.[32]Data\nEq.(14)\nEq.(10)\n0 100 200 300 4000.000.050.100.150.200.250.30\nT(K)ρ(mΩcm)\nFIG. S1: Comparison between the experimental data extracte d from Ref. [ 32] and the fitted Eq. ( 10) and Eq. ( 14)(with addition\nofρ0) for LSCO.8\nBi2201\nx=0.14\nT*\nRef.[33]Data\nEq.(10)\nEq.(14)\n0 50 100 150 200 250 3000.00.20.40.60.81.01.2\nT(K)ρ(mΩcm)x=0.17\nT*\nRef.[33]Data\nEq.(10)\nEq.(14)\n0 50 100 150 200 2500.00.20.40.60.8\nT(K)ρ(mΩcm)x=0.21\nT*\nRef.[33]Data\nEq.(10)\nEq.(14)\n0 50 100 150 200 2500.00.10.20.30.40.50.60.7\nT(K)ρ(mΩcm)\nx=0.29\nT*\nRef.[33]Data\nEq.(10)\nEq.(14)\n0 50 100 150 200 250 3000.00.10.20.30.40.5\nT(K)ρ(mΩcm)x=0.31\nT*\nRef.[33]Data\nEq.(10)\nEq.(14)\n0 50 100 150 200 250 3000.00.10.20.30.4\nT(K)ρ(mΩcm)x=0.32\nT*\nRef.[33]Data\nEq.(10)\nEq.(14)\n0 50 100 150 200 250 3000.00.10.20.3\nT(K)ρ(mΩcm)\nFIG. S2: Comparison between the experimental data extracte d from Ref. [ 33] and the fitted Eq. ( 14) (with addition of ρ0) for\nBi2201.\nBi2212\nx=0.13 T*\nRef.[34]Data\nEq.(10)\nEq.(14)\n50 100 150 200 250 30001234\nT(K)ρ(mΩcm)x=0.14T*\nRef.[34]Data\nEq.(10)\nEq.(14)\n50 100 150 200 250 3000.00.51.01.52.02.53.0\nT(K)ρ(mΩcm)x=0.16T*\nRef.[34]Data\nEq.(10)\nEq.(14)\n50 100 150 200 250 3000.00.51.01.52.02.5\nT(K)ρ(mΩcm)x=0.18\nT*\nRef.[34]Data\nEq.(10)\nEq.(14)\n100 150 200 250 3000.00.51.01.52.0\nT(K)ρ(mΩcm)\nx=0.2\nT*\nRef.[34]Data\nEq.(10)\nEq.(14)\n100 150 200 250 3000.00.20.40.60.81.01.21.4\nT(K)ρ(mΩcm)x=0.25\nT*\nRef.[34]Data\nEq.(14)\n100 150 200 250 3000.00.20.40.60.81.01.2\nT(K)ρ(mΩcm)x=0.26\nT*\nRef.[34]Data\nEq.(14)\n100 150 200 250 3000.00.20.40.60.81.0\nT(K)ρ(mΩcm)\nFIG. S3: Comparison between the experimental data extracte d from Ref. [ 34] and the fitted Eq. ( 14) (with addition of ρ0) for\nBi2212.9\nHg1212\nx=0.12T*\nRef.[35]Data\nEq.(10)\nEq.(14)\n100 150 200 250 300024681012\nT(K)ρ(mΩcm)x=0.15 T*\nRef.[35]Data\nEq.(10)\nEq.(14)\n100 150 200 250 30001234567\nT(K)ρ(mΩcm)x=0.22\nT*\nRef.[35]Data\nEq.(10)\nEq.(14)\n150 200 250 30001234\nT(K)ρ(mΩcm)\nx=0.25\nT*\nRef.[35]Data\nEq.(10)\nEq.(14)\n100 150 200 2500.00.20.40.60.81.0\nT(K)ρ(mΩcm)x=0.28\nT*\nRef.[35]Data\nEq.(14)\n100 150 200 250 3000.00.10.20.30.40.50.60.7\nT(K)ρ(mΩcm)\nFIG. S4: Comparison between the experimental data extracte d from Ref. [ 35] and the fitted Eq. ( 14) (with addition of ρ0) for\nHg1212." }, { "title": "1301.0270v1.Visualizing_Atomic_Scale_Negative_Differential_Resistance_in_Bilayer_Graphene.pdf", "content": "Visualizing Atomic-Scale Negative Differential Resistance in Bilayer Graphene\nKeun Su Kim,1Tae-Hwan Kim,2Andrew L. Walter,1, 3Thomas\nSeyller,4Han Woong Yeom,2,\u0003Eli Rotenberg,1and Aaron Bostwick1,y\n1Advanced Light Source, E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720, USA\n2Center for Low Dimensional Electronic Symmetry and Department of Physics,\nPohang University of Science and Technology, Pohang 790-784, Korea\n3Department of Molecular Physics, Fritz-Haber-Institut der Max-Planck-Gesellschaft, Faradayweg 4-6, 14195 Berlin, Germany\n4Lehrstuhl f ¨ur Technische Physik, Universit ¨at Erlangen-N ¨urnberg, Erwin-Rommel-Strasse 1, 91058 Erlangen, Germany\nWe investigate the atomic-scale tunneling characteristics of bilayer graphene on silicon carbide using the\nscanning tunneling microscopy. The high-resolution tunneling spectroscopy reveals an unexpected negative\ndifferential resistance (NDR) at the Dirac energy, which spatially varies within the single unit cell of bilayer\ngraphene. The origin of NDR is explained by two near-gap van Hove singularities emerging in the electronic\nspectrum of bilayer graphene under a transverse electric field, which are strongly localized on two sublattices in\ndifferent layers. Furthermore, defects near the tunneling contact are found to strongly impact on NDR through\nthe electron interference. Our result provides an atomic-level understanding of quantum tunneling in bilayer\ngraphene, and constitutes a useful step towards graphene-based tunneling devices.\nPACS numbers: 73.22.Pr, 73.20.At, 74.55.+v, 68.37.Ef\nUnderstanding quantum tunneling at the atomic level is es-\nsential in the study of nanoscale materials and their applica-\ntions in the tunneling devices [1, 2]. One of the most in-\nteresting tunneling phenomena is negative differential resis-\ntance (NDR), characterized by the reversal of the standard\ncurrent-voltage relationship, decreasing current with increas-\ning voltage. NDR is the basic operating principle of Esaki and\nresonant-tunneling diodes, and has enabled various novel ap-\nplications [3, 4]. Motivated by its fundamental importance\nand potential applications, there have been efforts to study\nNDR in graphene [5–9], a prototypical two-dimensional ma-\nterial with tunable electronic properties [10–13]. However,\ntunneling-induced NDR has not yet been realized in graphene,\nwhile a recent study has proposed a new method for NDR in\ngraphene that is not based on the quantum tunneling effect [9].\nThe scanning tunneling microscope (STM) is a powerful\ntool, which can not only directly probe NDR, but also provide\nkey information on the mechanism. STM has been widely\nemployed to study various electronic properties of graphene at\nthe atomic scale, such as scattering and interference [14, 15],\nbut investigation into the sub-unit cell regime has been lim-\nited. Here, we report the first observation of NDR based\non quantum tunneling at the vertical junction of STM over\nbilayer graphene. The applied electric field across bilayer\ngraphene induces two van Hove singularities in the electronic\nspectrum, which are strongly localized on two sublattices in\ndifferent layers. Such a localization of electronic singulari-\nties leads to a novel atomic-scale variation of NDR, which\nis directly visualized by our high-resolution tunneling spec-\ntroscopy within the single unit cell of bilayer graphene. This\nresult provides the atomic-level understanding of quantum\ntunneling in bilayer graphene.\nBilayer graphene was prepared on 6H-SiC(0001) wafers\n(N-dopant concentration of 1 \u00021018cm\u00003) by thermal\ngraphitization in a flow of argon as described in Ref. [16].\nThe samples were transferred through the air to the ultrahighvacuum chamber (5 \u000210\u000011torr), and briefly annealed up\nto 800\u000eC to refresh the surface. STM measurements were\nperformed at 5.6 K and 78.2 K using a commercial cryogenic\nSTM (Unisoku, Japan), equipped with a Nanonis controller\n(Specs, Germany). d I/dVspectra were obtained by the stan-\ndard lock-in technique with a modulation voltage of 3–18 mV\nat 500 Hz and a consistent setpoint of –0.2 V and 300 pA. The\ndI/dVmap was taken by a grid spectroscopy, for which the\nthermal drift was carefully compensated by the atom-tracking\nmethod.\nThe basic configuration of our experimental setup is shown\nin Fig. 1(a). It involves the tunnel junction of an STM tip\nand bilayer graphene separated by a vacuum gap of about 1\nnm. Using this technique we can measure I-Vcurves over a\nspecific atomic site in the surface. The bilayer graphene is n-\ndoped with EFabout 0.3 eV above the charge-neutrality point\n[the Dirac energy ( ED)]. The interface of bilayer graphene\nwith SiC(0001) produces a built-in electric field, rendering\nthe charge densities in two stacked graphene layers inequiv-\nalent [17]. This out-of-plane symmetry breaking results in a\nbandgap with the magnitude of 0.14 eV at ED[11]. This char-\nacteristic of bilayer graphene has enabled a tunable bandgap\neither by gating [12] or chemical doping [11], and is also im-\nportant for NDR as explained below.\nFigure 1(b) shows an STM topographic image of bilayer\ngraphene, acquired with –0.2 V sample bias and constant tun-\nneling current. The atomic structure of bilayer graphene is\nshown in the inset and overlaid with part of the image for\ncomparison. Bilayer graphene consists of two Bernal stacked\ngraphene layers, where the Asublattice of the top layer ( AT,\nblack) is located on the Bsublattice of the bottom layer ( BB).\nDue to the direct coupling between them, the other sublat-\ntice in the top layer ( BT, red) is dominantly imaged at low-\nbias voltage, resulting in the triangular pattern [18, 19]. The\nfull honeycomb lattice could be visualized at the higher bias\nvoltage, confirming the characteristic bias dependence of bi-arXiv:1301.0270v1 [cond-mat.mtrl-sci] 2 Jan 20132\nBTABAT(a)\nA\nz\ny\nx(b)\n(c) (d)\n-0.4-0.5 -0.2-0.3 -0.1 0.0 0.2 0.1\nSample bias (V)dI/d V (nS) -0.4 -0.3 -0.2I (nA) \nBias (V)-0.30-0.254\n3\n2\n1\n0V1V0\n 50 \n 100 \n 200 \n 300 \n 500 \n 700 \n 1000 \n-0.7 -0.6 -0.5 -0.4 -0.3 -0.2 -0.1 \nSample bias (V) (d I/d V)/( I/V) (a. u.) IS (pA) \nFIG. 1: (color online). (a) Experimental configuration for the lo-\ncal tunneling spectroscopy, consisting of an STM tip and bilayer\ngraphene on silicon carbide. (b) STM topographic image (1.5 \u0002\n1.5 nm2) taken on bilayer graphene with sample bias of –0.2 V and\ntunneling current of 300 pA. Inset shows the atomic structure over-\nlaid with part of the STM image. Balls are carbon atoms, and solid\n(dashed) lines represent chemical bonds in the top (bottom) layer.\n(c) Point-d I/dVspectrum and a corresponding I-Vspectrum (inset)\ntaken on the BTsite. The red line overlaid is the result of model sim-\nulations. Red arrows highlight NDR. (d) d I/dVspectra normalized\nbyI-Vat each initial setting current marked with different colors.\nDotted lines in (c) and (d) indicate conductance zero.\nlayer graphene [20, 21]. In Fig. 1(b), one can also readily\ndistinguish the ATsite from the hollow site in the top layer\n(corresponding to AB, blue) by their relative brightness. This\ndemonstrates the high spatial resolution achieved in our mea-\nsurements.\nFigure 1(c) shows a d I/dVcurve measured on the BTsite.\nThe differential conductance value goes below zero at –0.3\nV , indicative of negative conductance (or resistance). This\ncan also be directly seen in I-Vmeasurements [inset of Fig.\n1(c)] as decreasing current while increasing the voltage from\n–0.26 to –0.34 V . This observation clearly demonstrates NDR\nin bilayer graphene. The NDR behavior was reproducibly ob-\nserved in the voltage range of –0.30 \u0018–0.35 V , close to ED. In\nFig. 1(d), we display a series of d I/dVspectra normalized by\nI/Vtaken with different initial tunneling currents, which are\ninversely related to the tip-sample distance (tunneling barrier\nwidth). They show little change and consistent NDR behav-\niors, excluding tip-induced origins of NDR such as a local\nband bending [22].\nAn important clue for the origin of NDR can be obtained\nfrom its spatial distribution at the atomic scale. Figure 2(a)\ncompares d I/dVcurves on three different atomic sites [refer\nto Fig. 1(b)]. NDR at –0.3 V occurs only on the BTsite,\nPositive max Negative max Zero (a)\n(b)(c)\n+0.17 V \nBT\nABAT\n-0.30 V \n-0.4 -0.2 0.0 0.2\nSample bias (V)dI/d V (nS) \n A B A T B TAvr.\nbc\n012345FIG. 2: (color online). (a) Point-d I/dVspectra taken on three differ-\nent atomic sites of bilayer graphene, and its spatial average offset for\nclarity. Dotted lines indicate conductance zero. (b),(c) d I/dVmaps\ntaken with a 96 \u000296 grid at the bias voltage indicated by arrows in\n(a). The inset in (b) shows the simultaneously acquired topographic\nimage overlaid with the atomic structure of bilayer graphene.\nwhile above +0.1 V the dominant conductance is found on the\nATsite. Recording d I/dVcurves as a function of lateral tip\npositions, we show a differential conductance map at –0.3 V\nin Fig. 2(b). The simultaneously acquired topographic im-\nage in the inset helps determine the atomic position. Interest-\ningly, negative conductance, represented by blue color, is cen-\ntered on each BTatom and is surrounded by a hexagonal rim\nof positive conductance. This suggests that NDR is closely\nlinked to localized states at the BTsite. On the other hand,\nthe same map taken at +0.17 V shows dominant positive con-\nductance on the ATsite. This site-resolved detail has not been\nobserved previously most likely due to limited spatial resolu-\ntion in the tunneling spectroscopy. Indeed, the spatial average\nof dI/dVcurves [the black one in Fig. 2(a)] reproduces the\ntypical curve with no NDR reported previously in literature\n[19].\nTo understand the origin of NDR, let us recall the band\nstructure of bilayer graphene near EFas illustrated in Fig.\n3(a). In pristine bilayer graphene, there are two pairs of en-\nergy bands (dotted lines) — a low-energy pair from the BTand\nABatoms and a high-energy pair from the ATandBBatoms.\nWhen a bandgap is induced by a transverse electric field, the\nlow-energy bands develop a Mexican-hat-like dispersion at\nconduction and valence band edges near the bandgap [23, 24].\nThis rather flat dispersion leads to two distinct van Hove sin-\ngularities in the density of states [25] as in Fig. 3(b). Further-\nmore, these two near-gap states were theoretically predicted\nto be localized at specific atomic sites in different layers, as\nshown in Figs. 3(a) and 3(b), depending on the direction of the\nelectric field [26, 27]. Such a strong localization of electronic\nsingularities (the flat parts of energy bands) is also important\nin understanding many-body correlations at low energies and3\n-0.8 -0.6 -0.4 -0.2 0.0 0.2 \nEnergy (eV) Density of states (a. u.) BT AB\nATEF+0.2\n-0.2\n-0.4\n-0.6\n-0.8\n-1.0BTAT\nBBAB\nK\nMomentumEnergy (eV) Eg(a) (b)\n(d) (c)\n-0.4 -0.2 0.0 -0.1 -0.3 -0.5\nEnergy (eV)Photoelectron intensity (a. u.) \nEnergy (eV) \n-0.4 -0.2 0.2 \nTip Sample Imin\nImax \nV1V0EF\nFIG. 3: (color online). (a) Band structure of bilayer graphene with\n(solid lines) and without (dashed lines) the bandgap. Red and blue\ncolors in a low-energy band pair represent the atomic site, at which\ncorresponding states are localized ( BT: red, AB: blue). (b) Site-\nresolved density of states in biased bilayer graphene [26, 27]. (c)\nEnergy-distribution curve at the K point taken by ARPES. Data were\ntaken at the Advanced Light Source, using a Scienta R4000 electron\nanalyzer (VG-Scienta, Sweden) and a 95-eV photon. Energy and an-\ngular resolutions were 30 meV and 0.1\u000e. Red and blue arrows indi-\ncate positions of two van Hove singularities. (d) Energy diagram for\nthe tunnel junction of the tip and the sample. Red solid and dashed\nlines are, respectively, the sample density of states at V0for local\nmaximum current ( Imax) and at V1for local minimum current ( Imin)\nafter broadening by Gaussian. The gray line is the tip density of\nstates, and the shaded region is the filled tip states below EF.\nthe ground state of bilayer graphene [28], but has not been\ndirectly shown by experiments.\nIn our case, states near –0.25 and –0.39 eV are localized\natBTin the top layer (red) and at ABin the bottom layer\n(blue), respectively, while states near +0.1 eV are localized\natATin the top layer (black). Since STM is highly sensitive\nto the local density of states in the surface, this explains dom-\ninant conductance at BTfor –0.2\u0018+0.1 V in Fig. 2(a) and\nthat at ATabove +0.1 V in Figs. 2(a) and 2(c). This picture\nis fully supported by the energy-distribution curve at the K\npoint [Fig. 3(c)], measured by angle-resolved photoemission\nspectroscopy (ARPES). It reveals highly asymmetric intensi-\nties for the two van Hove singularities [11], because the pho-\ntoelectrons excited from the bottom layer ( AB) are attenuated\nby scattering during their passage though the top layer. This\nalso confirms that the layer localization is universal over the\nwide area covered by the photon beam ( \u001850\u0016m in radius) in\nARPES.\nThe presence of a layer-polarized van Hove singularity canqualitatively explain NDR, as in Fig. 3(d), which shows the\nrelationship between the broadened sample density of states at\nBTand the tip density of states. When the sample bias equals\nV0, where the van Hove singularity meets the EFof the tip,\nthe current reaches a local maximum, Imax. As it approaches\nV1, where the van Hove singularity no longer assists tunnel-\ning and no state exists in the bandgap, tunneling is strongly\nsuppressed, and the current decreases down to Imin. As the\nvoltage further increases out of the bandgap, the current starts\nincreasing again, resulting in the N-shaped branch in the I-V\ncurve [as in the inset of Fig. 1(c)]. That is, the observed NDR\nis the result of electronic spectrum reconstructions in asym-\nmetric bilayer graphene.\nFor the quantitive analysis, we performed I-Vsim-\nulations based on the standard formula, I(V,z)/REF\nEF\u0000VDOS sample (E+V)\u0001DOS tip(E)\u0001T(E,V,z)dE, where\nDOS is the density of states and Tis tunneling matrix\nelement [29–31]. Tis estimated by the WKB approximation\nasT(E,V,z) = exp(–2p\n2(\u001e\u0000V=2\u0000E)z, where\u001eis the\nwork function. We used the Gaussian-broadened local DOS\nin the theoretical calculation [26, 27] at BTwith a small\ncontribution of that at AT(to take into account the finite\nareal averaging). The tip DOS is assumed to have a typical\nlocalized state of tip-apex atoms near EF[29]. The sample\nand tip DOS’s optimized to reproduce the experimental data\nare present, respectively, by red and gray curves in Fig.\n3(d). The simulated curve [the red line overlaid in Fig. 1(c)]\nsuccessfully reproduces the NDR behavior, confirming the\nproposed mechanism.\nTo further support the proposed mechanism, we have inves-\ntigated the interaction of NDR with local defects. Recent the-\nories predict that a single point scatterer in bilayer graphene\ndevelops in-gap states, suppressing the sharp van Hove singu-\nlarities [32, 33]. Thus, one might expect a local suppression\nof NDR near defects. Figure 4(a) shows a large scale STM\nimage of an impurity (yellow blob). The d I/dVmaps taken\nat two different locations about 16 nm away from defects are\npresent in Figs. 4(b) and 4(c). NDR is extinguished in a sub-\nset of BTatoms, which form a periodicp\n3\u0002p\n3pattern ro-\ntated by 30\u000ewith respect to the lattice constant, and these data\nshow little dependence on the origin and site of defects. The\n(p\n3\u0002p\n3)R30\u000emodulation is the well-known signature of\nintervalley electron scattering by a defect in bilayer graphene\n[14, 15]. Thus, we attribute two inverse patterns in Figs. 4(b)\nand 4(c) to constructive or destructive interference of defect\nscattering, as illustrated in Figs. 4(e) and 4(f). Furthermore,\nthe same map taken right next to a defect [Fig. 4(d)] shows\nthat NDR completely disappears and instead strong positive\nconductance emerges on a subset of BTatoms. As a result,\npositive, zero, and negative conductance are all possible [solid\nline in Fig. 4(g)], and defects can be used to switch NDR on\nand off nearby as well as at the site more than 10 nm away\nfrom a defect. Such a strong impact of defects in electronic lo-\ncalization should be considered for the complete understand-\ning of scattering and interference in bilayer graphene [14, 15].\nThe disappearance of NDR with the progressive suppression4\nd\nb or c\nPositive max Negative max Zero\nB1 B2 \nBT\nABATB3 (a)\n(b) (c) (d)\n(e) (f) (g)\n-0.5 -0.4 -0.3 -0.2 -0.1\nSample bias (V)Normalized dI/d V (a. u.) B1 in (b)\n B2 in (b)\n B3 in (d)\n ED\nFIG. 4: (color online). (a) STM image (10 \u000210 nm2) with –0.05 V\nand 300 pA, where a local defect (the yellow blob) is present at the\ncorner. d I/dVmaps taken (b),(c) at two different regions about 16\nnm away from defects and (d) at a region right next to a defect. The\natomic structure of bilayer graphene is overlaid. (e),(f) Schematic\nillustration for two possible NDR patterns due to constructive or de-\nstructive interference of defect scatterings. (g) Normalized d I/dV\nspectra taken on three different BTatoms marked in (b) and (d). Solid\nand dotted lines indicate EDand conductance zero, respectively.\nof the van Hove singularity near the bandgap [the peak at –\n0.23 V in Fig. 4(g)] makes a clear link between them. This\nwill further allow the control of NDR by tuning the bandgap\nwith gating or chemical doping [11, 12].\nOur results, combined with a few existing techniques, sug-\ngest a route towards graphene-based NDR devices. The key\nto NDR is, as demonstrated here, to exploit the near-gap van\nHove singularity in biased bilayer graphene. In our experi-\nmental configuration, the tip can be replaced by another biased\nbilayer grahene, such that a vertical tunnel junction of two\ngraphene bilayers is formed. A similar graphene heterostru-\ncrture has recently been realized using ultrathin boron nitride\nfilms as a tunneling barrier [1, 2]. In such junctions, tunneling\nwould depend on sublattice-registry matching. That is, if the\nsublattices in two graphene layers facing each other, where\nthe van Hove singularity is localized, were to line up, maxi-\nmal direct tunneling between van Hove singularities could be\nachieved. The tunable electronic structure of bilayer graphene\n[11, 34, 35] would allow further optimization for NDR and a\nlarge peak-to-valley ratio of tunneling current.\nThis work and ALS were supported by the Director, Of-\nfice of Science, Office of Basic Energy Sciences, of the\nU.S. Department of Energy under Contract No. DE-AC02-\n05CH11231. K.S.K. acknowledges support by NRF Grant(NRF-2011-357-C00022). Work in Pohang was supported\nby NRF through Center for Low Dimensional Electronic\nSymmetry (Grant No. 2012R1A3A2026380) and SRC Cen-\nter for Topological Matter (Grant No. 2011-0030789).\nWork in Erlangen was supported by the DFG through grant\nnumber SE 1087/7-1, by the ESF Eurocores program EU-\nROGRAPHENE, and by the DFG priority program 1459\nGraphene. A.L.W. acknowledges support by the Max Planck\nSociety. We thank F. Speck, M. Ostler, and F. Fromm for as-\nsistance during sample preparation.\n\u0003yeom@postech.ac.kr\nyabostwick@lbl.gov\n[1] L. Britnell et al., Science 335, 947–950 (2012).\n[2] L. Britnell et al., Nano Lett. 12,1707–1710 (2012).\n[3] L. Esaki, Phys. Rev. 109, 603–604 (1958).\n[4] L. L. Chang, L. Esaki, and R. Tsu, Appl. Phys. Lett. 24, 593–\n595 (1974).\n[5] R. Nandkishore and L. Levitov, Proc. Natl Acad. Sci. USA 108,\n14021–14025 (2011).\n[6] G. Fiori, IEEE Electron Device Lett. 32,1334–1336 (2011).\n[7] Z. F. Wang et al., Appl. Phys. Lett. 92,133114 (2008).\n[8] K. M. Masum Habib, F. Zahid, and R. K. Lake, Appl. Phys.\nLett. 98,192112 (2011).\n[9] Y . Wu et al., ACS Nano 6,2610–2616 (2012).\n[10] A. K. Geim and K. S. Novoselov, Nat. Mater. 6,183–191\n(2007).\n[11] T. Ohta, A. Bostwick, T. Seyller, K. Horn, and E. Rotenberg,\nScience 313, 951–954 (2006).\n[12] Y . Zhang et al., Nature (London) 459, 820–823 (2009).\n[13] Y .-M. Lin et al., Science 327, 662 (2010).\n[14] G. M. Rutter et al., Science 317, 219–222 (2007).\n[15] I. Brihuega et al., Phys. Rev. Lett. 101, 206802 (2008).\n[16] K. V . Emtsev et al., Nat. Mater. 8,203–207 (2009).\n[17] S. Kopylov, A. Tzalenchuk, S. Kubatkin, and V . I. Fal’ko, Appl.\nPhys. Lett. 97,112109 (2010).\n[18] J. Tersoff and N. D. Lang, Phys. Rev. Lett. 65,1132 (1990).\n[19] P. Lauffer et al., Phys. Rev. B 77,155426 (2008).\n[20] G. M. Rutter, J. N. Crain, N. P. Guisinger, P. N. First, and J. A.\nStroscio, J. Vac. Sci. Technol. A 26,938–943 (2008).\n[21] See supplementary materials at\nhttp://link.aps.org/supplemental/ for the bias dependence\nof STM images.\n[22] W. Jung, D. Cho, M.-K. Kim, H.-J. Choi, and I.-W. Lyo, Proc.\nNatl Acad. Sci. USA 108, 13973–13977 (2011).\n[23] E. McCann and V . I. Fal’ko, Phys. Rev. B 96,086805 (2006).\n[24] H. Min, B. Sahu, S. K. Banerjee, and A. H. MacDonald, Phys.\nRev. B 75,155115 (2007).\n[25] F. Guinea, A. H. Castro Neto, and N. M. R. Peres, Phys. Rev. B\n73,245426 (2006).\n[26] E. K. Yu, D. A. Stewart, and S. Tiwari, Phys. Rev. B 77,195406\n(2008).\n[27] A. Ramasubramaniam, D. Naveh, and E. Towe, Nano Lett. 11,\n1070–1075 (2011).\n[28] J. Velasco Jr et al., Nat. Nanotech. 7,156–160 (2012).\n[29] I.-W. Lyo and P. Avouris, Science 245, 1369–1371 (1989).\n[30] M. Grobis, A. Wachowiak, R. Yamachika, and M. F. Crommie,\nAppl. Phys. Lett. 86,204102 (2005).\n[31] B. W. Heinrich, M. V . Rastei, D.-J. Choi, T. Frederiksen, and L.5\nLimot, Phys. Rev. Lett. 107, 246801 (2011).\n[32] J. Nilsson and A. H. Castro Neto, Phys. Rev. Lett. 98,126801\n(2007).\n[33] F. M. D. Pellegrino, G. G. N. Angilella, and R. Pucci, Phys.\nRev. B 80,094203 (2009).[34] G. Li et al., Nat. Phys. 6,109–113 (2009).\n[35] S.-M. Choi, S.-H. Jhi, and Y .-W. Son, Nano Lett. 10,3486–\n2489 (2010).6\n(a)\nBilayer\nMonolayer(b) (c)\n58 nm 1.6 nm+1.0 V –0.2 V\n1.6 nm\n–0.01 V\nFIG. 5: (a) Large scale STM image taken with +2 V and 50 pA, where two wide domains of monolayer and bilayer graphene are clearly\ndistinguished. (b),(c) STM images at the boundary of monolayer (right) and bilayer domains (left), taken with two different bias voltages\nmarked at the upper left. Insets at the upper left show magnified images of the left domains. The full honeycomb pattern at high-bias voltage\n(b) transforms into the triangular pattern at low-bias voltage (c), which is the characteristic bias dependence in bilayer graphene reported\npreviously [20]. This confirms the bilayer origin of domains under study. The lower right inset in (c) shows a magnified low-bias (marked)\nimage of the right domains, showing a clear honeycomb lattice of monolayer graphene." }, { "title": "2108.09148v1.Understanding_grain_boundary_electrical_resistivity_in_Cu__the_effect_of_boundary_structure.pdf", "content": "1 \n Understanding grain boundary electrical resistivity in Cu: the effect of \nboundary structure \n \nHanna Bishara (a)*, Subin Lee (a)(b), Tobias Brink (a), Matteo Ghidelli (a)(c), Gerhard Dehm (a)* \n \n(a)Max-Planck -Institut für Eisenforschung GmbH, 40237 Düsseldorf, Germany \n(b) Institute for Applied Materials (IAM), Karlsruhe Institute of Technology, 76344 Eggenstein -\nLeopoldshafen, Germany \n (c) Laboratoire des Sciences des Procédés et des Matériaux (LSPM), CNRS, Université Sorbonne Paris \nNord, 93430 Villetaneuse, France \n \n* Corresponding author: h.bishara@mpie.de ; g.dehm@mpie.de \n \n \nAbstract \nGrain boundaries (GBs) in metals usually increase electrical resistivity due to the ir distinct atomic \narrangement compared to the grain interior. While the GB structure has a crucial influence on the \nelectrical properties, its relationship with resistivity is poorly understood. Here, we perform a systematic \nstudy on the resistivity –structu re relationship in Cu tilt GB s, employing high -resolution in -situ electrical \nmeasurements coupled with atomic structure analysis of the GBs . Excess volume and energies of \nselected GBs are calculat ed using molecular dynamics simulations. We find a consisten t relation \nbetween the c oincidence site lattice (CSL) type of the GB and its resistivity. The most resistive GBs are \nhigh range of low-angle GBs (14°–18°) with twice the resistivity of high angle tilt GBs , due to the high \ndislocation density and corresponding strain fields. Regarding the atomistic structure, GB resistivity \napproximately correlates with the GB excess volume. Moreover, we show that GB curvature increases \nresistivity by ~80% , while phase variations and defects within the same CSL typ e do not considerably \nchange it. \n \nKeywords \nGrain boundar ies ; Electrical resistivity ; Grain boundary structure ; Copper ; Excess volume 2 \n Introduction \nThe e lectrical resistivity of grain boundaries (GBs) in conductive materials hampers the development \nof the newest generation of nano -electronic and energy -harvesting devices . For instance, GB resistivity \nis a major concern for electron transport in sub-20-nm interconnects in integrated circuits , 1–3 while GBs \nin thermoelectric and photovoltaic materials are suspected to decrease device efficiency . 4,5 To \novercome th ese challenge s, GB eng ineering has been utilized to optimize the material’s functional \nperformance , for example through a controlled sample preparation or processing . 6–8 In doing so , the \nscientific community relies on experimental evidence th at GB resistivity is decreased for low -angle \nGBs (LAGBs) and twin boundaries . 3,6,7, 9,10 Nevertheless, experimental studies on the impact of GB \ncharacteristics , such as, type, misorientation, or inclination , phase, and curvature on resistivity are still \nmissin g due to limitations of the spatial resolution and sensitivity of resistivity measurements . \nThe relation ship between the resistivity of a GB and its structural characteristics arises from t he altered \natomic structure of the GB compared to the grain interior. This creates a fluctuation in the periodic \natomic potential from the adjacent crystal s across the boundary , leading to electron scatt ering at the \nboundary by a potential wall . The magnitude of the potential wall is associated with the GB structure \nand its chemical bonding . 11,12 Moreover, the distinct atomic arrangements at the GB also locally change \nthe density of states and electron density compared to the grain interior 13 as confirmed by density \nfunctional theory (DFT) simulations . 10,12,14 However, its experimental observation is challenging \nbecause of the difficulties in isolating a specific GB and characterizing solely its resistivity . 11,15,16 \nHence, cumulative scattering events on the different GBs blur out all details of the influence of GB type \nand character on resistivit y. To overcome this challenge, there is a need to probe the electrical resistivity \nof an individual GB segment. Nakamichi 17 inspected individual GBs in bulk bicrystals and \nexperimentally revealed a misorientation dependence of the resist ivity. However , this study , which was \nconducted at cryogenic t emperature , did not consider the GB characteristics , e.g. its inclination, phases , \ncurvature or defects . Later , small -scale approach es were introduced to locally probe single , sub-micron \nGB segments using micromanipulators . 18–20 Recently, we further improved this method to gain ultra-\nhigh sensitivity , enabling resistivity measurements of a Σ3 Cu GB. 21 Here, we adopt this t echnique and \nextend the research to a systematic study of the effect o f different individual GB structures on resistivity \nin a polycrystalline Cu thin film with [111] tilt GBs . \nWithin the context of tilt GBs, the geometric relation between neighbouring grains is described through \nthe tilt axis, misorientation angle 𝜃, and the normal (s) of the GB plane (s) in each case. For symmetric \nGBs, a common plane exists, while for an asymmetric boundary the GB plane normals are differe nt in \nthe adjacent grains . For discrete tilt angles 𝜃𝐶𝑆𝐿, corresponding to coincidence site lattice s (CSL s), GBs \nexhibit unique periodic atomic structures (motifs) . 22 The same motifs prevail for GBs even at some \ndeviations of 𝛿𝜃 from the exact 𝜃𝐶𝑆𝐿 within the Brandon criteri on (maximum angle of deviation from 3 \n an exact CSL that could be sustained by a dislocation array ). 23 The a tomic configurations of GBs can \nbe predicted by atomistic simulations and resolved through the aberration -corrected (scanning) \ntransmission electron microscopy ( (S)TEM) imaging . 24–26 The equilibrium structu re of the GB in a pure \nmaterial depends not only on the misorientation between the neighbouring grains and the GB plane, but \nalso on temperature and pressure conditions . Analogous to bulk phases, the resulting structures are \nreferred to as GB phases . 24,27,28 For metals, it has only recently been observed that a specific GB can \nhave different phases 24,29 as predicted earlier by interface thermodynamics . 27,28,30 In terms of interface \nthermodynamics GB phase s are described by their excess interfacial energy 𝐸𝑔𝑏, excess volume ∆𝑉, \nexcess entropy, and interface stress . 30 These thermodynamic state variables determine the GB phase \nstability , while kinetics control the transformation velocities . In recent studies, three GB phases were \nobserved for Cu Σ19b GBs via atomic -scale STEM and by molecular dynamics ( MD) computer \nsimulations . 29,31 In some cases, d ifferent GB phases were found in the same GB segment . \nIn this context, this study focuses on Cu , on the one hand as a model system that has been investigated \nwith respect to different GB structures , and on the other hand due to the high application relevance \nconcerning its electronic properties for integrated circuit s. We consider different Cu [111] tilt GBs, \nnamely Σ3, Σ7, Σ19b, Σ21a , and Σ37c, as well as LAGBs with misorientation angles ranging from 7° \nto 18°. The study sheds light on similarities and differences in GB resistivity for (i) symmetric and \nasymmetric variants, (ii) variations in GB inclination, ( iii) deviations from the ideal CSL , (iv) \nintroduction of twist component s, (v) macroscopic curvature , and ( vi) possible different GB phases (see \nFig. 1). \n \nFigure 1: (a) Parameters to describe the relative crystallographic orientation between adjacent grains . The <111> \ntilt axis is indicated by green arrows. An inclination of th is tilt axis leads to a twist component within the GB. \nGB plane normal s are represented by red arrows. The resulting misorientation between the grains (𝜃𝐶𝑆𝐿+𝛿𝜃) \ndetermines the CSL value , with the deviation from the exact CSL angle denoted as 𝛿𝜃. Finally, GBs might be \n4 \n straight or curved macroscopic ally. For Σ19b GB s ( = 46.8°), three possible GB phases occur with different \natomic arrangements 29,31: (b) zipper for the symmetric (235̅) GB plane , as well as (c) domino and ( d) pearl for \nthe symmetric (18̅7) GB plane. The atomic arrangements are shown here in the projection from the <111> \ndirection. \n \nResults and discussion \nSelection of different g rain boundar ies \nWell -defined tilt GBs in Cu are achieved through the deposition of a thin film by magnetron sputtering \non a c-plane -Al2O3 (sapphire ) surface, as this is known to create [111] tilt GBs aligned vertical to the \nsurface . 32,33 The e lectron backscatter diffraction (EBSD) -resolved inverse pole figure ma ps, shown in \nFig. 2a,b, confirm an abnormal grain growth and a uniform (111) planes parallel to the surface. \nAdditionally, the subtl y different blue colours in Fig. 2a indicate a small twist component between some \nof the grains up to 3° . The (111) pole figure in Fig. 2c implies two ranges of misorientation angles: \nbelow ~21°, and between 4 2° and 60°. The majority of the GBs is Σ3 boundaries and there are CSL \nboundaries also, for example Σ7, Σ19b, Σ21a, and Σ37c . Among them, some GB segments are selected \nfor the resistivity measurements. The GB characteristics of each segment are evaluated by scanning \nelectron microscope (SEM) and EBSD analysis of its adjacent grains (Fig. 2d) as described in the \nMethods section. \n \n \n5 \n Figure 2: [111] inverse pole figure EBSD -resolved map for (a) out -of-plane and (b) in -plane orientations of the \nannealed Cu thin films . The maps indicate abnormal grain growth and preferred crystallographic orientation . (c) \nPole figure showing a range of [111] tilt grain boundary misorientation. The radial width of the reflection is the \nmaximum spread of twist (orange mark) (d) Pole figure of a selected bicrystal utilized to identify the tilt and \ntwist component of the GB. \nThe s elected GB segments are isolated from their surrounding material by focused ion beam ( FIB) \nmilling of two trenches across the film to create a conduction line that includes the GB . Inside the SEM, \nfour needles with 50 nm tip radius probe the FIB -milled structures by form ing electrical contacts across \nthe GB as shown in Fig. 3. The position of the needles is accurately controlled by piezo -driven \nmicromanipulators . Current is applied through the outer needles (marked by #1 and #4 in Fig. 3), , while \nvoltage is measured between the inner needles (marked by #2 and #3 in Fig. 3), which are separated by \ndistance 𝐿. The resistance 𝑅 for a conduction line is given by 21 \n(1) 𝑅=𝐿\n𝐴line𝜌+𝛾\n𝐴GB, \nwhere the first term is the contribution of the grain interior with bulk resistivity 𝜌. The second term is \nthe GB contribution to resistance through GB resistivity 𝛾. This term affects the resistance only when \nthe voltage drop is measured across the GB. 𝐴line and 𝐴GB represent the cross section s of the conduction \nline and GB . The measurement technique and its reliability , as well as the use of equation 1 to extract \nGB resistivity are described in detail in Ref. 21. \n \nFigure 3: Electrical measurements across a GB. Current is supplied by needles 1 and 4, voltage is measured \nthrough needles 2 and 3. All needles are fixed at constant position except needle 2 , which scans across the \nboundary . The EBSD -resolved grain map is laid on top of the GB region. (The tips of needles 3 and 4 are \nreshaped after electrostatic de -charging while positioning them inside the SEM .) \n \nDependence of GB resistivity on CSL \nGBs with different misorientations were isolated and electrically measured . The GB resistivity \ndependence on the tilt misorientation (Fig. 4) exhibits two regimes; LAGBs where the resistivity \nincreases with the tilt angle, and high angle regime which shows smaller resistivity with larger tilt angle. \nIt suggests that the scattering potential barrier of a GB correlates with its CSL type , while the barrier is \nonly slightly affected by the GB structural characteristics with in the same Σ -type. The range of GB \nresistivity (vertical length of the coloured rectangles) covers the spread from all measured segments \n6 \n within the same CSL type, as well as the error bars obtained from 2 –3 repeating electrical measurements \non the same GB segment. Multiple segments of the same Σ -type boundary are distinguished by \nstructural variation s, which do not noticeably affect resistivity. \n \nFigure 4: GB resistivities of diff erent CSL GBs and LAGBs . The colour ed regions represent the spread of the \nmeasured values. In the vertical direction they include the resistance measurement deviation obtained from all \nmeasurements within the same CSL type (including different GB structural characteristics ). In the horizontal \ndirection, they represent t he angular tolerance of CSL type set by the Brandon criteri on. The dashed line \nindicates the average value of resistivity for each CSL type. The r esistivity of a 7° LAGB (marked with an \narrow) is below the sensitivity of the measurement method. \n \nTheories on GB resistivities , e.g. Mayadas –Shatzkes theory and its extensions , 11,34 relate the strength \nof the scattering potential at the bound ary to the loss of atomic periodicity of the crystal and a change \nin Fermi velocity of electrons propagating in different directions . However , these models do not supply \nfurther information on the effect of characteristics of the material and the boundary (e.g. GB \nmisorientation or motif/ phase) on resistivity. On the other hand, DFT calculations predicted that GB \nresistivity values are a function of the interface excess energy 𝐸𝑔𝑏. 12 Indeed, from a structural point of \nview, quantification of the altered atomic structure at the boundar y compared to the grain interior is \nmade through interface excess properties, e.g. interface excess energy (𝐸𝑔𝑏) and excess volume (∆𝑉). \nTherefore , we assume that 𝐸𝑔𝑏 and ∆𝑉 represent the deviation of a GB from the background crystalline \npotential. An increase in excess properties leads to an increase in the fluctuating atomic potential of a \nGB relative to the bulk, and consequently a higher scattering potential . 11 \nTo explore such a correlation between GB resistivity and its excess propertie s, we searched for GB \nstructures with MD annealing simulations using an embedded atom method (EAM) potential 35 that has \nbeen successful in reproducing experimental GB structures . 26,29 For each of Σ21a, Σ7, Σ19b, and Σ3, \n7 \n we used both possible symm etric GB planes. The resulting structures are shown in Supplemental Fig. \nS1 and the excess properties are plotted in Fig. 5a. In case of Σ21a {123}, two structures are observed, \none of which resembled a dense dislocation structure with relatively disordere d cores. The atomic \nstructure of Σ21a {145} GB clearly consists of a dislocation array and resembles a n LAGB. For Σ19b \n{178}, we also included the metastable “domino” structure, which was also found to occur in \nexperiment . 29 The stru cture of Σ19b {235} matches to earlier experimental work . 31 The Σ3 {110} data \nis inc luded, but it should be noted that this GB is prone to faceting, as also observed in earlier \nsimulations . 36,37 While these structures do not represent the complete multitude of asymmetric and \ndefective GB segments which are present in the exp erimental samples, we can use thi s data to evaluate \ngeneral correlations between excess properties and resistivity. \n \nFigure 5: (a) Excess volume and excess energies for several GB phases as calculated using MD simulations. \nDashed and dotted lines connect the higher and lower excess values for each CSL type, as a guide for eyes. Each \npoint corresponds to the indicated GB planes, which in some of the cases have multiple possible phases. (b) \nCorrelation between average GB resistivity and averaged excess volu me for Cu CSL GBs. The error bars in \nhorizontal direction cover the range of excess volumes of the different GB phases and correspond to Fig. 4 in \nvertical direction. \n8 \n A comparison between GB resistivities and GB excess properties ( Figs. 4 and 5), which were calculated \nbased on method in Ref 38, leads to two main observations. First, resistivity is approximately \nproportional to the excess volume (Fig. 5b), even when considering the substantial variation of ∆𝑉 \nbetween different GB phases for the same CSL type. The correlation with 𝐸𝑔𝑏 is weaker, with Σ21a \nhaving a lower excess energy , but higher excess volume than the other inspected GBs. This can be \nexplained by its partial LAGB character as deduced from our simulations . Second, there is no obvious \ncorrelati on between excess properties and resistivity within a specific Σ -type GB. For example, Fig. 5 \nshows that the differences in 𝐸𝑔𝑏 and ∆𝑉 of the Σ19b GB phases can be larger than the differences of \nthe average values of Σ19b and Σ7 GBs. However, such a di fference is not reflected in the resistivity, \nas seen in Fig. 4. This could mean that, despite 𝐸𝑔𝑏 and ∆𝑉 being clearly connected to GB resistivity \nacross a set of misorientation angles, the effects of structure for a given Σ value are weak or dominated \nby defects in the experimental GBs , which will be discussed in more detail in a subsequent section . One \nshould keep in mind that two phases can appear simultaneously within the same GB segment and \nconsequently most of the electri cal current would go through the less resistive phase with corresponding \nlower ∆𝑉. Secondary effects related to the electronic struct ure at the boundary might also affect \nresistivity . 13,39 The electronic relations re quire a separate study, as the current work focuses on the \nstructural effects. Nevertheless, i t is worth noting that the reproducibility of the scattering potential for \nthe selected GBs provides confidence for designing and engineering GBs with predictable resistivities. \n \nResistivity of low angle GBs \nThe distinct structure of LAGBs from the CSL GBs necessitates a different approach to understand the \nangular misorientation dependence of GB resistivity. While the high -angle CSL GBs consist of \natomistic moti fs as repeating units, LAGBs with misorientation 𝜃 consist of an array of dislocations \naligned along the boundary, separated by a distance 𝑑=(𝑏/2)∙sin(𝜃/2), where 𝑏 is the Burgers \nvector. The r esistivity of LAGBs with 𝜃=7° (1°) is below the detectio n limit of the experimental \nsetup. However, an increasing misorientation angle is accompanied by a pronounced monotonic \nresistivity increment to values which exceed high angle GBs resistivities, as reported in Fig. 4. \nResistivit ies of LABGs with more than 10° misorientation are the highest among the measured values , \nin agreement with earlier predictions that were based on the densities of dislocation arrays . 9 \nTo understand the resistivity –misorientation angle relation in LAGB s, the dislocation periodicity is \nexamined. Dislocations within the 7° LAGB are expected to have a spacing of ~2.1 nm under the \nassumption that the Burgers vector is of 1/2 <110> type as in face-centred cubic dislocation s. 40 The \nline density of dislocations created by the 7° misorientation does not noticeably affect resistivity as \nshown in Fig 4. However, an increase in the misorientation to 14 ° and 18° LAGBs yields a shorter inter -9 \n dislocation distance of 1.05 nm and 0.82 nm, according to the LAGB Read –Shockley model . 41 STEM \nhigh-angle annular dark field (HAADF) images of the 14° boundary show t hat edge dislocations are \naligned along the grain boundary with a separation distance of ~1 nm (Fig. 6), which matches well with \nthe aforementioned calculation. From the strain map calculated by geometrical phase analysis (GPA) \nand fast Fourier transformation analysis, it is found that the 1/2 <110> dislocation s dissociate into two \n1/6 <211> partials, as observed in Ref. 40. The distance between a set of partial dislocations is \ncomparable to the distance calculated with the Read –Shockley model for full dislocations. This creates \na severely strained disloca tion array (Fig. 6c), in agreement with the deviation from linear elastic ity of \nthe Read –Shockley equation for the higher -angle LAGBs . 41 Therefore, the high resistivi ties of the 14° \nand 18° GBs are attributed to the highly dense dislocation arrays as well as to the dislocation -induced \nstress (and strain) field. 41 Although the GB with 18° misorientation was not characterized by TEM, its \nelectrical behaviour suggests that it follows the LAGB behaviour and consists of an array of \ndislocations . 41 A further increase in misorientation to ~21° results in a resistivity drop, i.e. the resistivity \ndoes not follow the increasing LAGB energy but a relaxed energy of a CSL structure (Σ21a) . 22,42 \n \nFigure 6: Dislocation array at the 14° LAGB . (a) STEM -HAADF image of the grain boundary. (b) Fast Fourier \ntransform (FFT) -filtered image of (a) . Only (111) lattice fringes are shown to visualize the extra half planes . (c) \nStrain map in the dislocation array analyzed by GPA. FFT in the inset shows the defined direction of the strain. \nThere is a strong strain field at the grain boundary, especially near the co re of the partial dislocations. \n \nGB resistivity within same CSL \nThe effect of structural variation (i.e. inclination, twist, GB plane normal) within the same CSL type on \nthe resistivity is investigated. This is also required to ensure that the comparison between the different \nΣ-type boundaries is reliable. In this context, the electrical resistivities of Σ19b GB segments having \nstructural variations are acquired through direct resistivity measurements (Table 1) . From earlier atomic \nresolved STEM studies , different GB phase s are known to exist for Σ19b [111] (235̅)and (18̅7) GB \nplane normals as illustrated in Fig . 1b-d. 29,31 It is worth noting that the GB planes extrapolated from the \n10 \n EBSD data refer to an approximation of a stra ight boundary line over 500 –800 nm (width of the cut \nconduction line), i.e. they represent an equivalent straight GB with the corresponding average plane \nnormal s. The measured resistivity is similar, within the measurement error s, for all the inspected \nsegments – despite the variation in the GB characteristics . \nTable 1: Measured resistivity for Σ19b GBs. The segments are distinguished by symmetry or asymmetry, twist \ncomponent, GB plane s, and deviation from ideal CSL angle. GB phas es are based on Refs. 29,31. Resistivity is \nnot noticeably affected by these variations within the same CSL type. \nGB type Twist component 𝛅 (°) ±0.5 GB planes GB phase GB resistivity (10-12 Ωcm2) \nSym. Yes 1.2 [235̅] Zipper 12.8 1.1 \nSym. No 0.8 [18̅7] Pearl -Domino 14.5 3.5 \nAsym. No 2.5 [23̅1̅];[95̅4̅] Zipper 9.9 1.7 \nAsym. Yes 2.4 [101̅];[43̅1̅] N.A. 10.2 2.5 \nAsym. No 3 [31̅4̅];[21̅1̅] N.A. 10.4 1.2 \n \nThe similar resistivities for the different Σ19b GB segments imply that the scattering potential of a \nboundary does not noticeably change within the same CSL GB type . While it is possible that the \ndifferent GB phases simply have very similar resistivities, it is more likely that the average GB \nresistivity is a result of the imperfect GB structure s in real materials, which over distances of several \nhundred nanometres contain multiple deviations from th e ideal GB motif s to compensat e for local twist \ncomponents and inclination changes . For instance , the symmetric GB segments (Table 1) with zipper \nand pea rl/domino structures (Fig. 1 b-d) exhibit similar resistivity, while both similarly deviate from an \nideal CSL condition. This can explain the unchanged r esistivity values for the asymmetric GB segment s, \nsince they tend to decompos e into symmetric facets and steps in case of the zipper structure , while for \nthe pearl structur e additional sub-units are incorporated to compensate for the asymmetric inclinations \naccording to previous TEM studies . 31 Such decomposition definitely creates variations in the atomic \nstructure having a higher scattering potential than the ideal GB unit . Additional ly, variations in the GB \ncharacter , such as a 3° twist component and inclination , still result in similar resistivity values . \nApart from a periodic atomic ordering, a GB con sists of defects such as disconnections and dislocations. \nThe defects may appear for several reason s: compensation of GB inclination , asymmetric boundary \ndissociation , and phase transitions where two phases are separated by a line defect 31,43 (the macroscopic \ncurvatur e of the GB does not belong to this group in the current context). Such imperfections do not \nnoticeably change resistivity within a specific CSL tilt GB as indicated by the similar values for the \nasymmetric and symmetric segments , where the former are expected to contain a higher defect density \nthan the latter, since they can decompose into symmetric segments . 31 Similar results (not presented \nhere) are obtained for the other investigated Σ -types GBs . 11 \n Absolute values of GB resistivity \nThe measured resistivities of the GBs (1 –30∙10-12 Ωcm2) are higher by one order of magnitude than the \nvalues reported for Cu by macroscopic measurements and predicted by simulations (0.1 –4∙10-12 Ωcm2). \n6,12,14,15,44,45 This might arise from the way GB resistivity values are simulated with DFT , where a \nrelatively low amount of atoms in a defect -free periodic structure is considered, while real GB structure s \nare never defect free. 12,14,29,46 Consequent ly, the calculated values only give lower bounds for the GB \nresistivity. In addition, our findings also overestimate the resistivity compared to macro -scale \nexperiments. This difference could arise due major limitations of the macroscopic resistivity model , \nsuch as not considering GBs aligned along the electric field direction, deviation of scattered electrons \nfrom planar wave function s, and varying strengths of potential walls at different GB types . These \nchallenges are comprehensively described in Ref. 34. \nDespite the high absolute resistivity values measured in this experiment compared to literature, it is still \npossible to compare relative resistivities of GB types based on predictions. The l ack of DFT simulations \non resistivities of the investigated GBs, except for Σ3, 12,14,46 prevents us from a direct comparison \nbetween our experimental findings and theoretical predictions. Our measured resistivity values of \nincoherent Σ3 GBs (Fig. 4) are higher by almost an order of magnitude than the simulated values for a \ncoherent boundary , 1.06∙10-12 vs. 0.2∙10-12 Ωcm2. This difference may be attributed to the different \ncoherency of the GB , where the former is measured for incoherent boundary and the latter calculated \nfor a coherent GB . Calculations predict that high -angle coherent and symmetric CSL GBs have a 10–\n20 times higher resistance than the coherent Σ3 twin boundary depending on the GB type . 12,14 This \nresult matches our experiments, as the resistivity of high -angle CSL GBs is more than an order of \nmagnitude higher than the resistivity of Σ3 GBs (Fig. 4). A similar comparison also applies to LAGB \nresistivities, where the resistivity values are negligible in the low -angle range, but more pronounced \nwith increasin g misorientation angle . 9 \n \nEffect of GB curvature \nGBs usually tend to curve instead of following a straight line , especially for the nano -grains \n(nanocrystals) found in confined integrated circuits . So, understanding the effect of the boundaries’ \ncurvature on resistivity is of high significance. To inspect th is effect, macroscopically straight and \nmacroscopically curved GB segments are isolated as shown in Fig. 7a and Fig. 7b, respectively . The \nstraight GB segments are described by a pair of GB plane s (or a single GB plane for the symmetric \nGBs) for all the GBs discussed in Fig. 4 and Table 1. However, curved GB segments cannot be described \nin this way , due to the changing inclination along the curve . A significant increase in GB resistivity is \nobserved for GBs with macroscopic in -plane curvature relative to macroscopically straight GB 12 \n segments. Specifically , curved GBs with misorientation s of 14° and 18° exhibit resistivities of (36.1 \n6) and (40.3 6) ∙10-12 Ωcm2, compared to (19.7 1.2) and (22.9 1.7) ∙10-12 Ωcm2 in straight segments, \nrespectively. \n \nFigure 7: Top view SEM images using BSE detector for (a) straight and ( b) curved 14° LAGB s. Top-view h igh-\nresolution TEM aberration corrected images of (c) the straight and ( d) the curved GBs showing Moiré fringe s \nfor the curved GB segment . (e) Higher magnification of the Moiré pattern observed for the curved GB segment s, \nrevealing an inclination along the TEM imaging direction . (f) Illustration of the TEM projection for straight and \nlaterally curved boundaries. \n \nTo analyse the structural differences between the straight and curved segments , a 14° misorientation \nLAGB has been observed by top-view TEM. At an atomic scale, both straight and curved GB segments \nhave a similar atomic structure consisting of an array of edge dislocations (Fig. 6). Obvious ly, the GB \ndefect density (e.g. disconnections) in the vicinity of a curved GB area is higher compared to the straight \nsegments . However, it has already been shown that the defect density does not noticeably alt er \nresistivity (Table 1) . Yet, as the GB plane normals are do not to affect resistivity, then the curve must \nplay a role in setting the GB res istivity . The GB plane within the straight segments is aligned normal to \nsurface, as witnessed by the sharp appear ing boundary projection using a top view TEM imag e (Fig. \n7c). However, the GB plane within the curve exhibits several non -uniform inclinations which are \nevidenced in Fig. 7d,e by the Moiré pattern on both sides of the boundary, while the zone axes of both \ngrains remain s in the common <111> direction . The curvature spans over hundreds of nanomet res and \n13 \n the projected width of the GB increases from 1 –2 nm in the straight segment to 25 nm in the curved \nboundary (Fig. 7e). Therefore, a curved tilt GB plane is not align ed normal to surface and instead create s \nlocal spatial deviations as illustrated in Fig. 7f. The change in GB plane within a boundary curve yields \nless ordered atomic arrangements and even a possible loss of the tilt character of the GB . As a \nconsequence, the resistivity increases by 80%. It must be noted that the increase in GB area leads to an \nunderestimation of the GB resistivity due to the inverse relation between GB resistance and its area \n(equation 1 ), so the measured increase in GB resistivity due to curvature is a lower bound. \n \nConclusions \nIn conclusion, this study provides an understanding of t he relation between the GB structure s and \nelectrical resistivity . The research is based on direct and local resistivity measure ments of a variety of \n[111] tilt sub-micron GB segments in Cu , accompanied with structural characterization by EBSD, TEM \nand MD simulations . GB resistivity is confirmed to depen d on its CSL typ e, while it is not considerably \naffected by GB phase s and defects within the same CSL type. The GB resistivity is correlated with the \nboundary ’s excess volume and excess energy in first approximation . The r esistivit ies of LAGBs with \nmisorientation s of more than 10° are the h ighest among the tilt GBs, due to the high dislocation density \nand the resulting strain fields. GB curvature increases resistivity of the b oundary due to deviation from \ntilt condition. Overall, t his work provides a systematic experim ental study on the impact of GB structure \non its electrical properties . \n \nMethods: \nThin film preparation and structural characterization \nHigh purity Cu thin films (99.999% pure Cu) were deposited on (0001) -oriented α -Al2O3 substrates by \nmagnetron sputtering at room temperature. The deposition was performed with a radio frequency (RF) \npower supply at 250W, 20 sccm Ar flow, and a background pressure of 0.66 Pa. Deposition time of 45 \nminutes yielded a nominally 600 nm thick film. Post -deposition thermal annealing was carried out at \n400 °C for 2 hours within the sputtering chamber without breaking the vacuum. EBSD (EDAX detector \nin Zeiss Auriga SEM) analysis was employed to identify the crystallographic orientation of grains , as \nwell as GB planes and type (OIM software). Subsequently, selected segments within individual GBs \nwere selected based on the SEM -EBSD results and isolated for further investigation. The selected GB \ntypes are tilt GBs: Σ3, Σ7, Σ19b, Σ21a, Σ31a, Σ43b , and low angle GB s with 𝜃=7°. The selected GB \nsegments for each CSL type consist of different GB planes, deviations from ideal CSL angle and GBs \nwith twist component. Table S1 shows the investigated GB segments. I n addition , both macroscopically \nstraight and curved segments were investigated. 14 \n The GB characteristics of each segment are evaluated by SEM -EBSD with an angular resolution of 0.5° \nand a step size of 30 nm . The reflections in the (111) pole figures from the adjacent grains yield the ir \nmisorientation angle 𝜃=(𝜃𝐶𝑆𝐿+δ) and consequently determines the CSL type (see e.g. r ed and blue \nmarks in Fig. 2d). The pole figure s are also utilized for identifying the GB planes, using a suitable \nstereographic projection. The inverse pole figure plot readily reveals a possible out -of-plane \nmisalignment of grains. GBs are confirmed to be aligned vertical to surface, with maximum inclination \nof 3°, as shown in Ref. 21. \n \nGrain boundaries segment fabrication and electrical measurements \nElectrical investigation of the chosen GB segments is detai led elsewhere . 21 Briefly, each GB segment \nwas isolated from its surrounding film by milling trenches along the whole films’ depth. The 30 μm \nlong and ~ 0.5 μm wide trenches were created by focused ion beam (FIB -Zeiss Auriga) employing a \nbeam current of 50 pA. In-situ SEM electrical characterization was conducted utilizing four probes \nprovided by four needle s having 50 nm tip radius, which are driven by f our independent \nmicromanipulators (Kleindiek – PS4). The resistivity measurements were done utilizing the direct \ncurrent ( dc) pulse method, with 5 mA pulse height and 10 ms pulse width . \n \nMolecular dynamics simulations \nMD simulations were performed with an embedded atom method ( EAM ) potential for copper 35 using \nLAMMPS . 47 First, grain boundaries were assembled from two appropriately -oriented crystallites with \nthe 〈111〉 tilt axis in z direction and the GB normal in y direction. The bicrystals had a size of \napproximately 30×20×6 nm³ (corresponding to arou nd 300,000 to 400,000 atoms) with periodic \nboundaries in z direction and open boundaries otherwise. The open boundaries in contact with the GBs \nserve as reservoirs for interstitials and vacancies to allow diffusion -driven GB phase transformations. \n24 These systems were annealed at 800 K for 4 ns and subsequently cooled to 300 K with a barostat at \n0 Pa applied in z direction and an integration timestep of 2 fs. Unit cells of the GB phases were cut from \nthese samples, made into cells with periodic boundaries in x and z direction, and scaled to fit the 0 K \nfcc lattice constant of the copper potential (3.615 Å). Atomic positions were then minimized with regard \nto the potential energy. The excess properties were calculated from these samples. 38 The structures \nwere v isualized with Ovito. 48 \n \n \n 15 \n TEM \nAtomic structure of the GBs was analy sed using aberration -corrected TEM and STEM , both operated \nat 300 kV (Titan Themis 60 -300, Thermo Fisher Scientific). TEM samples were prepared by using \nsite-specific plan e-view lift -out method using a FIB -SEM dual beam workstation (Scios 2, Thermo \nFisher S cientific). A 30 kV Ga ion beam was used for the cutting and rough milling and a 5 kV with \n48 pA beam was used for the fine milling and cleaning. The probe current of 80 pA was used for \nhigh-resolution STEM HAADF imaging with a collection angle of 78 -200 m rad and the convergence \nangle was 23.8 mrad. To minimize scan noise and specimen drift during the acquisition, rigid \nregistration was applied averaging 10 frames recorded with dwell time of 1 µs. Strain distribution at \nthe GB was calculated using GPA, whic h calculates relative changes in the lattice spacing based on \nFFT. The strain map in Fig. 6c is based on the reflections marked in the FFT in the inset \n \nAcknowledgement \nH.B, T.B and G.D. acknowledge the financial support by the ERC Advanced Grant GB \nCORREL ATE (Grant Agreement 787446 GB -CORRELATE) . S.L. acknowledge the financial \nsupport by Alexander von Humboldt Foundation . \n \nReferences \n(1) Pan, C.; Naeemi, A. A Proposal for a Novel Hybrid Interconnect Technology for the End of \nRoadmap. IEEE Electron Device Lett. 2014 , 35 (2), 250 –252. \nhttps://doi.org/10.1109/LED.2013.2291783. \n(2) Graham, R. L.; Alers, G. B.; Mountsier, T.; Shamma, N.; Dhuey , S.; Cabrini, S.; Geiss, R. H.; \nRead, D. T.; Peddeti, S. Resistivity Dominated by Surface Scattering in Sub -50 Nm Cu Wires. \nAppl. Phys. Lett. 2010 , 96 (4), 42116. https://doi.org/10.1063/1.3292022. \n(3) Barmak, K.; Darbal, A.; Ganesh, K. J.; Ferreira, P. J.; Rickman, J. M.; Sun, T.; Yao, B.; \nWarren, A. P.; Coffey, K. R. Surface and Grain Boundary Scattering in Nanometric Cu Thin \nFilms: A Quantitative Analysis Including Twin Boundaries. J. Vac. Sci. Technol. A 2014 , 32 \n(6), 61503. https://doi.org/10.1116/1. 4894453. \n(4) Qiu, Q.; Liu, Y.; Xia, K.; Fang, T.; Yu, J.; Zhao, X.; Zhu, T. Grain Boundary Scattering of \nCharge Transport in N -Type (Hf,Zr)CoSb Half -Heusler Thermoelectric Materials. Adv. Energy \nMater. 2019 , 9 (11), 1803447. https://doi.org/https://doi.or g/10.1002/aenm.201803447. \n(5) Muzzillo, C. P. Review of Grain Interior, Grain Boundary, and Interface Effects of K in CIGS 16 \n Solar Cells: Mechanisms for Performance Enhancement. Sol. Energy Mater. Sol. Cells 2017 , \n172, 18–24. https://doi.org/https://doi.org /10.1016/j.solmat.2017.07.006. \n(6) Lu, L.; Shen, Y.; Chen, X.; Qian, L.; Lu, K. Ultrahigh Strength and High Electrical \nConductivity in Copper. Science (80 -. ). 2004 , 304 (5669), 422 LP – 426. \nhttps://doi.org/10.1126/science.1092905. \n(7) Gomell, L.; Roscher, M.; Bishara, H.; Jägle, E. A.; Scheu, C.; Gault, B. Properties and \nInfluence of Microstructure and Crystal Defects in Fe2VAl Modified by Laser Surface \nRemelting. Scr. Mater. 2021 , 193, 153 –157. \nhttps://doi.org/https://doi.org/10.1016/j .scriptamat.2020.10.050. \n(8) Yang, Y. M.; Yu, A.; Hsu, B.; Hsu, W. C.; Yang, A.; Lan, C. W. Development of High -\nPerformance Multicrystalline Silicon for Photovoltaic Industry. Prog. Photovoltaics Res. Appl. \n2015 , 23 (3), 340 –351. https://doi.org/https://d oi.org/10.1002/pip.2437. \n(9) Lormand, G. ELECTRICAL PROPERTIES OF GRAIN BOUNDARIES. J. Phys. Colloq. \n1982 , 43 (C6), C6 -283-C6-292. \n(10) Feldman, B.; Park, S.; Haverty, M.; Shankar, S.; Dunham, S. T. Simulation of Grain Boundary \nEffects on Electronic Tran sport in Metals, and Detailed Causes of Scattering. Phys. status \nsolidi 2010 , 247 (7), 1791 –1796. https://doi.org/https://doi.org/10.1002/pssb.201046133. \n(11) Mayadas, A. F.; Shatzkes, M. Electrical -Resistivity Model for Polycrystalline Films: The Case \nof Arbitrary Reflection at External Surfaces. Phys. Rev. B 1970 , 1 (4), 1382 –1389. \nhttps://doi.org/10.1103/PhysRevB.1.1382. \n(12) César, M.; Liu, D.; Gall, D.; Guo, H. Calculated Resistances of Single Grain Boundaries in \nCopper. Phys. Rev. Appl. 2014 , 2 (4), 44007. \nhttps://doi.org/10.1103/PhysRevApplied.2.044007. \n(13) César, M.; Gall, D.; Guo, H. Reducing Grain -Boundary Resistivity of Copper Nanowires by \nDoping. Phys. Rev. Appl. 2016 , 5 (5), 54018. \nhttps://doi.org/10.1103/PhysRevApplied.5.054018. \n(14) Lanzi llo, N. A. Ab Initio Evaluation of Electron Transport Properties of Pt, Rh, Ir, and Pd \nNanowires for Advanced Interconnect Applications. J. Appl. Phys. 2017 , 121 (17), 175104. \nhttps://doi.org/10.1063/1.4983072. \n(15) Bakonyi, I. Accounting for the Resistiv ity Contribution of Grain Boundaries in Metals: Critical \nAnalysis of Reported Experimental and Theoretical Data for Ni and Cu. Eur. Phys. J. Plus \n2021 , 136 (4), 410. https://doi.org/10.1140/epjp/s13360 -021-01303 -4. 17 \n (16) Bakonyi, I.; Isnaini, V. A.; Koloni ts, T.; Czigány, Z.; Gubicza, J.; Varga, L. K.; Tóth -Kádár, \nE.; Pogány, L.; Péter, L.; Ebert, H. The Specific Grain -Boundary Electrical Resistivity of Ni. \nPhilos. Mag. 2019 , 99 (9), 1139 –1162. https://doi.org/10.1080/14786435.2019.1580399. \n(17) Nakamichi, I. Electrical Resistivity and Grain Boundaries in Metals. Mater. Sci. Forum 1996 , \n207–209, 47–58. https://doi.org/10.4028/www.scientific.net/MSF.207 -209.47. \n(18) Steinhögl, W.; Schindler, G.; Steinlesberger, G.; Traving, M.; Engelhardt, M. Comprehensive \nStudy of the Resistivity of Copper Wires with Lateral Dimensions of 100 Nm and Smaller. J. \nAppl. Phys. 2004 , 97 (2), 23706. https://doi.org/10.1063/1.1834982. \n(19) Kim, T. -H.; Zhang, X. -G.; Nicholson, D. M.; Evans, B. M.; Kulkarni, N. S.; Radhakrishnan, \nB.; Kenik, E. A.; Li, A. -P. Large Discrete Resistance Jump at Grain Boundary in Copper \nNanowire. Nano Lett. 2010 , 10 (8), 3096 –3100. https://doi.org/10.1021/nl101734 h. \n(20) Kitaoka, Y.; Tono, T.; Yoshimoto, S.; Hirahara, T.; Hasegawa, S.; Ohba, T. Direct Detection \nof Grain Boundary Scattering in Damascene Cu Wires by Nanoscale Four -Point Probe \nResistance Measurements. Appl. Phys. Lett. 2009 , 95 (5), 52110. \nhttps://do i.org/10.1063/1.3202418. \n(21) Bishara, H.; Ghidelli, M.; Dehm, G. Approaches to Measure the Resistivity of Grain \nBoundaries in Metals with High Sensitivity and Spatial Resolution: A Case Study Employing \nCu. ACS Appl. Electron. Mater. 2020 , 2 (7), 2049 –2056. \nhttps://doi.org/10.1021/acsaelm.0c00311. \n(22) Frost, H.J, M.F. Ashby, and F. S. A Catalogue of [100], [110], and [111] Symmetric Tilt \nBoundaries in Face -Centered Cubic Hard Sphere CrystalsNo Title. Mater. Res. Lab. Tech. \nRep. (National Sci. Found. Gra nt DMR 80 -20247). Cambridge, MA Harvard Div. Appl. Sci. \n1982 , 1. \n(23) Brandon, D. G. The Structure of High -Angle Grain Boundaries. Acta Metall. 1966 , 14 (11), \n1479 –1484. https://doi.org/https://doi.org/10.1016/0001 -6160(66)90168 -4. \n(24) Frolov, T.; Olmst ed, D. L.; Asta, M.; Mishin, Y. Structural Phase Transformations in Metallic \nGrain Boundaries. Nat. Commun. 2013 , 4 (1), 1899. https://doi.org/10.1038/ncomms2919. \n(25) Zhu, Q.; Samanta, A.; Li, B.; Rudd, R. E.; Frolov, T. Predicting Phase Behavior of Grai n \nBoundaries with Evolutionary Search and Machine Learning. Nat. Commun. 2018 , 9 (1), 467. \nhttps://doi.org/10.1038/s41467 -018-02937 -2. \n(26) Peter, N. J.; Frolov, T.; Duarte, M. J.; Hadian, R.; Ophus, C.; Kirchlechner, C.; Liebscher, C. \nH.; Dehm, G. Segregation -Induced Nanofaceting Transition at an Asymmetric Tilt Grain 18 \n Boundary in Copper. Phys. Rev. Lett. 2018 , 121 (25), 255502. \nhttps://doi.or g/10.1103/PhysRevLett.121.255502. \n(27) Cahn W., J. TRANSITIONS AND PHASE EQUILIBRIA AMONG GRAIN BOUNDARY \nSTRUCTURES. J. Phys. Colloq. 1982 , 43 (C6), C6 -199-C6-213. \n(28) Rottman, C. THEORY OF PHASE TRANSITIONS AT INTERNAL INTERFACES. J. Phys. \nColloq. 1988, 49 (C5), C5 -313-C5-326. \n(29) Meiners, T.; Frolov, T.; Rudd, R. E.; Dehm, G.; Liebscher, C. H. Observations of Grain -\nBoundary Phase Transformations in an Elemental Metal. Nature 2020 , 579 (7799), 375 –378. \nhttps://doi.org/10.1038/s41586 -020-2082 -6. \n(30) Hart, E. W. Two -Dimensional Phase Transformation in Grain Boundaries. Scr. Metall. 1968 , 2 \n(3), 179 –182. https://doi.org/https://doi.org/10.1016/0036 -9748(68)90222 -6. \n(31) Meiners, T.; Duarte, J. M.; Richter, G.; Dehm, G.; Liebscher, C. H. Tantalum and Z irconium \nInduced Structural Transitions at Complex [111] Tilt Grain Boundaries in Copper. Acta Mater. \n2020 , 190, 93–104. https://doi.org/https://doi.org/10.1016/j.actamat.2020.02.064. \n(32) Dehm, G.; Rühle, M.; Ding, G.; Raj, R. Growth and Structure of Cop per Thin Films Deposited \non (0001) Sapphire by Molecular Beam Epitaxy. Philos. Mag. B 1995 , 71 (6), 1111 –1124. \nhttps://doi.org/10.1080/01418639508241899. \n(33) Dehm, G.; Edongué, H.; Wagner, T.; Oh, S.; Arzt, E. Obtaining Different Orientation \nRelationship s for Cu Films Grown on (0001) $ α$-Al2O3 Substrates by Magnetron Sputtering. \nZeitschrift Fur Met. 2005 , 96, 249 –254. \n(34) Munoz, R. C.; Arenas, C. Size Effects and Charge Transport in Metals: Quantum Theory of \nthe Resistivity of Nanometric Metallic Struct ures Arising from Electron Scattering by Grain \nBoundaries and by Rough Surfaces. Appl. Phys. Rev. 2017 , 4 (1), 11102. \nhttps://doi.org/10.1063/1.4974032. \n(35) Mishin, Y.; Mehl, M. J.; Papaconstantopoulos, D. A.; Voter, A. F.; Kress, J. D. Structural \nStability and Lattice Defects in Copper: Ab Initio, Tight -Binding, and Embedded -Atom \nCalculations. Phys. Rev. B 2001 , 63 (22), 224106. \nhttps://doi.org/10.1103/PhysRe vB.63.224106. \n(36) Wu, Z. X.; Zhang, Y. W.; Srolovitz, D. J. Grain Boundary Finite Length Faceting. Acta Mater. \n2009 , 57 (14), 4278 –4287. https://doi.org/https://doi.org/10.1016/j.actamat.2009.05.026. \n(37) Wang, J.; Li, N.; Misra, A. Structure and Stabil ity of Σ3 Grain Boundaries in Face Centered \nCubic Metals. Philos. Mag. 2013 , 93 (4), 315 –327. 19 \n https://doi.org/10.1080/14786435.2012.716908. \n(38) Frolov, T.; Mishin, Y. Thermodynamics of Coherent Interfaces under Mechanical Stresses. II. \nApplication to Ato mistic Simulation of Grain Boundaries. Phys. Rev. B 2012 , 85 (22), 224107. \nhttps://doi.org/10.1103/PhysRevB.85.224107. \n(39) Gall, D. The Search for the Most Conductive Metal for Narrow Interconnect Lines. J. Appl. \nPhys. 2020 , 127 (5), 50901. https://doi.o rg/10.1063/1.5133671. \n(40) Zhang, X.; Han, J.; Plombon, J. J.; Sutton, A. P.; Srolovitz, D. J.; Boland, J. J. Nanocrystalline \nCopper Films Are Never Flat. Science (80 -. ). 2017 , 357 (6349), 397 LP – 400. \nhttps://doi.org/10.1126/science.aan4797. \n(41) Hull, D.; Bacon, D. J. Chapter 9 - Dislocation Arrays and Crystal Boundaries; Hull, D., Bacon, \nD. J. B. T. -I. to D. (Fifth E., Eds.; Butterworth -Heinemann: Oxford, 2011; pp 171 –204. \nhttps://doi.org/https://doi.org/10.1016/B978 -0-08-096672 -4.00009 -8. \n(42) Parajuli, P.; Romeu, D.; Hounkpati, V.; Mendoza -Cruz, R.; Chen, J.; Yacamán, M. J.; Flowers, \nJ.; Ponce, A. Misorientation Dependence Grain Boundary Complexions in <111> Symmetric \nTilt Al Grain Boundaries. Acta Mater. 2019 , 181, 216 –227. \nhttps://doi.org/https:/ /doi.org/10.1016/j.actamat.2019.09.010. \n(43) Hirth, J. P.; Pond, R. C. Steps, Dislocations and Disconnections as Interface Defects Relating \nto Structure and Phase Transformations. Acta Mater. 1996 , 44 (12), 4749 –4763. \nhttps://doi.org/https://doi.org/10.10 16/S1359 -6454(96)00132 -2. \n(44) Andrews, P. V; West, M. B.; Robeson, C. R. The Effect of Grain Boundaries on the Electrical \nResistivity of Polycrystalline Copper and Aluminium. Philos. Mag. A J. Theor. Exp. Appl. \nPhys. 1969 , 19 (161), 887 –898. https://doi.org/10.1080/14786436908225855. \n(45) Mannan, K. M.; Karim, K. R. Grain Boundary Contribution to the Electrical Conductivity of \nPolycrystalline Cu Films. J. Phys. F Met. Phys. 1975 , 5 (9), 1687 –1693. \nhttps://doi.org/10.1088/0305 -4608/5/9/009. \n(46) Valencia, D.; Wilson, E.; Jiang, Z.; Valencia -Zapata, G. A.; Wang, K. -C.; Klimeck, G.; \nPovolotskyi, M. Grain -Boundary Resistance in Copper Interconnects: From an Atomistic \nModel to a Neural Network. Phys. Rev. Appl. 2018 , 9 (4), 44005. \nhttps://doi.org/10.1103/PhysRevApplied.9.044005. \n(47) Plimpton, S. Fast Parallel Algorithms for Short -Range Molecular Dynamics. J. Comput. Phys. \n1995 , 117 (1), 1 –19. https://doi.org/https://doi.org/10.1006/jcp h.1995.1039. \n(48) Stukowski, A. Visualization and Analysis of Atomistic Simulation Data with OVITO –the 20 \n Open Visualization Tool. Model. Simul. Mater. Sci. Eng. 2009 , 18 (1), 15012. \nhttps://doi.org/10.1088/0965 -0393/18/1/015012. \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n 21 \n Supplemental information \n \n \n \nTable S1: \nList of inspected [111] tilt grain boundary (GB) segments for which GB resistivity was measured. In \ncase of symmetric GBs only one of the GB normals is given since the GB normal of the other grain is \nidentical . \nGB type Misorientation (°) GB plane normals GB Resistivity (10-12 Ωcm2) \n \n \nLow angle GBs 7 [1 -4 3] ; [1 -8 7] 0 2 \n13.8 [1 -3 2] ; [1 -10 9] 20.1 1.5 \n14 [-10 -1 11] ; [-2 -1 3] 19.7 1.2 \n16 [1 -4 3] ; [ -2 1] 22.9 1.7 \n16.6 [3 -5 2] ; [7 -8 1] 24.8 2.8 \nΣ 21a 20 [3 -1 -2] 17.3 3.5 \n \nΣ 7 42.3 [3 2 -5] ; [3 -1 -2] 15 1.7 \n42.4 [2 -5 3] ; [10 -9 -1] 16.1 2 \n42.7 [3 2 -5] ; [3 -1 -2] 16 2 \n \n \nΣ 19b 43.7 [3 -1 -4] ; [2 -1 -1] 10.4 1.2 \n44.4 [1 0 -1] ; [4 -3 -1] 10.2 2.7 \n45.5 [2 -3 -1] ; [9 -5 -4] 9.9 2 \n45.6 [2 3 -5] 12.8 1.8 \n47.5 [1 -8 7] 14.5 4.5 \n \nΣ 37c 51.3 [5 -1 4] ; [2 3 -5] 7.3 2 \n52.1 [3 1 -4] ; [5 -3 -2] 6.7 1.5 \n53.3 [1 3 -4] ; [-2 3 -1] 5.4 1.4 \n \n \nΣ 3 58 [1 -1 0] 1.13 0.26 \n58.5 [1 -1 0] 1.25 0.17 \n59.5 [1 -1 0] 1.24 0.1 \n60 [1 -1 0] 1.18 0.18 \n 22 \n \n \n \nFigure S1: \nSnapshots of the GB phases discovered using MD simulations viewed from the 〈111〉 direction . The \ntwo possible symmetric variants are shown in the left and right column, respectively. For Σ21a {123} \nand Σ19b {178}, two different phases can occur. Atoms inside the GB are highlighted in red to \nemphasize the structural motifs and guide the eye. They do not correspond to a structural analysis. \nThe black bars indicate the GB unit cell. The scale bar applies to all images. \n \n" }, { "title": "0810.1540v1.Performance_Analysis_of_60nm_gate_length_III_V_InGaAs_HEMTs__Simulations_vs__experiments.pdf", "content": " \n1 Performance Analysis of 60nm ga te length III-V InGaAs HEMTs: \nSimulations vs. experiments \n \nNeophytos Neophytou1, Titash Rakshit2 and Mark S. Lundstrom3 \n1,3Network for Computational Nanotechnology, Pu rdue University, W. Lafayette, IN \n2Intel Corporation \n \nAbstract \nAn analysis of recent experiment al data for high-performance In 0.7Ga0.3As high \nelectron mobility transistors (HEMTs) is pr esented. Using a fully quantum mechanical, \nballistic model, we simulate In 0.7Ga0.3As HEMTs with ga te lengths of LG = 60nm, 85, and \n135 nm and compare the result to the meas ured I-V characteristics including drain-\ninduced barrier lowering, sub-threshold swing, and threshold voltage variation with gate \ninsulator thickness, as well as on-current perf ormance. To first order, devices with three \ndifferent oxide thicknesses and channel lengt hs can all be descri bed by our ballistic \nmodel with appropriate values of parasitic series resistance. For high gate voltages, \nhowever, the ballistic simulations consistently overestimate the measured on-current, and they do not show the experimentally observed de crease in on-current w ith increasing gate \nlength. With no parasitic series resistance at all, the simulated on-current of the L\nG = 60 \nnm device is about twice the measured cu rrent. According to the simulation, the \nestimated ballistic carrier injection velocity for this device is about 2.7 ×107cm/s. \nBecause of the importance of the semic onductor capacitance, the simulated gate \ncapacitance is about 2.5 times less than the in sulator capacitance. Possible causes of the \ntransconductance degradation observed under high gate voltages in thes e devices are also \nexplored. In addition to a possible gate-v oltage dependent scattering mechanism, the \nlimited ability of the source to supply carri ers to the channel, and the effect of non-\nparabolicity are likely to play a role. The drop in on-current with increasing gate length is \nan indication that the devices ope rate below the ballistic limit. \n \nIndex terms: III-V, HEMT, ballistic, NEGF, quant um, series resistance, InGaAs, \napparent, mobility, nonparabolicity, s ource exhaustion, source starvation. \n2 I. Introduction \nField-effect transistors w ith III-V channel materials have recently received much \nattention because of their pot ential as switching devices for future digital technology \nnodes. Both heterostructure based high elec tron mobility transistors (HEMTs) [1, 2, 3] \nand MOSFETs [4, 5] have been reported. Due to thei r higher mobility, the III-V channel \nmaterials should reach the ballistic limit at l onger channel lengths than Si devices. The \nlow effective mass of the III-Vs should also boost the ballistic car rier velocity and \nimprove the ID-VD characteristics. Trade-offs are involved (e.g. the light effective mass \nleads to a density-of-states bottle neck [6, 7] and to source-drain t unneling [8]), but III-V \nFETs have the potential to out perform Si MOSFETs under low- voltage operation. In that \nregard, high-performance HEMTs based on III- V compounds with channel lengths below \n90 nm have recently been demonstrated [2, 3, 9, 10]. Good control of the wide bandgap insulator thickness down to 3nm was achieved while still maintaining relatively low gate leakage currents – even under high biases. This paper is a simulation study of the results \nreported by Kim et al. [2]. Our objective is to examine the experimental data with a fully \nquantum mechanical, ballistic model in or der to understand what can and cannot be \nexplained. \n \nIn this paper, a two-dimensional, ball istic quantum transport HEMT simulator \nbased on the real space Non-Equilibrium Green’s Function (NEGF) approach [11, 12] is \nemployed. Simulation results show that for these In\n0.7Ga0.3As HEMTs with a gate length \nof 60 nm and zero series resistance, a ballisti c device of this kind would deliver about \ntwice the on-current of the measured device. With external series resistors added, the \nsimulated I-V characteristics are close to the me asured results, except at the highest gate \nvoltage. While the discrepancy at high gate voltages might be due to scattering, source \ndesign and conduction band non -parabolicity are equally likely explanations. The \nballistic simulations show good agreement with the subthreshold swing and drain-\ninduced barrier lowering vs. channel length, but they do not show the drop in on-current \nwith increasing gate length that is observed experimentally. This suggests that scattering \nis important in the longer channel length devices. The simulations shed light on the internal physics of these devices an d identify issues for further study. \n3 II. Approach \nFor simulation purposes, the device geometry was simplified as shown in Fig. 1. \nIn the experimental device [2], the source and drain contacts are loca ted on the top of the \ndevice, and the current flow is two-dimens ional through a doped heterostructure stack. \nRather than attempting to simulate the contacts (and the associated metal-semiconductor contact resistance), we placed ideal contacts at the two ends of the channel as shown in \nFig. 1 and added extrinsic series resistors to the source and drain. The simulated HEMT \nconsists of a 15nm In\n0.7Ga0.3As layer between two In 0.52Al0.48As buffer layers. The gate \nelectrode in the simulated de vice is placed on top of the In 0.52Al0.48As layer, which (in the \nsimulated structure) has the same thickness throughout the entire le ngth of the device. A \nsilicon δ-doped layer in the In 0.52Al0.48As buffer layer effectivel y dopes the source/drain \nregions of the device to 2.1x1012/cm2 [13]. The δ-doped layer is located 3nm away from \nthe channel layer. Devices with insulator thickness of tins=3nm, 7nm and 11nm were \ndescribed in [2]. Later, better estimates of the 7nm and 11nm de vices were given as \n6.5nm and 10nm [13], and these were the va lues used in our simulations. When \nsimulating the tins=3nm device, the δ-doped layer was placed on top of the insulator, and \nthe gate electrode on top of a thin layer on top the δ-doped layer. In the simulation, the δ-\ndoped layer is given a finite thickness of 0.40 nm and the thin layer on top of the d-doped \nlayer was 0.40 nm. The result was an insulator thickness of tins=3.8nm in the simulation. \nThis is within the experimental uncertain ty in the insulator thicknesses of +/- 1nm \n[13].The uncertainty in insulator thickness is not substantial for the thinnest insulator \ndevice and this is discussed in Sec. IV. \n In the simulations, the far left/right regions of the δ-doped layer are doped to \n1x10\n13/cm2 to mimic additional doping from the n+ cap layers \n(In0.7Ga0.3As/In 0.52Al0.48As) used in the experimental device to facilitate ohmic contacts to \nthe source and drain. There are, therefore, two different doping regions in the simulated \ndevice. The region that is directly adj acent to the channel to its left/right ( Lside), has a \ncarrier density of 2.1x1012/cm2, which is the value specified by the experimental group \n4 [13]. The far left/right regi on has a larger doping of 1013/cm2. This level of doping is \nunrealistically high for this type of materi al, but it favors numeri cal stability of the \nsimulation and does not affect the device. This is a way to mimic the extended \nsource/drain regions of the actual device. In addition, although th e lightly doped region \nin the experiment has Lside = 1μm [13], for computational efficiency Lside is set to Lside= \n60nm in the simulations. As will be discussed later, under high gate bias, the source design becomes important. Because of th e simplified source design used in the \nsimulation, we will be able to draw only qual itative conclusions about the high gate bias \nperformance. The channel region is the regi on directly under the ga te electrode and has \nL\nG = 60 nm as in the experimental device. Longer channel lengths were also examined \nexperimentally, and these devices ar e briefly considered in Sec. IV. \n It should be pointed out that the source and drain contacts in the simulated device \nshould not be regarded as real contacts with an associated contact resistance. Rather, \nthey are idealized contacts to the extended source/drain regions, which are assumed to be maintained in thermodynamic equilibrium by strong scattering. Venugopal et al. examined this assumption for silicon transi stors and found that scattering in typical \ncontacts of heavily doped silicon is suffic ient to maintain thermodynamic equilibrium \n[14]. Nevertheless, this assumption may need to be reconsidered as devices continue to \nshrink and for new channel materials such as the III-V’s considered here. Indeed, \nFischetti has discussed the phenomenon of “s ource starvation” which is a manifestation \nof non-equilibrium contacts in III-V FETs [15]. For this study, we assume extended \ncontacts that are maintained in thermodynamic equilibrium. \n \nFigure 1b shows the simulated conduction band profile normal to the channel \ntaken at a location near the source end of the channel (near the top of the potential energy \nbarrier between the source and the channel) wh en the device is under large gate bias. The \nworkfunction difference between the gate and the In\n0.52Al0.48As buffer layer is adjusted to \nΔΦ B=0.5eV in order to match the threshold voltage of the simulated devices to the \nexperimental measurements. The thickness of the In 0.52Al0.48As layer in this case is 3nm, \nand the δ-doped layer is adjacent to the gate/In 0.7Ga0.3As interface. The conduction band \n5 discontinuity between the In 0.7Ga0.3As/In 0.52Al0.48As layer is assumed to be ΔEc = 0.6eV \n[13, 16]. The dielectr ic constant of In 0.52Al0.48As is assumed to be ε = 14 and that of the \nIn0.7Ga0.3As is ε = 14.5 [17]. \n The effective mass of the In\n0.7Ga0.3As channel is an input to the simulation. \nBecause of conduction band non-parabolicity, quantum confinement will increase the \neffective mass as compared to its value in the bulk. In principle, th e appropriate effective \nmass could be extracted from atomistic calculations (e.g. tight-binding atomistic \nmethods), however, this is a difficult task because the masses can be a function of the \nexact placement of the atoms in the structure and the distortions within the structure. In \nthis work a simplified approach is followed; we extract the effective mass from atomistic, tight-binding [18] calculations for a 15 nm wide In\n0.7Ga0.3As quantum well structure \nwithout assuming any lattice dist ortions. The dispersi on of the quantum well is shown in \nFig. 2. The wafer orientation is (100) and the transport orienta tion is [011]. The parabolic \nband drawn on top of the first valley is adjusted to match the density of states up to 0.2eV \nabove the conduction band edge and re sults in an e ffective mass of m* = 0.048m 0, which \nis the value used in the simulations. (We chose to fit from the bottom of the conduction \nband to 0.2 eV above the bottom because the maximum position of the Fermi level above \nthe conduction band edge under high gate bias is usually close to or below 0.2eV). \nSimilar parabolic bands that match the bulk E(k) bandstructures of the InAs and GaAs at \nΓ up to 0.2eV above the conduction band mini ma were also extracted. A weighted \naverage of these masses according to the 70% indium and 30% gallium composition \nresults in a very similar value for the effective mass. The mass value is higher than the weighted average of the lite rature bulk masses, which is m* = 0.037m\n0, (m*InAs = \n0.027m 0, m*GaAs = 0.063m 0). Our use of a larger effectiv e mass accounts for the effect of \nnon-parabolicity in an approximate way. In addition, as s hown in Fig. 2, the L valleys are \nvery high in energy compared to the Γ valleys and are, therefore, ignored in our \nsimulations. (This is expected since the com posite channel in this case due to the 70% \nindium composition has stronger InAs propert ies rather than GaAs properties which will \ntend to place the Γ and L valleys closer in energy.) \n \n6 The non-equilibrium Green’s function appr oach [11, 12] for ballistic quantum \ntransport is self cons istently coupled to a 2D Poiss on solver for treatment of the \nelectrostatics. Since the channe l is relatively thic k (15nm), significant potential variations \nare expected in the cross section along the transport orientations. The NEGF Hamiltonian \nuses a real space technique in the parabol ic, effective mass approximation (EMA) and \naccurately accounts for the mode coupling when large potential variations exist. The \nNEGF transport equation is solved in th e channel area as well as in the upper \nIn0.52Al0.48As buffer layer in order to capture the wavefunction penetration in that layer. \nThe 2D Poisson’s equation is solved in the en tire cross section of the device in order to \naccurately capture the 2D el ectrostatics of the device. \n \nIII. RESULTS \nIn order to compare the measured to the simulated data, two fitting parameters \nwere used, a value of external series resistance ( RSD) that is added to the device, and the \nworkfunction difference ( ΔΦ B) between the gate and the In 0.52Al0.48As buffer layer. The \neffect of the series resistance will be explained in the following section. The \nworkfunction difference, ΔΦ B, is used to adjust the VT of the simulated to that of the \nexperimental data. The adjustment is done once for the LG = 60 nm device with a 3nm \nthick insulator. The result, ΔΦ B = 0.5eV , is a reasonable number for the workfunction \ndifference between the two mate rials. Figure 3a shows the ID-VG characteristics for the \nthree devices – each with a 60nm channel le ngth but with three different insulator \nthicknesses. The measured and simulated curves agree fairly well. In the case of the 7nm \ninsulator device, the simulated and measured VT differs by ~0.04V. This small deviation \nmight be due to various reasons such as interface traps, charged impurities, or uncertainties in the thickness of the layers in the experimental device. As the insulator thickness increases, there is a large negative shift in the V\nT by almost 0.25V, which is \nattributed to the δ-doped layer and its increas ing effect on the electros tatics of the channel \nas the gate electrode moves farther away. The threshold voltage shift is well-described by \n \nˆ,W\nT\nins insqN xVCTΔ= (1) \n7 \nwhere WN is the δ-doping concentration per cm2, and ˆxis the centroid of the charge \ndistribution in the insulator [19]. \n \nThe Drain Induced Barrier Lowering ( DIBL) and the subthreshold swing (SS) \nextracted from the simulated data are shown in Fig. 3b, and both are seen to increase as \nthe insulator thickness increases, which is expected from 2D electrostatics. The \nsimulated results agree with the experimental data both qualitatively and quantitatively. \n The second adjustable parameter in the si mulation is the series resistance. The \nseries resistance originates from the complicated ohmic contact between the n\n+ cap layer, \nthe In 0.52Al0.48As layer and the barrier between the interface of the In 0.52Al0.48As/ \nIn0.7Ga0.3As layers. Figure 4a shows the experimental ID-VD data and the simulated \nballistic ID-VD characteristic at the same gate overdrive ( VG = 0.5V). The simulated, \nballistic ON-current is almost double than the experimental value, and the channel \nresistance of the simulated ballistic device is RB = 170 Ω-μm (inverse slope of the linear \nregion). In order fit the simulated results to the experimental data, a series resistance (source plus drain) of R\nSD = 400 Ω-μm was added to the ballistic data in order to match \nthe total resistance measured in the experi mental data (inverse slope of the high VG \nexperimental ID-VD). \n Once the series resistance is fit to the linear region of the hi ghest gate voltage \ndata, the simulated data at low drain voltages shows very good agreement with the experimental observations for all three ga te bias cases reported experimentally ( V\nG = \n0.1V, 0.3V, 0.5V). The agreement at high dr ain voltage is also good, except for a ~15% \ndiscrepancy between ON-current of the m easured and simulated data. For this LG = 60 \nnm device, the experimental results can, to a reasonable approxima tion, be explained by \nan intrinsic, ballistic FET with two series resistors attached to it, except for the overestimate of the on-current, which will be discussed in Sec. IV. Longer channel \nlengths appear to operate at a lower fraction of the ballistic limit, as will also be discussed \nin Sec. IV. \n8 \nSimilarly, the experimental ID-VD data for the tins = 7 nm and tins = 11nm devices \ncan be explained by using sl ightly different values of RSD (RSD = 350 Ω-μm and RSD = 310 \nΩ-μm, respectively). The value of the fitted se ries resistance increases as the insulator \nthickness decreases. This was also observed in the experiments and was attributed to the \nisotropic etching that was used before ga te deposition to produce the three different \ninsulator thicknesses [2]. As more of the insula tor sidewall is etched, the series resistance \ntends to increase. Figures 4b,c show the experimental ID-VD for various VG values \ncompared to the simulated results after the se ries resistance has been fit. Good agreement \nbetween the experimental and simulated data is observed, but for each of the three cases, the ON-current of the simulated device is ~1 0-15% more than that of the measured \ndevice. \n The mobility of a field-effect transistor is often extracted from the linear region \ncurrent. Although mobility has no physical mean ing in our ballistic simulations, the \nsimulated ballistic drain current is linearly proportional to the drain voltage at low V\nDS, so \nwe can extract a “mobility” by equating th e channel resistance to a conventional \nMOSFET expression, \n,/( )DS\nch\nDS B ins G TV LRIWC V Vμ=≡− (2) \nwhere μB is the so-called ballistic mobility by [20, 21, 22]. From our simulations, Rch at \nhigh gate bias (before adding the effect of RSD), varies between Rch=170 Ω-μm – 240 Ω-\nμm as the insulator thickness varies from 3nm to 11nm. From these channel resistances, \na value of the ballistic mobility is extracted to be μB~ 170-450 cm2/V-s. Although the \nmobility of bulk In 0.7Ga0.3As is measured to be ~10,000 cm2/V-s, the “apparent” mobility \n(in the sense of eqn. (2)) that a short ch annel HEMT can display is limited to a few \nhundred. Alternatively, one could deduce a m obility for the device by plotting the total \nresistance between the source and drain as a function of channel length. The y-intercept \nof this curve would be the fixed, external series resi stance and the inve rse of the slope \nwould be proportional to the channel mobility. In that case, a bal listic FET would show \nzero slope, corresponding to an infinite mobility. \n9 \nIV. DISCUSSION \nWithin the uncertainties of the simplified structure used in the simulations and in \nour knowledge of various device parameters, the results presented in the previous section \nshow that the LG = 60 nm HEMTs reported by Kim et al. [2] can be approximately \ndescribed as ballistic FETs with two external series re sistors. The only significant \ndiscrepancy between the simulated and experi mental results is the consistent 10-15% \nover-estimate of the ON-currents. The experimental transconductance, gm, vs. gate \nvoltage characteristic is shown in Fig. 5 for the tins= 3nm device. The observed \ndegradation in gm at high gate voltages might be attribut ed to various causes. Scattering at \nhigh gate biases could reduce mobility and degrade gm. Another possibility is population \nof heavy effective mass upper va lleys. Figure 2 shows, howev er, that the L valleys are \ntoo high in energy to be popul ated. Parallel conduction in the upper layer, which has \nmuch heavier masses (~5 times heavier) than the channel layer, could also be a possibility. As shown in Fig. 1b, howev er, our simulations show no significant \nwavefunction penetration in the upper layer – even under high inversion conditions. \nSeries resistance could be yet another po ssibility. Figure 5 shows the simulated \ngm vs. VG \ncharacteristics for three different values of series resistance ( RSD = 0, 400, 800 Ω-μm). \nFor the RSD = 0 and 400 Ω-μm cases, the gm follows the experimental curve, but saturates \nat much higher VG than the experimental curve. For the 800 Ω-μm characteristic, we \nobtain roughly the correct magnitude of gm, but this value of RSD is too large to be \nconsistent with the experimental measurement. The fact that gm degradation occurs even \nin the ballistic simulation tells us, however, that there might be ot her possibilities. Two \nother plausible causes, the design of the so urce, and the effects of non-parabolicity are \ndiscussed below. \n \nFor III-V transistors, the desi gn of the source can be an important factor [15, 23]. \nTransistors operate by modulating potential energy barr iers [24, 25]. As the gate voltage \nincreases, the potential energy barrier decreases, and the charge in the channel increases. \nWhen the gate voltage increases to the point where the barrier is removed and the channel \ncharge is equal to the charge in the sour ce, transistor action de grades significantly. \n10 Simply stated, there can’t be more charge in the channel than in the source. For the \nHEMT under consideration here, th e charge in the source (2.1x1012/cm2), is much lower \nthan typical for Si MOSFETs, so these source exhaustion effects become apparent at \nrelatively low gate voltages. \n Source design limits are illustrated by the ballistic simulation shown in Fig. 6. \nFigures 6a, b, c show the energy-resolved cu rrent vs. position for the HEMT device under \ndifferent gate voltages. The conduction and valence bands are indicated (white-dot lines), \nand the current flows above the top of the conduction band. The source/drain regions \nconsist of two portions, an n\n++ region near the ideal contacts and an n+ region adjacent to \nthe channel. Figure 6a shows the OFF-state of the devi ce, where the source Fermi level \n(Efs) is well below the top of the so urce to channel energy barrier. As VG increases, the \nbarrier in the channel decreases – eventually reaching the same level as the n+ source \nregion (Fig. 6b). The top of the barrier has in this case sh ifted to the beginning of the n++ \nsource region. When VG increases even more (Fig. 6c), the gate can only modulate the \nenergy barrier at the n++ to n+ junction through weak fringing fields. Transistor action is \nlost, and gm drops as shown in Fig. 5 for both the si mulated and measured characteristics. \nIn our simulations, these effects are exaggera ted by the assumption of ballistic transport \nin the n+ source, but the effect is primarily an electrostatic one and is also observed in \ndrift-diffusion simulations [26]. \n The gate voltage\n at which the transconductance be gins to degrade is strongly \ndependent on the barrier between the channel and the source, which depends on the doping of the source. Figur e 7 shows the simulated \ngm for structures with different δ-\ndoping densities above the source/drain. As the doping in the source decreases, this effect \nshows up at smaller gate voltages. The low gate bias part of the gm vs. VG characteristic is \nnot doping dependent because under low gate voltage, the source is able to supply the charge demanded by the gate voltage. In the experimental results, the n\n+ source region \nwas Lside = 1μm in length, whereas in our simulation, Lside = 60nm was used. The \ndifferences in the source doping profiles may explain why the transconductance is \nexperimentally observed to degrade ~0.2V before the simulated transconductance. \n11 Although we cannot unambiguously conclude that the observed transconductance \ndegradation is due to source exhaustion, our simulations do clearly demonstrate that \nsource design is an important issue for III-V MOSFETs. Finally, note that the effects \ndiscussed here are purely electrostatic in nature and occur in both ballistic and drift-diffusion simulations. Fische tti has discussed “source star vation,” which results from a \ndifficulty in injecting carriers into longitudinal momentum states in the channel [15]. \nThose effects were not included in our study and would only make source design an even \nmore important issue. \nTwo important parameters for a FET are th e charge and velocity at the beginning \nof the channel. Two questions arise. The first is: How close is the charge at the top of \nthe potential barrier to the equilibrium MOS capacitor value of ) (\nT G G V VCQ −= ? The \nsecond question is: How the velo city extracted from the numerical simulator compares to \nthe ballistic injection velocity expected from the bandstructure of the channel. To answer \nboth of these questions, the top of the potential barrier in th e numerical results needs to \nbe identified. Doing so is not as trivia l, because of the large variation of the EC across the \ndepth of the 15nm channel widt h. We employ two different me thods to locate the top of \nthe barrier. The first is to take the weighted average of the charge distribution with the \n2D ECx,y() profile with the 2D charge density nx,y()according to \n \n()\n(),( , )\n() .\n,C\nCnxyE xy d y\nEx\nnxyd y=∫\n∫ (3) \n \nFigure 8a shows the resulting EC(x) (white-dotted line) supe rimposed on the electron \ndensity spectrum plot. Fi gure 8a is plotted at VG = 0.4V, and VD = 0.35V, which are the \nestimated intrinsic device voltages at the ON-state (after accounting for the effect of RSD). \nFrom Fig. 8a, the top-of-the-barrier can be id entified to reside at 105nm (5nm inside the \nchannel from the point where the gate electrode begins). \n \n12 A second way to identify the top-of-the-b arrier is by identifying the point of \nmaximum gate control by locating the position where dNSx()dVG is maximized (where \nNSx() is the charge in the channel per cm2). This method places the top-of-the-barrier at \n104 nm. Both approaches give very similar results , so we take the top-of-the-barrier to be \nat 104.5nm. The corresponding charge and the velocity (defined as ()ON SINx ) at the-\ntop-of-the-barrier are 121.3 10SN≈× per cm2 and 72.7 10aveυ≈× cm/s as shown in Fig. \n8b,c respectively. The charge density and velo city are rather low for this light mass \nchannel due to the fact th at the source Fermi leve l is less than 0.1eV above EC under ON-\nstate conditions. Figure 8 show s that these quantit ies are very sensitive to the precise \nlocation of the beginning of the channel. This information is available in our simulator, \nbut it is not available when analyzing experimental data. \n \n To answer the first question about how close the charge is to ) (T G G V VCQ −= , \nthe simulated equilibrium carrier density vs. gate voltage is plotted in Fig. 8d (solid-blue). \nThe quantity ) (T G ins V VCQ −= with Cins= 0.032 F/m2 (or 3.2×10−6 F/cm2) is shown as \nthe solid-square-black line of Fig. 8d. Assuming that CG = Cins clearly over-estimates the \ncharge. From the slope of the CG vs. VG plot (dashed-red line), we observe that CG is 2.5 \ntimes smaller than Cins. From CG=CinsCSCins+CS(), we obtain a semiconductor \ncapacitance of ins S C C 67.0= . A simple calculation of the quantum capacitance, however, \nshows thatins Q C C 5.1~ , which indicates that CS i s a f a c t o r o f ~ 2 l e s s t h a n CQ. As \ndiscussed by Pal [27], this occurs when the shape of the quantum we ll is bias-dependent. \n According to Fig. 8d, at \nVGS = 0.4V, the charge at the top of the barrier under \nequilibrium conditions is 121.5 10SN≈× per cm2. The value found from the simulation \nunder VDS = 0.35V is121.3 10SN≈× per cm2, which is lower than the equilibrium value. It \nmight be expected that DIBL would reduce VT and therefore increase the charge. Part of \nthe reason for the lower charge under drain bias could be that only the positive velocity states are occupied at high \nVD. The quantum capacitance, therefore, decreases under \n13 large drain bias by a factor of two. The lower CQ lowers the semiconductor capacitance \nCS and offsets the DIBL . The result is that the charge at the top of the barrier is somewhat \nless under high VDS. \n The second question had to do with the va lue of the ballistic velocity from the \nnumerical simulation as compared to the va lue expected from th e bandstructure. For a \ngiven \n Ek() and Fermi level, we can determine the corresponding NSand aveυυ= \nunder ON-state conditions where only +k states are occupied. Figure 9 shows the result \nfor the parabolic effective mass (EMA) disp ersion used in the quantum simulations \n(square-blue). For comparison, the InAs and Ga As velocities are shown, calculated using \ndispersions extracted from an atomistic tight -binding model [18]. Th e weighted average \nof these two results is also shown in Fig. 9 (solid-brown). The weighted average tight-\nbinding results resemble the effective mass results for the In 0.7Ga0.3As channel. The EMA \nvelocity is in good agreement with the “weighted average” curve at low carrier densities, \nbut at higher densities, the EMA velocity is higher, because non-para bolicity reduces the \nvelocity in the tight-binding model. At an inversion charge density of 121.3 10SN=× per \ncm2, which corresponds to the charge at the top of the barrier in the numerical simulation, \nthe velocity for the EMA is 741 0ave injυυ=≈ × cm/s, while for the weighted average tight-\nbinding curve it is 73.6 10ave injυυ=≈× cm/s. These values are both higher than the \n72.7 10aveυ≈× extracted from the NEGF simulation \n \nThe difference in the velocities deduced fr om the bandstructure and that extracted \nfrom the NEGF simulation might have to do with tunneling currents and quantum \nmechanical reflections around the top-of-the -barrier, which tend to reduce the average \nvelocity. (In support of this conjecture, we note that the Fermi level in the quantum \nmodel is almost a kBT closer to EC than in the semiclassical model at the same carrier \ndensity, which indicated a carrier populatio n below the top-of-the-barrier, and/or \n“negative” going state population in the quantum model). It is also evident in Fig. 9 that \nnonparabolicity can be important at this bias regime and can cause about 10% \ndegradation in the average carrier velo city. Nonparabolity is another possible \n14 contribution to the gm degradation observed in the experi mental data but not captured in \nthe EMA treatment. \n The main analysis of the discussion section up to now considered the \ntins = 3 nm \nand LG = 60 nm device. The experimental data s how variations in both changes in the \nInAlAs insulator thickness as well as gate length dependence. These two issues are briefly discussed here. Figure 10a shows how the insulator thickness affects the \nperformance of the \nLG = 60 nm device. The equilibrium carrier density in the channel \nunder VGS = 0.4V is shown in solid-s quare-blue, extracted as in Fig. 8d for all devices at \nthe same VG - V T. The carrier density in the channe l doubles as the insulator thickness \ndecreases from tins = 10 nm to tins = 3 nm – as expected. Under a high drain bias of VD = \n0.35V, however, the carrier de nsity at the top of the ba rrier (dash/dot-diamond-black) \nshows a much slower variation with insulator thickness. This occurs because under high drain biases \nCQ decreases by a factor of ~2, which drives the device toward the quantum \ncapacitance limit in which variations in COX are not as significant. Increasing DIBL with \nincreasing insulator thickness lowers the VT and increases the charge in the channel. An \ninterplay between these two effects reduces the charge variations as a function of tins. The \nincrease in charge as the tins is scaled from 10nm to 3nm is only ~30%. The velocity at \nthe top of the barrier (dash-circle-red) shows an increase of ~20% with insulator \nthickness scaling. Scaling the insulator th ickness down to 3nm can , therefore improve \nperformance. Further scaling of the insu lator, however, might not offer additional \nadvantage at the on-state. Fi gure 10b shows the effect of scaling the insulator from \ntins=3.8nm to tins=3.0nm. This figure is the same as Fig. 4a, with the tins=3.0nm result also \nshown in black-diamond, plotted at the same VG-VT. The difference at the on-state is less \nthan 5%. \n We next investigate the gate length dependence of the \ntins = 3 nm HEMTs. \nExperimentally in [2], LG = 60nm, 85nm and 135nm devi ces were reported. Significant \ngate length dependence was obs erved experimentally, with the ON-current decreasing as \nthe gate length increases. This trend is shown in Fig. 11. This figure is the same as Fig. \n4a, with all the three gate length data incl uded (for clarity, we have shown only the \n15 highest gate voltage in each case). The solid-circle-red lines present the experimental data \nfor the different gate lengths and for VG = 0.5V, 0.3V, and 0.1V. The solid-blue lines \npresent the simulated results for the same devi ces after the series resistance was included. \nAlthough it is not shown in the plots, a good match was observed between the simulated \nand measured data for lower gate biases. For the high gate bias case, the simulated results \nshow little gate length dependence – as it is expected from a ballistic model. The small \ndifferences originate from the changes in the electrostatics. The measured high VG data, \nhowever, show a significant ga te length dependence. The l ongest device is about 40% \nbelow the ballistic simulation while the shor test device is only ~15% below. These \nresults indicate increased scattering in the LG = 85nm device and even stronger scattering \nin the LG = 135nm device. \n Finally, we should mention once agai n some of the uncertainties and \nsimplifications that affect our analysis. The first is the \n±1 nm uncertainty in the etched \nAlInAs layer thickness, which however does not introduce considerable uncertainty at the \nON-state. Second, the simplified device struct ure for the simulation had the source/drain \nregions that were only 60 nm long rather than 1 μm as in the experimental device. This \nsimplification is likely to affect the high current region, where source design issues are \nexpected to become important. Lattice distortio ns and the effect of strain in the channel \nwere not considered and may have an imp act on the effective mass of the channel. \n \nV. Conclusion \nThe performance of recently de monstrated high-performance In 0.7Ga0.3As HEMTs \nwas investigated using a quantum ballistic model self consisten tly coupled to a 2D \nPoisson solver for electrostatics. With the additi on of external series resistors, reasonable \nagreement between the ballistic simulation and the experimental data was obtained for all \nof the 60 nm channel length devices with insu lator thicknesses of 3nm, 7nm, and 11nm \nusing values of series resist ance consistent with those m easured in the experiments. \nDespite the simplifications in the model and th e uncertainties in the exact values of the \ninsulator thickness, series resistance and ch annel effective masses, these results suggest \n16 that 60nm channel length III-V HEMTs operate rather close to the ballistic limit. The on-\ncurrent performance of longer channel lengths HEMTs, however , appears to be degraded \nby scattering although they still operate at over one-half of the ballistic limit. \n For operation near the ballistic limit, the ba llistic injection velocity rather than \nbulk mobility becomes the parameter of interest . The ballistic injection velocity for this \ndevice was found to be relatively low for this light effective mass material, because of the \nrelatively low inversion char ge operating conditions, quant um tunneling and reflections, \nand conduction band non-parabolicity. The se miconductor capacitance also plays an \nimportant role by increasing the effective oxide thickness (EOT) of the thinnest insulator device by 2.5 times. The results reported here strongly sugges t that source design is an \nimportant factor for III-V FETs, as has also been recently pointed out by Fischetti [15]. These simulations also identify key factor s for improving III-V HEMT performance as \nreduction of the parasitic series resistan ce, optimization of the source design, and \nreduction of the insulator thickness, which will be beneficial to the off-state performance \nbut have only a small effect on the on-state performance. \n \nAcknowledgements \nThis work was funded by the Semiconduc tor Research Corporation (SRC) and by \nthe Focus Center for Materials, Structures , and Devices. Computational resources for \nthis work were provided through nanoHUB .org by the Network for Computational \nNanotechnology (NCN). The authors would like to thank Dr. D.-H. Kim, Prof. J. del \nAlamo and Prof. Dimitri Antoniadis of the Massachusetts Institute of Technology for providing details about the e xperimental structures and fo r extensive disc ussions about \nthe analysis presented here. Siyu Koswa tta, Himadri Pal and Yang Liu are also \nacknowledged for helpful discussions, and Prof. Gerhard Klimeck is acknowledged for \nadvice on the tight-bin ding calculations. \n17 References \n \n[1] R. Chau, S. Datta, M. Doczy, B. Doyle, B. Jin, J. Kavalieros, A. Majumdar, M. \nMetz, M. Radosavljevic, “Benchmarki ng nanotechnology for high performance and \nlow-power logic transistor applications,” IEEE Trans. Nanotechnol. , vol. 4, no. 2, \npp. 153-158, March 2005. \n [2] D.-H. Kim and J. del Alamo, “Scaling behavior of In\n0.7Ga0.3As HEMTs for logic,” \n2006 International Electron Devices Meeting, p. 837, 2006 . \n [3] D.-H. Kim and J. del Alamo, “Log ic performance of 40nm InAs HEMTs,” \n2007 \nInternational Electron Devices Meeting, p. 629, 2007 . \n [4] Y. Xuan, Y. Q. Wu, T. Shen, T. Wang , and P. D. Ye, “High performance submicron \ninversion-type enhancement-mode InGaAs MOSFETs with ALD Al\n2O3 HfO 2 and \nHfAlO as gate dielectrics,” 2007 International Elect ron Devices Meeting, p. 637, \n2007 . \n \n[5] M. Passlack, P. Zurcher, R. Rajacopala n, R. Droopad, J. Abrokwah, M. Tutt, Y.-B. \nPark, E. Johnson, O. Hartin, A. Zlotnick a, and P. Fejes, “High mobility III-V \nMOSFETs for RF and digital applications,” 2007 International Electron Devices \nMeeting, p. 621, 2007 . \n [6] M. V. Fischetti and S. E. Laux, “Monte Carlo simulation of transport in \ntechnologically signific ant semiconductors of the diamond and zinc-blende \nstructures-Part II: Submicrometer MOSFET’s,” \nIEEE Trans. Elect. Dev. , Vol. 38, \nno. 3, 1991. \n [7] P. M. Solomon, and S.E. Laux, “The ballistic FET: Design, capacitance and speed \nlimit,” \nin IEDM Tech. Dig. , Dec. 2001, pp. 5.1.1-5.1.4. \n \n18 [8] J. Wang, and M. Lundstrom, “Does s ource-to-drain tunneli ng limit the ultimate \nscaling of MOSFETs?,” in IEDM Tech. Dig. , Dec. 2002, pp. 707-10. \n [9] N. Waltron, D.-H. Kim and J. del Alamo, “90nm self-aligned enhancement-mode \nInGaAs HEMT for logic applications,” \n2007 International Electron Devices \nMeeting, p. 633, 2007 . \n [10] C.-I. Kuo, H.-T. Hsu, E. Y. Chang, C.-Y. Chang, Y. Miyamoto, S. Datta, M. \nRadosavljevic, G.-W. Huang, and C.-T . Lee, “”RF and logic performance \nimprovement of In\n0.7Ga0.3As/InAs/ In 0.7Ga0.3As composite channel HEMT using \ngate-sinking technology,” IEEE Elect. Dev. Lett. , vol. 29, no. 4, pp. 290-293, 2008. \n [11] S. Datta, \nElectronic Transport in Mesoscopic Systems . Cambridge MA: Cambridge \nUniv. Press, 1997. \n [12] Z. Ren, R. Venugopal, S. Goasquen, S. Datta, and M.S. Lundstrom, “nanoMOS 2.5: \nA two-dimensional simulator for quantu m transport in doubl e-gate MOSFETs,” \nIEEE Trans. Elect. Dev. , Vol. 50, no. 9, 2003. \n \n[13] Personal communication with Dr. D ae-Hyun Kim and Prof. Jesus del Alamo. \n [14] R. Venugopal, S. Goasguen, S. Datta, and M.S. Lundstrom, “A quantum \nmechanical analysis of channel access, ge ometry and series resistance in nanoscale \ntransistors,” \nJ. Appl. Phys. , vol. 95, pp. 292-305, January 15, 2004. \n [15] M. Fischetti, T. O’ Reagan, S. Narayanan, C. Sachs, S. Jin, J. Kim, and Y. Zhang, \n“Theoretical study of some physical aspects of electronic transport in nMOSFETs at \nthe 10-nm gate-length,” \nIEEE Trans. Elect. Dev. , vol. 54, no. 9, 2007. \n [16] I. Vurgaftman, J.R. Meyer, and L.R. Mohan, “Band parameters for III-V compound \nsemiconductors and their alloys,” \nJour. Appl. Phys., vol. 89, no. 11, 2001. \n19 \n [17] O. Madelung, \nSemiconductors: Basic Data, 2nd rev. edition, Berlin; New York, \nSpringer 1996. \n [18] G. Klimeck, S. Ahmed, H. Bae, N. Kh arche, S. Clark, B. Haley, S. Lee, M. \nNaumov, H. Ryu, F. Saied, M. Prada, M. Korkusinski, and T. B. Boykin, \n“Atomistic simulation of realistically sized nanodevices using NEMO 3-D—Part I: Models and benchmarks,” \nIEEE Trans. Elect. Dev. , vol. 54, no. 9, pp. 2079-2089, \n2007. \n [19] R. Pierret, \nSemiconductor Device Fundamental s, Addison-Wesley Publishing \nCompany, 1996. \n [20] M.S. Shur, “Low ballistic mobility in submicron HEMTs,” \nIEEE Elect. Dev. Lett. , \nvol. 23, pp. 511-513, 2002. \n [21] J. Wang, and M. Lundstrom, “Balli stic transport in high electron mobility \ntransistors,” \nIEEE Trans. Elect. Dev. , Vol. 50, no. 7, pp. 1604-1609, 2003. \n \n[22] M. Zilli, D. Esseni, P. Palestri, and L. Selmi, “On the apparent mobility in \nnanometric n-MOSFETs,” IEEE Elect. Dev. Lett. , vol. 28, no. 11, pp. 1036-1039, \n2007. \n [23] S.E. Laux, “A simulation study of the switching times of 22- and 17-nm gate-length \nSOI nFETs on high mobility substrates and Si,” \nIEEE Trans. Elect. Dev. , vol. 54, \nno. 9, 2007. \n [24] E.O. Johnson, “The insula ted-gate field-effect transist or - A bipolar transistor in \ndisguise,” \nRCA Review , vol. 34, 80, 1973. \n \n20 [25] M. Lundstrom, and Z. Ren, “Essentia l physics of carrier transport in nanoscale \nMOSFETs,” IEEE Trans. Elect. Dev. , vol. 49, no. 1, 2002. \n [26] Personal communica tion with Himadri Pal. \n \n[27] H. Pal, K. D. Cantley, S. D. Ah med, and M. S. Lundstrom, “Influence of \nbandstructure and channel structure on the inversion layer capacitance of silicon \nand GaAs MOSFETs,” IEEE Trans. Electron Dev ., Vol. 55, pp. 904-908, 2008. \n \n \n \n21 Figure captions \n \nFigure 1: \n(a) The simplified HEMT device structure. An In 0.7Ga0.3As between two In 0.52Al0.48As \nlayers acts as the channel. A δ-doped layer 3nm away from th e channel layer, effectively \ndopes the source/drain regions of the device to 2.1x1012/cm2 [13]. Heavier doping is used \nat the far left/right of the device. (b) The conduction band profile taken at a cross section \nof the HEMT device at the re gion of the source/channel boundary when the device is \nunder large gate bias. The workfunction difference between the gate and the In 0.52Al0.48As \nbuffer layer is adjusted to ΔΦ B=0.5eV. The conduction band disc ontinuity between the \nIn0.7Ga0.3As/In 0.52Al0.48As layer is assumed to be ΔEc=0.6eV. The dielectric constant of \nIn0.52Al0.48As is assumed to be ε = 14 and of the In 0.7Ga0.3As ε=14.5. \n \nFigure 2: \nThe dispersion of the composite 15nm thick In 0.7Ga0.3As structure calculated using \natomistic tight-binding calculations with no distortions taken into account. The wafer \norientation is (100) and the transport orient ation is [011]. The parabolic band (red-dotted) \nof m*=0.048 m0 is adjusted to match the density of states up to 0.2eV above the \nconduction band edge. \nFigure 3 \n(a) The experimental (red-circ le) and simulated (blue-solid) ID-VG data for the LG = 60nm, \ntins=3nm, 7nm, 11nm devices. A workfuncti on difference between the gate and the \nIn0.52Al0.48As layer of ΔΦ B=0.5eV is used in order to match the VT for all devices. A \nnegative shift in VT by 0.25V is observed as the oxi de thickness increases. (b) The DIBL \nand subthreshold swing (SS) of the experimental and simulated data. \n \n \n22 Figure 4 \nComparison between the experiment al (red-circle) and simulated ID-VD with series \nresistance added to them (blue-solid). (a) The tins=3nm device. Data for VG = 0.1V, 0.3V \nand 0.5V are shown. The black-dashed curve indicates the ballistic ID-VD at VG = 0.5V \nwith RSD = 0 Ω-μm. A RSD = 400 Ω- μm is added to the simulated data. (b) The tins=7nm \ndevice. Data for VG = 0V, 0.2V and 0.4V are shown. A RSD = 350 Ω-μm is added to the \nsimulated data. (c) The tins=11nm device. Data for V G= -0.1V, 0.1V and 0.3V are shown. \nA RSD = 310 Ω-μm is added to the simulated data. \n \nFigure 5 \nThe gm vs. VG data for the tins = 3nm device. Measured data (red-circle), and simulated \ndata with RSD=0 Ω-μm (black-solid), RSD = 400 Ω-μm (blue-square), and RSD = 800 Ω-μm \n(green-square) are shown. \nFigure 6 \nThe source exhaustion mechanism. The energy resolved current spec trum is shown. (a) \nThe device at OFF-state. (b ) The barrier collapses as VG is applied at ON-state. (c) \nFurther increase in VG causes the lightly doped region to collapse. The top-of-the-barrier \nthat has now shifted to the hi ghly doped region and the gate lo ses control over the device. \n \nFigure 7 \nThe effect of source/drain electron charge on gm degradation. As the “doping” decreases \nthe degradation starts in lower gate biases. \n \nFigure 8 \nThe intrinsic device parameters at ON-state. (a) The electron de nsity spectrum. The \ndensity weighted EC and EV profiles are shown (dot-white lin es). The top of the barrier is \nidentified at 104.5nm. (b) The charge density along the length of the channel. (c) The \n23 average velocity along the length of the channel. (d) The equilibrium (V D=0V) carrier \ndensity vs. VG (solid-blue). The charge as Cins*(VG-VT) is shown in solid-square-black. \nThe charge as ( Cins/2.5)*(VG-VT) is shown in dot-red. \n \nFigure 9 \nThe “positive going” average bandstructure ve locity vs. inversion carrier density of a \n15nm thick quantum well, using a simple semicl assical ballistic model. The velocities of \nInAs and GaAs are shown in solid-square-b lack. Their bandstructures are calculated \nusing an atomistic tight-binding model. The EMA bandstructure velocity for the \ndispersion used in the quantum simulation is shown in solid-circl e-blue. The weighted \naverage of the InAs and GaAs (In 0.7Ga0.3As) velocity is shown in solid-brown. \n \nFigure 10: \n(a) The simulated carrier density a nd average velocity at the same VG-VT=0.2V as a \nfunction of insulator thickness for the LG=60nm device. Carrier densities for the VD=0V \n(solid-square-blue) and VD=0.35V (dash/dot-diamond-black) ar e presented. The gate bias \nis VG=0.4V. The VG-VT=0.2V is the same for all insulator thickness devices at VD=0V. No \nfurther VT adjustment was performed for the VD=0.35V case. The average velocity (dash-\ncircle-red) is calculated at VD=0.35V. (b) The simulated and measured data are presented \nin a similar way to Fig. 4a for VG=0.1V 0.3V and 0.5V and for tins=3nm (black-diamond), \nand 3.8nm (blue-solid). Variati ons in the insulator thickness do not introduce significant \nvariations in the on-current. \n \n \nFigure 11: \nGate length dependence of the 3nm oxide th ickness device. The simulated and measured \ndata are presented in a similar way to Fig. 4a for VG=0.1V 0.3V and 0.5V and for \nLG=60nm, 85nm, 135nm. The simulated and meas ured data are in good agreement for the \nlower gate bias cases. Signifi cant deviation is observed for the high bias cases, which is \n24 reduced as the gate length reduces. The si mulated data do not show significant gate \nlength dependence. \n25 Figure 1: Device description \n \n \n \n \n \n(a)\n(b)δ-dop. n++Gate\nL_side\n2.1e12/cm2 10e12/cm23nmsource\ndrain L_side\n2.1e12/cm2 10e12/cm2n+60nm 40nm 60nm\n15nm\nInGaAsInAlAs\n500nm\nInAlaAsn+ n++ δ-dop. n++Gate\nL_side\n2.1e12/cm2 10e12/cm23nmsource\ndrain L_side\n2.1e12/cm2 10e12/cm2n+60nm 40nm 60nm\n15nm\nInGaAsInAlAs\n500nm\nInAlaAsn+ n++\n(a)\n(b)δ-dop. n++Gate\nL_side\n2.1e12/cm2 10e12/cm23nmsource\ndrain L_side\n2.1e12/cm2 10e12/cm2n+60nm 40nm 60nm\n15nm\nInGaAsInAlAs\n500nm\nInAlaAsn+ n++ δ-dop. n++Gate\nL_side\n2.1e12/cm2 10e12/cm23nmsource\ndrain L_side\n2.1e12/cm2 10e12/cm2n+60nm 40nm 60nm\n15nm\nInGaAsInAlAs\n500nm\nInAlaAsn+ n++ \n26 Figure 2: The E(k) \n \n27 Figure 3: VT, DIBL, SS \n \n28 Figure 4: Series resistance - Ballistic mobility, ID-VD \n \n \n \n \n \n \n(b)\n(c)\n(a) \n29 Figure 5: The effect of R SD on Gm \n \n \n \n \n30 Figure 6: Source “exhaustion” as a reason for Gm degradation \n \n \n \na) OFF state \nb) Barrier collapses \nc) Gate loses control \n31 Figure 7: \n \n \n32 Figure 8 \n \n(a) \n(c) \n(d)(b) \n33 Figure 9 \n \n \n34 Figure 10: tins dependence \n \n(a) \n(b) \n35 Figure 11: L G dependence \n " }, { "title": "2006.16937v1.Roadmap_for_Gain_Bandwidth_Product_Enhanced_Photodetectors.pdf", "content": " 1 Roadmap for Gain-Bandwidth-Product Enhanced Photodetectors V. J. Sorger1,* and R. Maiti1 1Department of Electrical and Computer Engineering, George Washington University, Washington, DC 20052, USA *Email: sorger@gwu.edu Abstract: Photodetectors are key optoelectronic building blocks performing the essential optical-to-electrical signal conversion, and unlike solar cells, operate at a specific wavelength and at high signal or sensory speeds. Towards achieving high detector performance, device physics, however, places a fundamental limit of the achievable detector sensitivity, such as responsivity and gain, when simultaneously aimed to increasing the detector’s temporal response, speed, known as the gain-bandwidth product (GBP). While detector’s GBP has been increasing in recent years, the average GBP is still relatively modest (~106-107 Hz-A/W). Here we discuss photodetector performance limits and opportunities based on arguments from scaling length theory relating photocarrier channel length, mobility, electrical resistance with optical waveguide mode constrains. We show that short-channel detectors are synergistic with slot-waveguide approaches, and when combined, offer a high-degree of detector design synergy especially for the class of nanometer-thin materials. Indeed, we find that two dimensional material-based detectors are not limited by their low mobility and can, in principle, allow for 100 GHz fast response rates. However, contact resistance is still a challenge for such thin materials – a research topic that is still not addressed yet. An interim solution is to utilize heterojunction approaches for functionality separation. Nonetheless, atomistically- and nanometer-thin materials used in such next-generation scaling length theory based detectors also demand high material quality and monolithic integration strategies into photonic circuits including foundry-near processes. As it stands, this letter aims to guide the community if achieving the next generation photodetectors aiming for a performance target of GBP ~1012 Hz-A/W. Keywords: photodetector, gain-bandwidth-product, 2D materials, optical materials, integrated optics, Roadmap, scaling laws Main Text Photodetectors deliver an integral function of optical-to-electrical (OE) conversion. Unlike solar cells performing this conversion spectrally broadband and temporally at steady-state, photodetectors and especially photoreceivers are typically designed for high responsivity (gain) at a target wavelength given by the absorbing materials’ bandgap, and by fast-temporal dynamic response (3dB bandwidth, BW). Prominent material-spectrum mappings for detectors are GaN for UV (<400 nm) [1], Si for visible to NIR (400-1100 nm) [2], Ge/InGaAs for NIR to MIR (1-5 µm) [3] and HgCdTe for MIR to FIR (>5 µm) [4]. 2 It is the aim of this letter to clarify i) fundamental photodetector tradeoffs, and ii) relate them to design choices, which thence govern detector performance. We show that this correlation is actually not arbitrary, and that there exist interdependencies between design-material combinations that yield a higher performance than others. The arguments laid out herein, are exemplary made on photonic integrated circuit (PIC)-based detectors, but their generality also holds for free-space coupled devices and applications. The obtainable signal output from a detector (i.e. photocurrent and available photogain) and its operation response (speed, i.e. bandwidth, BW) are not interdependently optimizable, which can straightforwardly be understood by using the picture of the integration time of a photo collected signal; if the gain is high to increase the detectors sensitivity, then the response rate is slow, and vice versa. An example from daily life is the sluggish smart-phone camera response for taking photos at dim lighting conditions, where the digital signal processing circuit (DSP) increases the gain to enhance the signal-to-noise ratio (SNR), thus reducing the shutter speed. Most of the photodetectors can be categorized broadly into two classes (Fig. 1a); those with high responsivity (R) (but low temporal bandwidth, speed) and vice versa, which relates to the fundamental trade-off gain-bandwidth product (GBP) being a figure-of-merit (FOM) for detectors. Since photodetector gain linearly scales with the responsivity (R) in units of (A/W), here, for discussion purposes, we use gain and responsivity interchangeably. Indeed, the iso-GBP lines show while devices cluster in either the upper left (low-BW/high-R) or lower right (high-BW/low-R) quadrant, both corresponding to a GBP ~ 105-106 Hz-A/W (Fig. 1a). Naturally, detector performance and next-generation devices scale orthogonally to these two quadrants aiming for simultaneous high-speed and high R. It is the target to design and demonstrate such high-performance photodetectors that guides our motivation for the work presented herein (Fig. 1a). Let us next analyze this fundamental GBP tradeoff in more detail with the aim to explore device paradigms that would allow to optimize the GBP beyond what is available with current technology; the photoconducting gain is given by the ratio of the photocarrier lifetime (tc) to the photocarrier transit time (tt), i.e. the drift time for photocarriers to reach the photocurrent-collecting electrodes. Meaning, if the carrier lifetime exceeds the time for their collection, charge accumulation (gain ≈ tc /tt) can occur. Given that the carrier lifetime in typically used detector semiconductors is about O(~ns), gains of about O(~102-103) can be obtained for transit times of 10’s-100’s of ps corresponding to medium-high mobility materials and micrometer source-drain distances. The transit time, on the other hand, is a function of the electrical transport properties (mobility, µ) of the photoabsorbing material and the drift field (Vbias) via 𝜏$=𝐿'/)𝑣+,-.$/0=𝐿'/)1(𝜇𝑉5-67)/0, where Le/h where is the distance of the electrodes collecting the electron-hole photocarriers (i.e. channel length, Le/h). Parametric BW-scaling of this mobility-limited transit time and RC-delay as a function of photodetector source-drain channel length , Le/h, reveals several insights (Fig. 1b); a) if the channel length is several micrometer long mobilities of about 1,000 cm2/Vs are required to even achieve 1 GHz fast detection, while RC is not a facto even for poor resistivities. b) in the limit for scaled (1 - 10 nm) short channel lengths even a poor mobility of 1 cm2/Vs is not BW limiting up to about 100 GHz, provided at least a resistance of 1 kW can be guaranteed. c) a sweet spot for detectors that are aimed for speeds around 10’s of GHz is found for channel lengths near 0.1 µm offering a high design window with relatively relaxed requirements (µ < 10 cm2/Vs and R < 10 kW). As an interim 3 conclusion we can summarize that if high-speed devices (>100 GHz) are desired, then transistor-short channel lengths (~10 nm) are required, since even high-mobility (~10,000 cm2/Vs) materials cannot reach these BW for micrometer wide contacts use in SiO2 or Lithium-niobite (LN)-based waveguides. For III-V and silicon-based waveguides a channel length of 500 nm is already challenging, if plasmonic losses from the contacts are to be avoided. Thus, for our exemplary 100 GHz goal, mobilities of 1000 are required which is not possible for low-resistance (highly-doped contacts). Thus, it seems an interesting design option to accept possible optical losses from metal-optics and design 10’s nm narrow slot-waveguides, which can achieve 100 GHz devices with both modest mobilities ~5 cm2/Vs and resistances ~5 kW). Such a material is, for instance, the class of transition metal dichalcogenides (TMDC). In fact, one can show that borrowing device scaling laws from electronics, that ‘flatness’ of the detector material is a key requirement, as discussed further below. However, since the gain is proportional to both the mobility and the carrier lifetime, the former can limit the bandwidth of the detector such as for poor mobilities known form TMDCs [29]. Yet, there are several reports on ultrahigh gain in TMDCs based photodetector where the underlying mechanism is mainly photogating effect instead of multicarrier generation or avalanche amplification [20, 21]. In the photogating effect, the charge carrier are trapped in surface impurity states, thus resulting in a DC-slow response time (1-10 s), too slow for many data communication and signal processing/computing, but possibly sufficient for environmental sensing applications [17, 18, 20, 21, 36]. Therefore for long-channel detectors, in order to achieve high GBP, focus should be on improving carrier mobility rather than prolonging carrier lifetime, while the inverse is required (i.e. focus on improving contact resistance) for short-channel detectors (Fig. 1b). Borrowing device scaling concepts from electronics, the scale-length theory (SLT) shows that electric field-based device dimensions scale with a nominal factor (s) such as in FETs both the channel thickness and length [5]. Applying this dimensional scaling concept to the GBP of photodetectors, we find that it scales as GBP ~ s--2 ~ Le/h-2, which suggests that reducing the collection distance rather sensitively (squared) improves detector performance. Hence the question arises, as of why prevailing integrated detector designs do not make use of this scaling performance gains? The answer can be found in the inability of monolithic material integration to adhere to the SLT scaling; starting our GBP optimization analysis discussion with optical constrains, utilizing this s-2 scaling law, urges device designers to utilize nanometer (or 10’s of nanometer) short-channel (contact distances) to optimized GBP. This, however, requires a simultaneous ‘squeezing’ of the optical mode to the same order (10’s nm compact), which can be realized with nano-optical light-matter-interaction enhancements such as offered by plasmonics [6] or dielectric discontinuities [7]. SLT applied to detectors simultaneously demands nanometer thin absorbing materials, which is further enforced to ensure a high optical mode overlap (G) [8], similar to quantum-well lasers [9]. Realizing such a nanometer-thin absorbing material at high crystalline quality, however, is challenging to be provided by III-VI and IV (e.g. Ge) materials when these materials are heterogeneously integrated in Si or SiN photonic platforms due to the parasitic high defect density during patterning (e.g. reactive ion etching) [10,11]. However, a SLT-scaled device detector is synergistic with two-dimensional (2D) materials, as briefly mentioned above and further discussed next (Fig. 1). 4 As a possible high GBP-design example, a rather short the plasmonic slot atop a silicon on insulator (SOI) waveguide, where Le/h = Wslot (slot-width ~10 nm), supports a gap plasmon with an electric field polarization being in-plane with such a nanometer thin material [12, 13]. The detector’s external quantum efficiency is given by: EQE = IQE x (1-Reflection-Transmission), where the internal quantum efficiency (IQE) is proportional to the overlap matrix element in the absorption process (typically ~80’s - 90’s% for band-to-band absorption [14]). The second term relates to the amount of light absorbed by the device, which can be optimized by anti-reflection coatings for free-space coupled devices. In integrated devices, however, this relates to the mode overlap factor (G), i.e. the amount of light coupled to the materials. Since a detector’s photo responsivity is proportional to the EQE, and hence to GBP, ensuring a high optical absorption is key. With 2D materials featuring a rather high intrinsic absorption coefficient (~105 cm-1), they are an interesting SLT-synergistic material option; furthermore, their atomistically-thin flatness reduces coulomb scattering effects, thus allowing for a high oscillator strength [15] signified by a high optical index Re{n} > 5 in the visible and NIR bands for TMDCs [16]. Furthermore, such a ~10 nm short electrical channel, also comes with (near) ballistic electric transport. Hence, a high drift mobility would actually not improve the transit time which is <1 ps, for Vbias = 1 V and µTMDC = 1-10 cm2/Vs, and the low mobility of 2D materials (aside Graphene) is not a limit of a SLT-scaled high-GBP 2D material-based detector. \n Fig.1. Design strategies for Engineering Gain-Bandwidth-Product (GBP) Photodetectors. a) Photodetector performance cluster into two quadrants ; high responsivity yet low speed (bandwidth, BW), and vise versa. Target detectors with a GBP of ~1012 scale orthogonally (upper-right quadrant) to the iso-GBP lines. The values are taken from [17-36]. b) Plot of bandwidth vs. photocarrier-collecting electrical channel length showing two regimes where the transit time-limited bandwidth (BWtransit) is dominant for long-channel detectors (gray shade), whereas for sub 100 nm channel lengths, the bandwidth is limited by RC time (blue shade). BWtransit is estimated for four different mobility values (1 - 1000 cm2/Vs) for a Vsd = 1V taken from ref [37- 39]. The RC time limited bandwidth (BWRC) is estimated for four different resistance values starting from 0.1-100 kW [40-42], where, the electrical capacitance is determined by a parallel-plate model (fringe fields ignored) for a lateral junction. A finding of this parametric study is that for a (arbitrarily set) target speed of 100 GHz; i) micrometer long channel-based photodetectors required a very high mobility (<104 cm2/Vs) with a relaxed contact resistance, while ii) 10’s nm short-channel detectors are only resistance limited, since (near) ballistic transport is given even for poor mobilities (<10 cm2/Vs). Such short-channel performance-detectors, however demand optical mode squeezing to adhere to device scale-length theory (SLT) rules which can be achieved with slot-waveguide designs. \n 5 However, improvement a material’s mobility does relax length-scaling requirements (const. = GBP à µ ~ (Le/h)2 (Fig. 1b). For instance, some recent undoped TMDC’s have shown mobilities of 103 cm2/Vs [37,38], which allows increasing Le/h from 10’s to 100’s nm for high-speed detectors. Channel-length scaling, however, also impacts the detector’s dark current (Idark); generally speaking, lowering Idark increases the detectors dynamic range, and improves the noise-equivalent-power (NEP), which is the required optical power to produce a SNR of unity at 1 Hz signal rate. Indeed, we [29] and others [30] have shown, that a TMDCs-based detector is able to operate at 1-10 nA dark currents, while a scaled slot detector has an about 10x higher Idark. Compared to graphene, TMDCs feature a 10-1000x lower dark current due to their 0.5 - 2 eV wide bandgap, whilst a tiny energy (optical or thermal) will initiate intra-band transitions in graphene. Another possibility for reducing the dark current, is to operate the detector in photovoltaic mode, namely at zero bias voltage, instead of the often used 1-2 V, however also give up any gain enhancement. Such a detector can be realized with built-in P/N junction for charge separation polarity; for instance, surveying the literature, points to a potential of 10-100x lower Idark [41,42]. However, 2D materials also have challenges in designing detector (e.g. high resistance), however as discussed before, their low-mobility is not one of them, provided SLT short Le/h approaches are used. Yet, total resistance of the device comprises of contact resistance (Rc) and channel resistance (Rch), is the key to achieve high-performance. Since Rch quickly decreases with the channel length scaling, Rc becomes a dominant factor in the total resistance for sub-100 nm channel lengths. For instance, the high contact resistance in kW to even MW challenges the device response time limiting RC-delay [22] (Fig. 1b). A solution to this is to design hetero-junctions, where function-material separation can be part of the design process; for instance, a high bandgap TMDC placed atop a SOI waveguide performs the OE conversion, whilst the photocarriers are transferred into graphene layers which acts as an electrical conducting channel or as a ~50W contact resistance to the metal contact pads [30]. Several contact engineering techniques including edge contact geometry, 1T phase contacts, hBN substrate and graphene have been implemented in individual devices, but a scalable route for uniformly realizing these device concepts at the wafer-scale has not yet to be established [43,44]. Taking the above discussed high-GBP design options into account on high gain and responsivity via short transit-times, low dark-current via zero-bias using junctions, heterojunction devices for low RC, we can estimate a speed of ~10-100 GHz (limited by RC) (Fig. 1b), and a responsivity of 1-100 A/W (assuming a carrier lifetime ~O(ns)), thus enabling a GBP ~ 1012 Hz-A/W (blue region, Fig. 1a), which would be an about 1-2 orders orders of magnitude improvement over record GBP devices, and about 4-5 orders improvement compared to the average detector performance (Fig. 1a). With an mobility-improved and hence L-relaxed Le/h of, for instance 100 nm, the resulting Idark can approach O(100) pA for an NEP of ~1 pW/Hz0.5 rivaling state-of-the-art detectors [23]. Interestingly, 2D materials have just recently reached foundry maturity such as demonstrated by IMEC [45] or TMSC [46], for example. This recency bears hope for further material improvements with R&D economy-of-scale testing in the near future, and monolithic growth on SOI-oxide surfaces is possible, yet quality levels such a s defect density still required attention. While CVD such processes are actively being developed to date, transfer techniques for 2D materials have successfully addressed issues such as deterministic placement [47] and single-flake transfer showing vanishing cross-contamination, past transfer [48]. For instance, micro-stamping 6 techniques allow for rapid-prototyping devices benign to PIC heterogeneous 2D material integration enabled by the high spatial selectivity of the micro-stamper [49]. Furthermore, 2D materials offer a higher degree of strain-material adaptation given added range of design flexibility, which we termed ‘strainoptronics’; for instance, straining (tensile or compressive) dramatically (100’s of meV) shifts the band edge of 2D materials leading to enhanced absorption so as responsivity for 2D materials based photodetector [29]. The understanding of the modulation of optical and electronic properties of these materials are key to design improved integrated photonic devices [50-52]. Techniques such as Kelvin probe force microscopy (KPFM) allow for local strain mapping which allows for nanometer-resolution strain and hence bandgap maps thus allowing to align the photoabsorbing 2D material with photonic structures [53] such as waveguides, or optical cavities precisely [29]. Indeed, the large amount of strain that 2D materials can sustain before rupture occurs along with their large elastic modulus [52], which allows reducing the bandgap of, e.g. MoTe2, from 1.04 eV down to 0.80 eV, thus enabling efficient photo conversion at the telecommunication relevant wavelengths of 1550 nm [29]. Indeed, 2D materials offer unique properties for number of opto-electronic applications beyond detectors such as for nanoscale emitters [54] or electro-optical modulators [55,56] in applications ranging from data-communication [57], over neural networks [58], to bio-photomodulation [59]. In conclusion, like other opto-electronic fundamental building blocks, the device physics of photodetectors dictates fundamental trade-offs between detector sensitivity such as available gain, responsivity and hence noise-equivalent power on the one side, and bandwidth, speed, on the other. Here we discussed photodetector gain-bandwidth-product (GBP) performance in the context of device channel scaling, electrical and opto-electronic performance constrains. We show that state-of-the-art detectors are either optimized for gain or speed showing an averaged GBP of 106 to 108 Hz-A/W (using responsivity for gain due to linear proportionality amongst them). Further, we discuss strategies for achieving next-generation GBP of ~1012 Hz-A/W following scaling length theory. For instance, we show that for achieving 100 GHz fast detectors micrometer-wide separated photocarrier-collecting source/drain contacts require exceedingly-high mobilities (~104 cm2/Vs) which is unrealistic in simultaneously achieving to low contact resistances required to reduce RC-delay. A seemingly more elegant, and PIC-density benign approach of achieving high GBP detectors, is to scale the channel length into the sub 100 nanometer regime, where transport is near ballistic even for low-mobility (~1-10 cm2/Vs) materials. Interestingly, we find that the scaling length theory and plasmonic slot-waveguide approaches offer a high-degree of detector design synergies especially for flat materials. Such 2D materials may be a solution for such next generation high GBP devices based on channel length scaling where their low mobility does not limit performance. However, several issues such as scalability, contact resistance, doping and mobility still need to be standardized prior to replacing existing technology. Therefore, in order to realize the fullest potential of such device physics-benign emerging materials, constrains from foundry-based mass productions and chip integration should be co-developed to ensure satisfactory and reliable performance. References [1] Q. Chen, et al. Schottky barrier detectors on GaN for visible–blind ultraviolet detection. Appl. Phys. Lett. 70(17), 2277–2279 (1997). [2] M. K. Lee, C. H. Chu, Y. H. Wang, S. M. Sze, Opt. Lett. 2001, 26, 160. 7 [3] Z. Wang, C. Qiu, Z. Sheng, A. Wu, X. Wang, S. Zou, F. Gan, Opt. Exp. 2014, 53, 57101. [4] A. Rogalski, Rep. Prog. Phys. 2005, 68, 2267 [5] D. J. Frank, Y. Taur and H. -. P. Wong, IEEE Electron Device Letters, vol. 19, no. 10, pp. 385-387 (1998). [6] S. A. Maier, Plasmonics: Fundamentals and Applications (Springer, 2007). [7] J. D. Joannopoulos, Meade R. D. & Winn, J. N. Photonic Crystals (Princeton Univ. Press, Princeton, (1995)). [8] Z. Ma, M. Tahersima, S. Khan, V. J. Sorger, “2D material-based mode overlap engineering in electro-optic modulators”, IEEE Sel. Topics in Quantum Electronics, DOI 10.1109/JSTQE.2016.2574306. (2016) [9] H. Yoshida, Y. Yamashita, M. Kuwabara, & H. Kan. A 342-nm ultraviolet AlGaN multiple-quantum-well laser diode. Nature Photon. 2, 551–554 (2008). [10] S. Takagi, S. H. Kim, R. Zhang, N. Taoka, M. Yokoyama and M. Takenaka. 2013 ECS Trans. 53 107 [11] M. Houssa, E. Chagarov, & A. Kummel. Surface defects and passivation of Ge and III-V interfaces. MRS Bull. 34, 504–513 (2009). [12] G. Konstantatos, Current status and technological prospect of photodetectors based on two-dimensional materials. Nat. Commun. 9, 5266 (2018). [13] Z. Ma, K. Kikunaga, H. Wang, S. Sun, R. Amin, R. Maiti, M. H. Tahersima, H. Dalir, M. Miscuglio, V. J. Sorger. \"Compact Graphene Plasmonic Slot Photodetector on Silicon-on-insulator with High Responsivity\", ACS Photonics. (2020) [14] J. Michel, J. Liu, & L. C. Kimerling. High-performance Ge-on-Si photodetectors. Nat. Photon. 4, 527 (2010). [15] T. Mueller & E. Malic. Exciton physics and device application of two-dimensional transition metal dichalcogenide semiconductors. npj 2D Mater. Appl. 2, 29 (2018). [16] R. Maiti, C. Patil, R. Hemnani, M. Miscuglio, R. Amin, Z. Ma, R. Chaudhary, A. T. C. Johnson, L. Bartels, R. Agarwal, V. J. Sorger, “Loss and Coupling Tuning via Heterogeneous Integration of MoS2 Layers in Silicon Photonics” Optics Materials Express, 9, 2, 751-759 (2018). [17] W. Zhang, M. Chiu, C. Chen, W. Chen, L. Li, A. T. S. Wee, ACS Nano 2014, 8, 8653. [18] J. O. Island, S. I. Blanter, M. Buscema, H. S. J. van der Zant, A. Castellanos-Gomez, Nano Lett. 2015, 15, 7853. [19] N. Huo, S. Yang, Z. Wei, S. Li, J. Xia, J. Li, Sci. Rep. 2014, 4, 5209. [20] O. Lopez-Sanchez, D. Lembke, M. Kayci, A. Radenovic, A. Kis, Nat. Nanotechnol. 2013, 8, 497 [21] J. F. Gonzalez Marin, D. Unuchek, K. Watanabe, T. Taniguchi, & A. Kis. MoS2 photodetectors integrated with photonic circuits. Npj 2D Materials and Applications, 3(1) 2019. https://doi.org/10.1038/s41699-019-0096-4 [22] N. Youngblood, C. Chen, S.J. Koester, & M. Li. Waveguide-integrated black phosphorus photodetector with high responsivity and low dark current. Nature Photonics, 9(4), 247–252 (2015). [23] Thorlab https://www.thorlabs.com/newgrouppage9.cfm?objectgroup_id=2822 [24] F. N. Xia, T. Mueller, Y. M. Lin, A. Valdes-Garcia, & P. Avouris. Ultrafast graphene photodetector. Nat. Nanotech. 4, 839–843 (2009). [25] T. Mueller, F. N. A. Xia, & P. Avouris. Graphene photodetectors for high-speed optical communications. Nat. Photon. 4, 297–301 (2010). [26] X. Gan, R.J. Shiue, Y. Gao. Chip-integrated ultrafast graphene photodetector with high responsivity. Nature Photonics,7(11): 883 (2013). [27] S. Schuler et al. Controlled Generation of a p–n Junction in a Waveguide Integrated Graphene Photodetector. Nano Lett. 16, 7107–7112 (2016). [28] R. J. Shiue, et al. High-Responsivity Graphene–Boron Nitride Photodetector and Autocorrelator in a Silicon Photonic Integrated Circuit. Nano Lett. 15, 7288–7293 (2015). [29] R. Maiti et al., Strain Engineered high responsivity MoTe2 photodetectors for Si photonic integrated circuits, Nature Photonics, doi.org/10.1038/s41566-020-0647-4 (2020). 8 [30] P. Ma et al. Fast MoTe2 Waveguide Photodetector with High Sensitivity at Telecommunication Wavelengths. ACS Photonics 5, 1846–1852 (2018). [31] N. Flöry et al. Waveguide-Integrated Van der Waals Heterostructure Photodetector at Telecom Wavelengths with High Speed and High Responsivity. Nat. Nanotechnol. 15, 118−124 (2020). [32] Ding, Y. et al. Ultra-compact integrated graphene plasmonic photodetector with bandwidth above 110GHz. Nanophotonics (2019). [33] M. Long, E. Liu, P. Wang, A. Gao, H. Xia, W. Luo, B. Wang, J. Zeng, Y. Fu, K. Xu, W. Zhou, Y. Lv, S. Yao, M. Lu, Y. Chen, Z. Ni, Y. You, X. Zhang, S. Qin, Y. Shi, W. Hu, D. Xing, F. Miao, Nano Lett. 16, 2254 (2016). [34] G. W. Mudd, S. A. Svatek, L. Hague, O. Makarovsky, Z. R. Kudrynskyi, C. J. Mellor, P. H. Beton, L. Eaves, K. S. Novoselov, Z. D. Kovalyuk, E. E. Vdovin, A. J. Marsden, N. R. Wilson, A. Patanè, Adv. Mater. 27, 3760 (2015). [35] W. Feng, J. Wu, X. Li, W. Zheng, X. Zhou, K. Xiao, W. Cao, B. Yang, J. Idrobo, L. Basile, W. Tian, P. Tan, P. Hu, J. Mater. Chem. C, 3, 7022 (2015). [36] Y. Wang, W. Pan, B. Zhou, W. Wang, Z. Hu, Y. Ni, X. You, S. Zhang, Y. Qin, K. Shi, T. Watanabe, H. Taniguchi, H.Y. Yuan, Y. Hwang, F. Cui, D. Miao. Xing, Adv. Funct. Mater. 26, 1938 (2016). [37] D. A. Bandurin, A. V. Tyurnina, G. L. Yu, A. Mishchenko, V. Zólyomi, S.V, R. H. Kumar, R. K.; Gorbachev, R. V.; Kudrynskyi, Z. R.; Pezzini, S.; Kovalyuk, Z. D.; Zeitler, U.; Novoselov, K. S.; Patanè, A.; L. Eaves, Grigorieva, I. V.; Fal'ko, V. I.; Geim, A. K.; Cao, Y. High electron mobility, quantum Hall effect and anomalous optical response in atomically thin InSe. Nat Nano, 12, 223-227 (2017). [38] X. Ling, , H.; Wang, S. Huang, F. Xia, M.S. Dresselhaus. The renaissance of black phosphorus. Proceedings of the National Academy of Sciences 2015, 112, 4523-4530. [39] Kim, S.; Konar, A.; Hwang, W. S.; Lee, J. H.; Lee, J.; Yang, J.; Jung, C.; Kim, H.; Yoo, J. B.; Choi, J. Y.; Jin, Y. W.; Lee, S. Y.; Jena, D.; Choi, W.; Kim, K. High-Mobility and Low-Power Thin-Film Transistors Based on Multilayer MoS2 Crystals. Nature communications 2012, 3, 1011. [40] S.-L Li, et al. Thickness scaling effect on interfacial barrier and electrical contact to two-dimensional MoS2 layers. ACS Nano 8, 12836–12842 (2014). [41] L.Yang, et al. Chloride molecular doping technique on 2D materials: WS2 and MoS2 . Nano Lett. 14, 6275–6280 (2014). [42] R.Kappera, et al. Phase-engineered low-resistance contacts for ultrathin MoS2 transistors. Nature Mater. 13, 1128–1134 (2014). [43] R. J. Shiue, et al. High-Responsivity Graphene–Boron Nitride Photodetector and Autocorrelator in a Silicon Photonic Integrated Circuit. Nano Lett. 15, 7288–7293 (2015). [44] X. Cui et al. Multi-terminal transport measurements of MoS2 using a van der Waals heterostructure device platform. Nat Nano, 10, 534-540 (2015). [45] https://www.imec-int.com/cache/pdfs/en/imec-magazine/imec-magazine-february-2019/a-300 mm-platform-for-2d-material-based-mosfet-devices.pdf [46] T.-A. Chen et al., “Wafer-scale single-crystal hexagonal boron nitride monolayers on Cu (111),” Nature, 579,7798, 219–223, (2020). [47] Andres, C.-G. et al. Deterministic transfer of two-dimensional materials by all-dry viscoelastic stamping. 2D Mater. 1, 011002 (2014). [48] R. Maiti, R. A. Hemnani, R. Amin, Z. Ma, M. Tahersima, T. A. Empante, H. Dalir, R. Agarwal, L. Bartels, V. J. Sorger, “A semi-empirical integrated microring cavity approach for 2D material optical index identification at 1.55 um” Nanophotonics 8(3), 435-441 (2019). [49] R. A. Hemnani, C. Carfano, J. P. Tischler, M. H. Tahersima, R. Maiti, L. Bartels, R. Agarwal, and V. J. Sorger, “Towards a 2D Printer: A Deterministic Cross Contamination-free Transfer Method for Atomically Layered Materials,” 2D Materials 6(1), 015006 (2018). [50] K. He, C. Poole, K. F. Mak. Experimental demonstration of continuous electronic structure tuning via strain in atomically thin MoS2. Nano Lett, 2013, 13(6): 2931-. doi: 10.1021/nl4013166 9 [51] H J Conley, B, Wang, J I Ziegler. Bandgap engineering of strained monolayer and bilayer MoS2[J]. Nano Lett, 2013, 13(8): 3626-. doi: 10.1021/nl4014748 [52] C R Zhu, G Wang, B L Liu. Strain tuning of optical emission energy and polarization in monolayer and bilayer MoS2. Phys Rev B, 2013, 88(12): 121301 [53] M. Tahersima, V. J. Sorger, Enhanced Photon Absorption in Spiral Nanostructured Solar Cells using layered 2-D Materials, Nanotechnology, 2015, 26, 344005. [54] M. H. Tahersima, M. Danang Birowosuto, Z. Ma, W. C. Coley, M. Valentin, I. Lu, K. Liu, Y. Zhou, A. Martinez, I. Liao, B. N. Davis, J. Martinez, S. Naghibi Alvillar, D. Martinez-Ta, A. Guan, A. E. Nguyen, C. Soci, E. Reed, et al. ACS Photonics 2017, 4, 1713-1721. [55] S. K. Pickus, S. Khan, C. Ye, Z. Li, V. J. Sorger, IEEE Photonics Society, 2013, 27, 6. [56] R. Amin, C. Suer, Z. Ma, J. Khurgin, R. Agarwal, V. J. Sorger, Solid-State Electronics, 2017, 136, 92-101. [57] S. Sun, V. K. Narayana, I. Sarpkaya, J. Crandall, R. A. Soref, et al. IEEE Photonics Journal, 2018, 10, 2. [58] J. K. George, A. Mehrabian, R. Armin, J. Meng, T. Ferreira De Lima, A. N. Tait, B. Shastri, P. Prucnal, et al. Optics Express , 2019, 27, 4. [59] W. Zhu, J. K. George, V. J. Sorger, L. G. Zhang “3D Printing Scaffold Coupled with Low Level Light Therapy for Neural Tissue Regeneration” Biofabrication , 2017, 9, 025002. " }, { "title": "1801.03606v1.Modeling_the_Oblique_Spin_Precession_in_Lateral_Spin_Valves_for_Accurate_Determination_of_Spin_Lifetime_Anisotropy__Effect_of_Finite_Contact_Resistance_and_Channel_Length.pdf", "content": "\t1 Modeling the Oblique Spin Precession in Lateral Spin Valves for Accurate Determination of Spin Lifetime Anisotropy: Effect of Finite Contact Resistance and Channel Length Tiancong Zhu and Roland K. Kawakami Department of Physics, The Ohio State University, Columbus, OH 43210, USA Abstract The spin lifetime anisotropy is an important quantity for investigating the spin relaxation mechanisms in graphene and in heterostructures of two-dimensional materials. We generalize the diffusive spin transport equations of oblique spin precession in a lateral spin valve with finite contact resistance. This yields a method to determine the spin lifetime anisotropy ratio 𝜉=𝜏!/𝜏∥, which is the ratio between lifetimes of spin polarized perpendicular and parallel to the graphene surface. By solving the steady-state Bloch equations, we show that the line-shape of the oblique spin precession signal can be described with six dimensionless parameters, which can be solved analytically. We demonstrate that the anisotropic spin precession characteristics can be strongly suppressed by contact induced spin relaxation originating from conductance mismatch between the channel material and electrodes. To extract the spin lifetime anisotropy ratio accurately, we develop a closed form equation that includes the effect of finite contact resistance. Furthermore, we demonstrate that in the high contact resistance regime, the minimum channel length required for accurately determining the spin lifetime anisotropy for a sufficiently low external magnetic field is only determined by the diffusion coefficient of the channel material, as opposed to the spin diffusion length. Our work provides an accurate model to extract the spin lifetime anisotropy ratio from the oblique spin precession measurement, and can be used to guide the device design for such measurements. E-mail: zhu.1073@osu.edu, kawakami.15@osu.edu \t2 I. INTRODUCTION Spintronics aims to utilize the spin degree freedom of charge carriers for logic operation and information storage [1]. In recent years, graphene has emerged as one of the most efficient spin channel materials [2], exhibiting gate tunable spin transport, long spin lifetimes and long spin diffusion lengths at room temperature [3-12]. These make graphene a promising material for spintronics applications [13-19]. What makes graphene even more special is the high tunability of its properties. Due to the atomically thin nature of graphene, its properties are strongly subject to the environment, such as surface flatness [20-25], adatom adsorption [26-33], or in proximity with other materials [34-50]. This allows manipulation of graphene's spin transport and magnetic properties, which further enriches the possibilities of graphene for spintronics. Among all the properties in graphene, spin-orbit coupling is of particular interest. The intrinsic spin-orbit coupling in graphene is predicted to be very weak, with a magnitude of only ~ 30 𝜇𝑒𝑉 [51-53]. However, this value can be enhanced by several orders of magnitude by modifying graphene surface with adatoms, hybridizing with metal, or in proximity with strong spin-orbit coupling material [35,37-40,47,54-57]. Such strong spin-orbit coupling interaction is essential for new phenomena, such as spin Hall effect (SHE) [58-63], anomalous Hall effect (AHE) [36,64] , quantum spin Hall effect (QSHE) [65,66] and quantum anomalous Hall effect (QAHE) [67-71] to appear in graphene. Some of the above effects have been observed in experiments [35,36,60,61,64]. Furthermore, spin-orbit coupling can play a crucial role in the spin relaxation mechanism in graphene [72-76]. Up to now, the experimentally observed spin lifetime (12 ns, in [7]) in graphene is still two orders of magnitude smaller than the theoretical predictions (~1 µs, [2]). While the dominating spin relaxation mechanism in graphene remains unclear, spin relaxation through spin-orbit coupling is one major candidate. A careful study of spin-orbit \t3 coupling will be beneficial for determining the key limiting factors of spin transport in graphene. One consequence that spin-orbit coupling produces in graphene is the spin lifetime anisotropy, in which case the spin polarization perpendicular and parallel to the graphene sheet have different lifetimes [77]. Conventionally, the spin lifetime anisotropy ratio, 𝜉=𝜏!/𝜏∥ is used to describe this phenomenon. The spin lifetime anisotropy originates from spin relaxation dominated by a directional spin orbit field (SOF): For the Rashba type of SOF, which lies parallel to the graphene sheet, 𝜉<1 is expected; for the Kane-Mele type of SOF, which is perpendicular to the graphene sheet, 𝜉>1 is expected. In the case for other spin relaxation mechanisms, such as resonant scattering from magnetic impurities, an isotropic spin relaxation is expected. Observing an anisotropic spin relaxation in graphene is the fingerprint of spin-orbit driven spin relaxation [78]. Spin lifetime anisotropy was originally measured in graphene by applying a large magnetic field perpendicular to the graphene surface [79,80]. The applied magnetic field magnetizes the ferromagnetic electrodes into the field direction, which allows out-of-plane spin injection. However, it typically requires >1 𝑇 of magnetic field to fully magnetize the electrodes out-of-plane. Such a large magnetic field can cause side effects, such as ordinary magneto-resistance, that may contribute significantly to the signal. Recently, Raes et al. have demonstrated a new method to measure spin lifetime anisotropy in graphene with oblique spin precession in the lateral spin valve geometry [78]. In this geometry, an oblique magnetic field with relatively small magnitude (typically ~150 mT) is applied, and spin precession signal is measured. The oblique magnetic field makes spin in the graphene channel precess into the out-of-plane direction, thus sampling both the in-plane and out-of-plane components of spin relaxation. The much smaller magnitude of applied magnetic field avoids side effects mentioned previously, which allows a \t4 more accurate measurement on the anisotropy ratio. However, two important issues still need to be addressed for the oblique spin precession measurement. First, the finite contact resistance between the ferromagnetic electrodes and graphene in the lateral spin valve can act as a spin sink, which has been shown to cause an underestimation of spin lifetime extracted from spin precession measurement [81-84]. Such underestimation can also exist in oblique spin precession measurement. A quantitative method should be introduced to account for such an effect. Second, to perform oblique spin precession measurement with small magnetic fields, it has been assumed that a relatively long spin diffusion channel is required, previously estimated as 𝐿≥2𝜆!=2𝐷𝜏! [78]. However, this makes the oblique spin precession method seemingly unsuitable for graphene devices with long spin lifetimes due to the requirement of extremely long device channels. To our knowledge, neither of these two issues have been thoroughly discussed. In this paper, we present our model on oblique spin precession in the lateral spin valve geometry to address the above two issues. First, we develop an analytical method for calculating the spin precession curves with finite contact resistance, and obtain a closed form expression for extracting the spin lifetime anisotropy ratio from the measurement. This provides a method for accurately determining the spin lifetime anisotropy in realistic lateral spin valve devices with finite contact resistance. Furthermore, we derive a closed form expression to determine the minimum channel length required for oblique spin precession measurement. Our result shows that only a moderate length of the spin channel is needed for graphene and is determined by the diffusion coefficient as opposed to the spin diffusion length. Overall, our result provides a means to extract the spin lifetime anisotropy ratio from the oblique spin precession geometry and also serves as a guide for designing devices for such a measurement. This formalism can also be applied to other channel materials such as graphene-transition metal dichalcogenide \t5 heterostructures, which have recently exhibited strong spin lifetime anisotropy [49,50]. II. MODELING DETAILS The oblique spin precession measurement is performed in the non-local geometry. Figure 1(a) shows the schematics of such a device. To achieve spin transport, an electric current is applied from the left ferromagnetic (FM) electrode (injector) into the channel, which builds up spin accumulation underneath the injector. The spin accumulation can diffuse across the channel and reach the right FM electrode (detector). Depending on the magnitude and polarization direction of the diffusive spin accumulation relative to the FM detector electrode, a high (low) voltage signal can be measured at the detector. This voltage signal is the so-called non-local voltage (𝑉!\"), resulting from spin transport in the channel material. To perform oblique spin precession measurement, an external magnetic field is applied in the y-z plane, with an angle 𝛽 from the channel surface (Figure 1(b)). The spin in the material precesses around the magnetic field while diffusing through the channel. The precession results in a reduction of 𝑉!\" as a function of applied field. A plot of 𝑉!\" as a function of magnetic field is defined as the non-local spin precession curve. For a material with anisotropic spin relaxation, the line-shape of the non-local spin precession curve will depend on the applied field angle, which is a signature of spin lifetime anisotropy. Furthermore, when the magnetic field is large enough (𝐵!\"#), the spin polarization perpendicular to the field will be fully dephased, and the signal will be saturated with the component parallel to the field. The curvature of V!\" as function of field angle β can be used to determine the value of ξ. Both the line-shape of the non-local spin precession at different oblique angle and curvature of signal in the saturation limit are important for identifying the spin lifetime anisotropy in the spin diffusion channel. \t6 To model oblique spin precession in lateral spin valves, we employ the one-dimensional steady state Bloch equation to describe spin transport in the device channel 𝐷∇!𝜇!−𝛾!∙𝜇!×𝐵−𝜏!!!∙𝜇!=0 (1) where 𝐷 is the spin diffusion coefficient of the channel, 𝛾! is the gyro-magnetic ratio of the charge carrier, and 𝐵 is the oblique magnetic field. The spin dependent chemical potential 𝜇! is a three-component vector, with each of the component describing the spin population projected along the corresponding Cartesian axes. The spin relaxation matrix 𝜏!!! describes the spin lifetime anisotropy with different in-plane and out-of-plane spin relaxation rates. A natural way to solve Eq. (1) is to transform to the Cartesian frame 𝑒!,𝑒!∥,𝑒!! that is affixed with the applied field (figure 1b). This is because the applied magnetic field can only induce precession to the spin population perpendicular to it. In the new frame, 𝐵=0,𝐵,0, 𝜇!=𝜇!!,𝜇!∥!,𝜇!!!, and the spin relaxation matrix 𝜏!!! can be written as 𝜏!!!=𝜏∥!!10001+𝑓𝜉sin!𝛽𝑓𝜉sin𝛽cos𝛽0𝑓𝜉sin𝛽cos𝛽1+𝑓𝜉cos!𝛽 (2) with 𝑓𝜉=1/𝜉−1. We find that the steady-state Bloch equation in the new Cartesian frame can be solved analytically. By performing the Fourier transform to Eq. (1), we obtain 𝜆∥!𝑘!+10−𝜏∥𝛾!𝐵0𝜆∥!𝑘!+1+𝑓𝜉sin!𝛽𝑓𝜉sin𝛽cos𝛽𝜏∥𝛾!𝐵𝑓𝜉sin𝛽cos𝛽𝜆∥!𝑘!+1+𝑓𝜉cos!𝛽𝜇!!𝜇∥!𝜇!!=0 (3) where 𝜆∥=𝐷𝜏∥ is the spin diffusion length for spin polarized in-plane. Solving Eqn. (3) leads to the general solution of the spin dependent chemical potential 𝜇! !=𝐶!,!± exp (−𝑖𝑘!±𝑥)! (4) \t7 where n = 1, 2, 3 numbers the three modes (defined below), 𝑘!± are the corresponding wave vectors, and 𝜈=𝑒!,𝑒!∥,𝑒!! represent the different spatial components of the spin dependent chemical potential. To obtain the expression for Eq. (3), we define 𝐾=𝜆∥!𝑘!+1 and solve Eq. (3). This leads to three different non-zero modes of spin diffusion in the channel given by 𝐾!=𝛼+𝑒!!\"!𝛽+𝛽!+𝛾!!!!!+𝑒!!!\"!𝛽−𝛽!+𝛾!!!!! 𝑛=1,2,3 (5) and 𝛼=−!!!𝛽=!!!!!−!!!!\"−!!!!!\"#!!!𝛾=!!!−!!!! (6) Each mode in Eq. (5) contains two wave vectors (𝑘!±=±𝜆∥!!𝐾!−1, with 𝑛=1,2,3). The 𝑘!!! are complex numbers with a positive (negative) imaginary part, corresponding to a wave that decays [imaginary part] while oscillating [real part] as it transports to the −+𝑥 direction. The general solution in Eq. (4) can then be written as 𝜇!!=𝑐!!𝛤!𝐾!𝑒!!!∥!!!!!!∙!+𝑐!!𝛤!𝐾!𝑒!!!∥!!!!!!∙!!!!,!,! (7) with Γ!𝐾!=−𝑏𝐾!+𝑓𝜉sin!𝛽Γ!∥𝐾!=𝐾!𝑓𝜉cos𝛽sin𝛽Γ!!𝐾!=−𝐾!𝐾!+𝑓𝜉sin!𝛽 (8) Eq. (7) fully describes the spin accumulation in each region of the spin transport channel between the ferromagnetic electrodes. To include the effect of spin absorption at both of the FM electrodes, we consider the continuity equation in the spin diffusion channel underneath the electrodes. For each FM electrode, \t8 𝜇!!𝑥!!=𝜇!!(𝑥!!)𝐼!!(𝑥!!)=𝐼!!\"#.!(𝑥!)+𝐼!!(𝑥!!) (9) The first equation relates to continuity of the spin dependent chemical potential, and the second equation represents the continuity of the spin current. The 𝑥! in the equation is the position of the FM contact, with the superscript +− represents the position of the channel just to the right(left) of the contact. Assuming the spin absorption current into the FM, 𝐼!!\"#.!𝑥!, is isotropic with the spin polarization, both the spin current in the channel material as well as the absorption current can be expressed with 𝜇!!𝑥! underneath the channel as 𝐼!!=−𝑊∙𝜎∙∇𝜇!!𝑥𝐼!!\"#.!𝑥!=𝑅!!!∙𝜇!!𝑥!+𝑃∙𝐼! (10) where W is the width of the channel, 𝜎 is the electrical conductivity of the channel, and 𝐼! is the charge current flow through the FM electrode. 𝑅!=𝑅!/(1−𝑃!)+𝑅!/(1−𝑃!) is the effective contact resistance of the electrode, with 𝑅! the interfacial resistance, 𝑅!=𝜆!𝜌!/𝐴! the spin resistance of the electrode, and 𝑃 the spin polarization of the FM electrode. Combining Eqs. (7), (9) and (10) generates a fully defined system of linear equations, and 𝜇!!𝑥 can be solved analytically at any given position and external magnetic field. The non-local voltage can then be extracted from 𝜇!!𝑥 𝑉!\"=𝑃!\"#∙𝜇!∥!𝑥!\"#∙cos𝛽−𝜇!!!𝑥!\"#∙sin𝛽 (11) To describe the line-shape of the oblique spin precession, we normalize the non-local signal with its zero-field value 𝑉!\"∗=!!\"!!!\"!!! (12) we find that 𝑉!\"∗ can be fully described by six dimensionless parameters: magnetic field angle 𝛽, anisotropy ratio 𝜉, normalized channel length 𝑙=𝐿/𝜆∥, normalized magnetic field strength \t9 𝑏=𝐵/𝜏∥𝛾! !!, normalized contact resistance for injector 𝑟!\"#=𝑅!\"#/𝑅!, and detector 𝑟!\"#=𝑅!\"#/𝑅!. Here 𝐿 is the channel length between the injector and detector, 𝜆∥ is the diffusion length for spin polarized in-plane, and 𝑅!=𝑅!\"∙𝜆∥/𝐿 is the spin resistance of the channel. This provides a generic description for oblique spin precession in materials with spin lifetime anisotropy. III. RESULTS AND DISCUSSION A. Anisotropic spin precession with finite contact resistance We first discuss the effect of finite contact resistance on the oblique spin precession signal with spin lifetime anisotropy. Figure 2 shows a set of non-local spin precession curves generated with different anisotropy ratio 𝜉 and normalized contact resistance 𝑟=𝑟!\"#=𝑟!\"#. The external magnetic field is set to be 𝛽=45∘ from the sample surface. In the case of large contact resistance (Figure 2(a)), the effect of spin absorption is suppressed, and a significant variation in the line-shape of the non-local spin signal as function of spin lifetime anisotropy ratio 𝜉 is observed. As the contact resistance decreases, the conductance mismatch between the electrode and channel becomes prominent, and the effect of anisotropic spin precession is suppressed. When the device enters into the transparent contact regime, which is shown in figure 2(b), the contact induced spin relaxation dominates the overall spin relaxation. Under this condition, a set of wider spin precession curves is observed, with much less variation at different spin lifetime anisotropy ratio. The effect of finite contact resistance on anisotropic spin precession can be seen more clearly with the angular dependence of 𝑉!\"∗𝑏→∞. By taking the approximation that 𝑏→∞, a closed form solution of 𝑉!\"∗ can be calculated from our model \t10 𝑉!\"∗𝑏→∞=!!,!∙!!!!!\"#!!!!!\"#!!!!!!!!!!,!∙!!\"#!!!!!,!∙!!\"#!!!!!∙!(!,!)∙𝑒!!∙!!,!!!∙cos!𝛽 (13) with 𝑔𝛽,𝜉=1−!!cos!𝛽+!!. Eq. (13) should be used to fit the oblique Hanle signal at saturation vs. cos2(β) to extract an accurate value for the spin lifetime anisotropy ratio ξ. To check that this equation encompasses the previous model that does not include spin absorption, we take the limit of high contact resistance (𝑟!\"#,𝑟!\"#≫1), and the expression can be simplified as 𝑉!\"∗𝑏→∞=𝑔!!𝛽,𝜉∙𝑒!!∙!!,!!!∙cos!(𝛽) (14) Eq. (14) is the same as that in [78,85]. Figure 3 shows the angle dependent 𝑉!\"∗𝑏→∞ curves with different channel length and contact resistance generated with Eq. (11). In the case of a moderate channel length (𝐿 = 𝜆∥ ), the effect of anisotropic spin precession is clear in a high contact resistance device (figure 3(a)). However, the curves with different anisotropy ratio almost collapse onto a straight line representing 𝜉=1 as the device enters the transparent contact regime (figure 3(b)). With a much longer channel length (𝐿=3𝜆∥, figure 3(c, d)), the effect of anisotropic spin precession becomes more prominent, and the suppression of anisotropic spin precession due to the low contact resistance becomes less effective. However, there is still an obvious discrepancy between high contact resistance and transparent contact devices. In terms of experiment, such a discrepancy from contact induced spin relaxation can lead to a strong underestimation of the spin lifetime anisotropy ratio in the oblique spin precession measurement. The suppression of anisotropic spin precession can be understood by contact induced spin relaxation, which originates from the conductance mismatch between the electrode and spin diffusion channel. The spin current absorbed by an electrode with finite contact resistance can be \t11 expressed as 𝐼!\"#.!=𝜇!/𝑅! (15) where 𝜇! is the spin dependent chemical potential under the electrode, and 𝑅! is the effective contact resistance defined previously. The spin absorption acts as an additional spin sink for the spin population in the channel, which is equivalent to adding another relaxation source. Since we assume isotropic spin absorption, the additional contact induced spin relaxation will tend to bring the measured anisotropy ratio back to unity. For a device with high contact resistance, the contact induced spin relaxation is weak and the oblique spin precession is measuring mainly the intrinsic anisotropy ratio of the channel. However, when the contact is more transparent-like, the isotropic contact induced spin relaxation will dominate the signal, and make the anisotropic spin precession feature less obvious. To illustrate how contact induced spin relaxation affects extracting the spin lifetime anisotropy ratio from the oblique spin precession measurement, we perform the following simulation. First, we generate a set of angular dependent 𝑉!\"∗𝑏→∞ curves of different channel anisotropy ratio 𝜉!\"#$ and finite contact resistance with Eq. (13), then use Eq. (14) to fit the simulated curves while ignoring spin absorption effects and extract 𝜉!\"# . The difference between 𝜉!\"#$ and 𝜉!\"# can be used to quantify the effect of contact induced spin relaxation on oblique spin precession measurement. Figure 4(a) shows one example of the fitting process, where the normalized contact resistance is chosen to be 𝑟=1 for generating the simulated curves. Without considering the effect of contact resistance, Eq. (14) can still fit the line-shape of the generated angular dependent curves very well, but the fitted anisotropy ratio 𝜉 is consistently underestimated. In the case that 𝜉!\"#$=2.0, an underestimation of more than 15% is observed. To further understand the effect of contact induced spin relaxation, we perform the same \t12 fitting procedures with a wide range of normalized resistance 𝑟 and channel length 𝑙. Figure 4(b) shows the result with 𝜉=2. The difference of Δ𝜉=𝜉!\"#−𝜉!\"#$ normalized with the simulated 𝜉!\"#$ is shown on the graph. As seen in the plot, the accuracy of the extracted anisotropic spin precession measurement is mostly dominated by the normalized contact resistance. In the transparent regime, a discrepancy of more than 40% can be observed. The channel length has some influence in reducing Δξ, but the overall impact is limited. Our result shows that in order to accurately extract the spin lifetime anisotropy, the contact induced spin relaxation has to be minimized, and a model which considers finite contact resistance is preferred for analyzing the data. B. Determining the minimum channel length required for a sufficiently low saturation magnetic field 𝑩𝑺𝒂𝒕 In order to saturate the oblique spin precession signal at a sufficiently low external magnetic field 𝐵!\"#, the spin diffusion channel must be longer than a minimum channel length. This sets a special requirement in lateral spin valve fabrication for performing such a measurement. However, to date, there is no clear analytical study for the relationship between the minimum required channel length and the corresponding saturation magnetic field. In the following section, we show our approach in understanding this problem. We first discuss the case that 𝑟≫1, so the contact induced spin relaxation is minimized. This is the ideal case for oblique spin precession measurement, as discussed previously. In order to determine the relationship between 𝐵!\"# and the minimum channel length, we derive the expression of 𝑉!\"!, the non-local signal contribution from spin perpendicular to the magnetic field. Combining Eqs. (7), (9) and (10), and assuming that the magnetic field is large enough (𝑏≫1), \t13 𝑉!\"! can be written as 𝑉!\"!/𝐼 =𝑃!\"#𝑃!\"#𝑅!sin!𝛽∙2𝑏!!∙cos−𝑙∙𝑏/2−𝜋/4 ∙𝑒!!!/! (16) Figure 5(a) plots the 𝑉!\" vs. b curve from Eq. (16) (dashed line) and compares it with the 𝑉!\" vs. b curve from the general result (i.e. Eq. (11) with β = 90°) (solid line). The agreement between the two curves for high fields (e.g. b > 5) indicates that Eq. (16) describes the high field behavior of the spin precession curve very well. In the saturated limit, the perpendicular component of spin should be fully dephased and the magnitude of 𝑉!\"! should be negligible. We notice that the last exponential term 𝑒!!!/! in Eq. (16) determines the overall magnitude of 𝑉!\"!. Similar as Eq. (12), the relative magnitude of 𝑉!\"! compared to the total non-local voltage at zero magnetic field can be written as 𝑉!\"!∗∝𝑒!!!/!!! (17) Defining a threshold value for saturation as 𝑉!\"#∗=𝑉!\"∗𝑏=0∙10!!, the condition for saturation (i.e. 𝑉!\"!∗≤𝑉!\"#∗) generates a requirement for the channel length to be 𝑙𝑏/2−1≥𝜂𝑙𝑛10 (18) Considering that 𝑏=𝐵/𝜏∥𝛾!!!≫1 and 𝑙=𝐿/𝜆∥=𝐿/𝐷𝜏∥, one can derive that 𝐿≥!!!!\"#!!∙𝜂𝑙𝑛10=2𝐷𝐵!\"#𝛾!!!∙𝜂𝑙𝑛10 (19) We notice that from Eq. (19), the minimum channel length is only determined by the diffusion coefficient and 𝐵!\"#. This result can be understood as follows: in the oblique spin precession measurement, the spin relaxation rate is determined by both the intrinsic mechanism and the spin dephasing due to the external magnetic field. In the limit 𝜏∥≫𝐵!\"#𝛾!!!, spin relaxation due to dephasing dominates, resulting in an effective spin diffusion length 𝜆!\"\"=𝐷𝜏!∝𝐷𝛾!𝐵!\"#!! . To fully minimize the signal from the perpendicular spin population, \t14 𝐿/𝜆!\"\" ∝𝛾!𝐿!𝐵!\"#/𝐷 ≫1. This leads to 𝐿≫𝐷𝛾!𝐵!\"#!!, which is the same as the result in Eq. (19). The derivation of Eq. (19) requires the assumption that 𝑏=𝜏∥/𝐵!\"#𝛾!!! ≫1. We justify that such an assumption is almost always valid in graphene lateral spin valves. Choosing 𝐵!\"#=150 𝑚𝑇 as a typical low value to be used in oblique spin precession measurement, we can calculate 𝐵!\"#𝛾!!!=38 ps, which is indeed much smaller than the spin lifetime of graphene that are currently observed in experiments. Eq. (19) shows that the oblique spin precession is still a good method to determine spin lifetime anisotropy for graphene with long spin lifetime and spin diffusion length. For example, in a device with currently the highest reported spin lifetime and spin diffusion length (12 𝑛𝑠, 30 𝜇𝑚 as shown in [7]), the diffusion coefficient can be calculated as D=!!!=0.075 𝑚!𝑠!!. Assuming that the threshold 10!!=10!! and 𝐵!\"#=150 𝑚𝑇, a minimum channel length of 16.5 𝜇𝑚 is needed. This channel length is much more feasible for device fabrication and characterization compared to previously estimated 2𝜆=42.4 𝜇𝑚. [7,78] Finally, we discuss the minimum channel length for a lateral spin valve over a range of contact resistances. We discuss the effect through plotting the spin precession curves 𝑉!\"!∗(𝐵) with different normalized contact resistances. Figure 5(b) shows a set of such plots, assuming 𝜏∥=12 𝑛𝑠, 𝐷=0.075 𝑚!𝑠!!, and 𝐿=16.5 𝜇𝑚. As shown in the figure, 𝐵!\"#=150 𝑚𝑇 is enough to saturate the spin signal even with 𝑟=1 (green curve), thus the criterion we developed in Eq. (19) is still valid for devices with moderate contact resistance. However, the same 𝐵!\"# is clearly not enough to fully dephase the spin signal when 𝑟 is even smaller (red and blue curve). This can be understood as following: As the contact resistance decreases, the contact induced spin relaxation starts dominating the spin transport, making the observed spin lifetime 𝜏!\" \t15 shorter than 𝜏∥. When the contact induced spin relaxation is strong enough, 𝜏!\" will be greatly suppressed and the assumption 𝑏=𝜏!\"/𝐵!\"#𝛾!!!≫1 becomes not valid anymore. As a result, a longer channel length is required for lateral spin valves with strong contact induced spin relaxation. The result is the same for devices with different parameters according to our simulation. This further shows that a non-local spin valve with tunnel barrier is preferred for oblique spin precession measurement. IV. CONCLUSIONS We have proposed a model based on steady-state Bloch equation to describe oblique spin precession in lateral spin valve. Our model considers the effect of finite contact resistance on spin lifetime anisotropy measurement. We demonstrate that the contact induced spin relaxation can strongly suppress the anisotropic spin precession signature in the measurement, which can lead to underestimation of the spin lifetime anisotropy. To solve this issue, we develop a closed form equation for extracting the spin lifetime anisotropy ratio, which accounts for the effect of finite contact resistance. Furthermore, we also derived the relationship between saturation magnetic field 𝐵!\"# and the minimum required channel length. We show that for graphene lateral spin valves, the minimum required channel length is mostly determined by both 𝐵!\"# and the diffusion coefficient of the channel. As a result, the oblique spin precession measurement is suitable for studying graphene lateral spin valves with long spin lifetimes. ACKNOWLEDGEMENTS We acknowledge the technical assistance of Dongying Wang and support from the US Department of Energy (Grant No. DE-SC0018172). \t16 REFERENCES [1] I. Žutić, J. Fabian, and S. D. Sarma, Rev. Mod. Phys. 76, 323 (2004). [2] W. Han, R. K. Kawakami, M. Gmitra, and J. Fabian, Nat. Nanotechnol. 9, 794 (2014). [3] N. Tombros, C. Jozsa, M. Popinciuc, H. T. Jonkman, and B. J. van Wees, Nature 448, 571 (2007). [4] W. Han, K. Pi, K. M. McCreary, Y. Li, J. J. I. Wong, A. G. Swartz, and R. K. Kawakami, Phys. Rev. Lett. 105, 167202 (2010). [5] P. J. Zomer, M. H. D. Guimarães, N. Tombros, and B. J. van Wees, Phys. Rev. B 86, 161416 (2012). [6] M. Drögeler, F. Volmer, M. Wolter, B. Terrés, K. Watanabe, T. Taniguchi, G. Güntherodt, C. Stampfer, and B. Beschoten, Nano Lett. 14, 6050 (2014). [7] M. Drögeler, C. Franzen, F. Volmer, T. Pohlmann, L. Banszerus, M. Wolter, K. Watanabe, T. Taniguchi, C. Stampfer, and B. Beschoten, Nano Lett. 16, 3533 (2016). [8] J. Ingla-Aynés, R. J. Meijerink, and B. J. van Wees, Nano Lett. 16, 4825 (2016). [9] M. Gurram, S. Omar, S. Zihlmann, P. Makk, C. Schönenberger, and B. J. van Wees, Phys. Rev. B 93, 115441 (2016). [10] S. Singh, J. Katoch, J. Xu, C. Tan, T. Zhu, W. Amamou, J. Hone, and R. K. Kawakami, Appl. Phys. Lett. 109, 122411 (2016). [11] A. Avsar, I. J. Vera-Marun, J. Y. Tan, G. K. W. Koon, K. Watanabe, T. Taniguchi, S. Adam, and B. Özyilmaz, NPG Asia Materials 8, e274 (2016). [12] S. Singh, J. Katoch, T. Zhu, R. J. Wu, A. S. Ahmed, W. Amamou, D. Wang, K. A. Mkhoyan, and R. K. Kawakami, Nano Lett. 17, 7578 (2017). [13] H. Dery, H. Wu, B. Ciftcioglu, M. Huang, Y. Song, R. Kawakami, J. Shi, I. Krivorotov, I. \t17 Zutic, and L. J. Sham, IEEE Trans. Elec. Dev. 59, 259 (2012). [14] C.-C. Lin, A. V. Penumatcha, Y. Gao, V. Q. Diep, J. Appenzeller, and Z. Chen, Nano Lett. 13, 5177 (2013). [15] C.-C. Lin, Y. Gao, A. V. Penumatcha, V. Q. Diep, J. Appenzeller, and Z. Chen, ACS Nano 8, 3807 (2014). [16] H. Wen, H. Dery, W. Amamou, T. Zhu, Z. Lin, J. Shi, I. Žutić, I. Krivorotov, L. J. Sham, and R. K. Kawakami, Phys. Rev. Applied 5, 044003 (2016). [17] J. Hu, G. Stecklein, Y. Anugrah, P. A. Crowell, and S. J. Koester, arXiv:1712.00550v1 (2017). [18] Y. K. Luo, J. Xu, T. Zhu, G. Wu, E. J. McCormick, M. R. Neupane, and R. K. Kawakami, Nano Lett. 17, 3877 (2017). [19] A. Avsar, D. Unuchek, J. Liu, O. Lopez Sanchez, K. Watanabe, T. Taniguchi, B. Ozyilmaz, and A. Kis, ACS Nano 11, 11678 (2017). [20] J. C. Meyer, A. K. Geim, M. I. Katsnelson, K. S. Novoselov, T. J. Booth, and S. Roth, Nature 446, 60 (2007). [21] K. I. Bolotin, K. J. Sikes, J. Hone, H. L. Stormer, and P. Kim, Phys. Rev. Lett. 101, 096802 (2008). [22] X. Du, I. Skachko, A. Barker, and E. Y. Andrei, Nat. Nanotechnol. 3, 491 (2008). [23] C. R. Dean, A. F. Young, I. Meric, C. Lee, L. Wang, S. Sorgenfrei, K. Watanabe, T. Taniguchi, P. Kim, and K. L. Shepard, Nat. Nanotechnol. 5, 722 (2010). [24] A. S. Mayorov, R. V. Gorbachev, S. V. Morozov, L. Britnell, R. Jalil, L. A. Ponomarenko, P. Blake, K. S. Novoselov, K. Watanabe, T. Taniguchi, and A. K. Geim, Nano Lett. 11, 2396 (2011). \t18 [25] L. Wang, I. Meric, P. Y. Huang, Q. Gao, Y. Gao, H. Tran, T. Taniguchi, K. Watanabe, L. M. Campos, and D. A. Muller, Science 342, 614 (2013). [26] T. Ohta, A. Bostwick, T. Seyller, K. Horn, and E. Rotenberg, Science 313, 951 (2006). [27] J.-H. Chen, C. Jang, S. Adam, M. Fuhrer, E. Williams, and M. Ishigami, Nat. Phys. 4, 377 (2008). [28] K. M. McCreary, A. G. Swartz, W. Han, J. Fabian, and R. K. Kawakami, Phys. Rev. Lett. 109, 186604 (2012). [29] R. R. Nair, M. Sepioni, I.-L. Tsai, O. Lehtinen, J. Keinonen, A. V. Krasheninnikov, T. Thomson, A. K. Geim, and I. V. Grigorieva, Nat. Phys. 8, 199 (2012). [30] X. Hong, K. Zou, B. Wang, S. H. Cheng, and J. Zhu, Phys. Rev. Lett. 108, 226602 (2012). [31] J. Katoch, Synth. Met. 210, 68 (2015). [32] H. González-Herrero, J. M. Gómez-Rodríguez, P. Mallet, M. Moaied, J. J. Palacios, C. Salgado, M. M. Ugeda, J.-Y. Veuillen, F. Yndurain, and I. Brihuega, Science 352, 437 (2016). [33] S. Tjung, S. M. Hollen, G. A. Gambrel, E. Johnston-Halperin, and J. A. Gupta, Carbon 124, 97 (2017). [34] A. K. Geim and I. V. Grigorieva, Nature 499, 419 (2013). [35] A. Avsar, J. Y. Tan, J. Balakrishnan, G. K. W. Koon, J. Lahiri, A. Carvalho, A. Rodin, T. Taychatanapat, E. O'Farrell, G. Eda, A. H. Castro Neto, and B. Özyilmaz, Nat. Commun. 5, 4875 (2014). [36] Z. Wang, C. Tang, R. Sachs, Y. Barlas, and J. Shi, Phys. Rev. Lett. 114, 016603 (2015). [37] M. Gmitra and J. Fabian, Phys. Rev. B 92, 155403 (2015). [38] Z. Wang, D.-K. Ki, H. Chen, H. Berger, A. H. MacDonald, and A. F. Morpurgo, Nat. \t19 Commun. 6, 8339 (2015). [39] B. Yang, M.-F. Tu, J. Kim, Y. Wu, H. Wang, J. Alicea, R. Wu, M. Bockrath, and J. Shi, 2D Mater. 3, 031012 (2016). [40] Z. Wang, D.-K. Ki, J. Y. Khoo, D. Mauro, H. Berger, L. S. Levitov, and A. F. Morpurgo, Phys. Rev. X 6, 041020 (2016). [41] J. C. Leutenantsmeyer, A. A. Kaverzin, M. Wojtaszek, and B. J. van Wees, 2D Mater. 4, 014001 (2016). [42] P. Wei, S. Lee, F. Lemaitre, L. Pinel, D. Cutaia, W. Cha, F. Katmis, Y. Zhu, D. Heiman, J. Hone, J. S. Moodera, and C.-T. Chen, Nat. Mater. 15, 711 (2016). [43] W. Yan, O. Txoperena, R. Llopis, H. Dery, L. E. Hueso, and F. Casanova, Nat. Commum. 7, 13372 (2016). [44] A. W. Cummings, J. H. Garcia, J. Fabian, and S. Roche, Phys. Rev. Lett. 119, 206601 (2017). [45] S. Singh, J. Katoch, T. Zhu, K.-Y. Meng, T. Liu, J. T. Brangham, F. Yang, M. E. Flatté, and R. K. Kawakami, Phys. Rev. Lett. 118, 187201 (2017). [46] M. Gmitra and J. Fabian, Phys. Rev. Lett. 119, 146401 (2017). [47] B. Yang, M. Lohmann, D. Barroso, I. Liao, Z. Lin, Y. Liu, L. Bartels, K. Watanabe, T. Taniguchi, and J. Shi, Phys. Rev. B 96, 041409 (2017). [48] A. Dankert and S. P. Dash, Nat. Commum. 8, 16093 (2017). [49] L. A. Benítez, J. F. Sierra, W. S. Torres, A. Arrighi, F. Bonell, M. V. Costache, and S. O. Valenzuela, Nat. Phys., doi:10.1038/s41567 (2017). [50] T. S. Ghiasi, J. Ingla-Aynés, A. Kaverzin, and B. J. van Wees, Nano Lett. 17, 7528 (2017). \t20 [51] M. Gmitra, S. Konschuh, C. Ertler, C. Ambrosch-Draxl, and J. Fabian, Phys. Rev. B 80, 235431 (2009). [52] S. Konschuh, M. Gmitra, and J. Fabian, Phys. Rev. B 82, 245412 (2010). [53] D. Kochan, S. Irmer, and J. Fabian, Phys. Rev. B 95, 165415 (2017). [54] T. Frank, M. Gmitra, and J. Fabian, Phys. Rev. B 93, 155142 (2016). [55] T. Frank, S. Irmer, M. Gmitra, D. Kochan, and J. Fabian, Phys. Rev. B 95, 035402 (2017). [56] D. Marchenko, A. Varykhalov, M. Scholz, G. Bihlmayer, E. Rashba, A. Rybkin, A. Shikin, and O. Rader, Nat. Commun. 3, 1232 (2012). [57] I. I. Klimovskikh, O. Vilkov, D. Y. Usachov, A. G. Rybkin, S. S. Tsirkin, M. V. Filianina, K. Bokai, E. V. Chulkov, and A. M. Shikin, Phys. Rev. B 92, 165402 (2015). [58] N. A. Sinitsyn, J. E. Hill, H. Min, J. Sinova, and A. H. MacDonald, Phys. Rev. Lett. 97, 106804 (2006). [59] C. Weeks, J. Hu, J. Alicea, M. Franz, and R. Wu, Phys. Rev. X 1, 021001 (2011). [60] J. Balakrishnan, G. K. W. Koon, M. Jaiswal, A. H. Castro Neto, and B. Özyilmaz, Nat. Phys. 9, 284 (2013). [61] J. Balakrishnan, G. K. W. Koon, A. Avsar, Y. Ho, J. H. Lee, M. Jaiswal, S.-J. Baeck, J.-H. Ahn, A. Ferreira, M. A. Cazalilla, A. H. Castro Neto, and B. Özyilmaz, Nat. Commun. 5 (2014). [62] D. Van Tuan, J. M. Marmolejo-Tejada, X. Waintal, B. K. Nikolić, S. O. Valenzuela, and S. Roche, Phys. Rev. Lett. 117, 176602 (2016). [63] J. H. Garcia, A. W. Cummings, and S. Roche, Nano Lett. 17, 5078 (2017). [64] C. Tang, B. Cheng, M. Aldosary, Z. Wang, Z. Jiang, K. Watanabe, T. Taniguchi, M. Bockrath, and J. Shi, arXiv:1710.04179 (2017). \t21 [65] C. L. Kane and E. J. Mele, Phys. Rev. Lett. 95, 226801 (2005). [66] M. Gmitra, D. Kochan, P. Högl, and J. Fabian, Phys. Rev. B 93, 155104 (2016). [67] R. Nandkishore and L. Levitov, Phys. Rev. B 82, 115124 (2010). [68] Z. Qiao, S. A. Yang, W. Feng, W.-K. Tse, J. Ding, Y. Yao, J. Wang, and Q. Niu, Phys. Rev. B 82, 161414 (2010). [69] W.-K. Tse, Z. Qiao, Y. Yao, A. H. MacDonald, and Q. Niu, Phys. Rev. B 83, 155447 (2011). [70] H. Zhang, C. Lazo, S. Blügel, S. Heinze, and Y. Mokrousov, Phys. Rev. Lett. 108, 056802 (2012). [71] Z. Qiao, W. Ren, H. Chen, L. Bellaiche, Z. Zhang, A. H. MacDonald, and Q. Niu, Phys. Rev. Lett. 112, 116404 (2014). [72] D. Huertas-Hernando, F. Guinea, and A. Brataas, Phys. Rev. Lett. 103, 146801 (2009). [73] C. Ertler, S. Konschuh, M. Gmitra, and J. Fabian, Phys. Rev. B 80, 041405 (2009). [74] W. Han and R. K. Kawakami, Phys. Rev. Lett. 107, 047207 (2011). [75] D. Van Tuan, F. Ortmann, D. Soriano, S. O. Valenzuela, and S. Roche, Nat. Phys. 10, 857 (2014). [76] J. Bundesmann, D. Kochan, F. Tkatschenko, J. Fabian, and K. Richter, Phys. Rev. B 92, 081403 (2015). [77] J. Fabian, A. Matos-Abiague, C. Ertler, P. Stano, and I. Zutic, Acta Phys. Slovaca 57, 565 (2007). [78] B. Raes, J. E. Scheerder, M. V. Costache, F. Bonell, J. F. Sierra, J. Cuppens, J. Van de Vondel, and S. O. Valenzuela, Nat. Commun. 7, 11444 (2016). [79] N. Tombros, S. Tanabe, A. Veligura, C. Jozsa, M. Popinciuc, H. T. Jonkman, and B. J. \t22 van Wees, Phys. Rev. Lett. 101, 046601 (2008). [80] M. H. D. Guimarães, P. J. Zomer, J. Ingla-Aynés, J. C. Brant, N. Tombros, and B. J. van Wees, Phys. Rev. Lett. 113, 086602 (2014). [81] H. Idzuchi, A. Fert, and Y. Otani, Phys. Rev. B 91, 241407 (2015). [82] E. Sosenko, H. Wei, and V. Aji, Phys. Rev. B 89, 245436 (2014). [83] G. Stecklein, P. A. Crowell, J. Li, Y. Anugrah, Q. Su, and S. J. Koester, Phys. Rev. Applied 6, 054015 (2016). [84] W. Amamou, Z. Lin, J. van Baren, S. Turkyilmaz, J. Shi, and R. K. Kawakami, APL Materials 4, 032503 (2016). [85] B. Raes, A. W. Cummings, F. Bonell, M. V. Costache, J. F. Sierra, S. Roche, and S. O. Valenzuela, Phys. Rev. B 95, 085403 (2017). Fig.1.(a)Schematicdrawingofnon-localspinvalvegeometryundermagneticfield.ForconventionalHanlemeasurement,themagneticfieldisperpendiculartothechannelmaterial(!=90∘).Intheobliquespinprecessionmeasurement,!isvariedbetween0∘~90∘,andamagneticfielddependentnon-localvoltageismeasured.(b)TheCartesianframeusedinthemodeling.\n-250250.00.51.0V*NL(b)b = B/(t||gc)-1-250250.00.51.0x = 2.00x = 1.50x = 1.00x = 0.70V*NL(b)b = B/(t||gc)-1x = 0.50(a)(b)\nFig.2.(a,b)Non-localspinprecessioncurveswithhighcontactresistance(+\t=\t100)andtransparentcontacts(+=0.01),respectively.Curvesineachfiguresfromtoptobottomcorrespondto/=2.0,1.5,1.0,0.7,0.5.Allthecurvesaregeneratedwithparameters4=2and!=45∘.Fig.3.Angledependenceof678∗:→∞calculatedwithEq.(13),withtunnelingcontact(a,c)andtransparentcontact(b,d)resistanceanddifferentchannellength.Thefeatureofspinlifetimeanisotropyismuchobviouswithhighcontactresistanceandlongerchannellength.Fig.4.(a)Fittingofthesimulatedobliquespinprecessioncurves.Theopencirclesaredatafromsimulation,withl=2andr=1.ThesolidlinesarefittingsofthesimulatedcurvewithEq.(14),consideringnocontactinducedspinrelaxation.(b)SimulationofthefittingerrorΔ///withdifferentcontactresistance+andchannellength4.Thedashedlinesmarkedtheconditionwhentheerroris10%,5%and1%.Fig.5.(a)SimulatedHanlespinprecessioncurvefromEq.(11)(solid)and678?fromEq.(16)(dashed),assuming4=2.Bothcurvesarenormalizedwiththevalueat:=0.BecauseEq.(16)isfor:≫1,onlythevaluesfor:>5ofthedashcurveisplotted.Theinsetshowsthesamecurveinthesemi-logscale.(b)Asetof678∗curvessimulatedwithdifferentnormalizedcontactresistance.Insetshowsthesamedataplottedinthesemi-logscale.Thedashedlineshows678∗=10BC,(D=3)." }, { "title": "1909.10185v1.Nanoscale_ballistic_diodes_made_of_polar_materials_for_amplification_and_generation_of_radiation_in_10_THz_range.pdf", "content": "arXiv:1909.10185v1 [physics.app-ph] 23 Sep 2019Nanoscale ballistic diodes made of polar materials for\namplification and generation of radiation in 10 THz-range\nV. A. Kochelap,1V. V. Korotyeyev,1,a)Yu. M. Lyashchuk,1and K. W. Kim2\n1)Department of Theoretical Physics, Institute of Semicondu ctor Physics, Kyiv 03650,\nUkraine\n2)Department of Electrical and Computer Engineering, North C arolina State University,\nRaleigh, North Carolina 27695-7911\nWe investigate ultra-high frequency electrical properties of nano scalen+−i−n+\ndiodes made of polar semiconductors. The calculations show that th e coupling be-\ntween optical vibrations of the lattice and the ballistic electrons str ongly modifies\nand enhances the time-of-flight effects giving rise to narrow reson ances of the diode\nimpedance in the reststrahlen frequency range. Particularly, neg ative dynamic re-\nsistanceis induced incloseproximitytothe opticalphononfrequenc y. The resonant\neffects in the dynamic resistance of nanoscale GaAs and InP diodes a re studied in\ndetail. The obtained magnitudes of the negative dynamic resistance effect indicate\nthat the nanoscale diodes are capable of generating electromagne tic radiation in\nfar-infrared spectral range under electric pumping.\nI. INTRODUCTION\nThe interactionofballistic electronswith the electromagneticradiat ionoffersa significant\nopportunity forgenerationanddetection ofultra-highfrequenc yradiation. Particularly,the\ntwo- and three-terminal structures scaled down to the nanomet er dimensions can access the\nTHz frequency range by taking advantage of the ultra-fast semic lassicalballistic electron\ntransport .1–5It is believed that nanoscale ballistic devices have already reached to the point\nwhere their basic effects and operational principles are very similar t o those of the elec-\ntron vacuum tubes exploiting the time-of-flight effects (see, for e xample, Ref 6). However,\nsemiconductor materials possess a number of inherent properties different from the vacuum\ntubes. For example, the nonparabolic energy dispersion in the semic onductors can lead to\nthe negative effective masses4and the velocity saturation5at large electron energies, which\ndrastically modify both steady state and high frequency paramete rs of the ballistic diodes.\nAnother important factor giving rise to characteristic features o f solid-state ballistic de-\nvices is the frequency dependence of the dielectric permittivity κ(ω). Indeed, the high fre-\nquency response of these devices and, particularly, the negative dynamic resistance (NDR)\neffect arise due to the correlation between the electronic motion an d the space charge dy-\nnamics.3–5,7,8The latter are strongly influenced by the screening effects. Polariz ationof the\ncrystal lattice also contributes to the screening. In the importan t case of polarmaterials,\nthe lattice polarization via optical vibrations determines the dielectr ic permittivity as:9\nκ(ω) =κ∞+(κ0−κ∞)ω2\nTO\nω2\nTO−ω2−2iγω, (1)\nwhereκ0andκ∞are the low frequency and high frequency permittivities, respectiv ely,ωTO\nis the frequency of the transverse optical vibrations, and γis the optical phonon damping.\nThe frequency of the longitudinal optical vibrations, ωLO, equals to/radicalBig\nκ0\nκ∞ωTO. The permit-\ntivity,κ(ω), varies considerably near the frequency ωLOandRe[κ(ω)] can change its sign\nin the interval [ ωTO,ωLO], which is known as the reststrahlen frequency range (RFR).10,11\nWhen in a diode, the electron time-of-flight, τtr, is ofthe orderof 2 π/ωLO, one can expect\nresonant effects in high frequency resistivity. Physics-based exp lanation of expected effects\na)E-mail:koroteev@ukr.net2\nis obvious. Under the mentioned condition, characteristic frequen cies of ballistic charge\ntransfer across the diode are in the resonance with the polarizatio n lattice vibrations. This\nresultsinasharpenhancementofmutualinfluenceoftheelectron andpolarizationdynamics\nin the narrow RFR. In general, a time dependent perturbation of th e voltage applied to the\ndiode can be presented as a Fourier expansion with different freque ncies. One can suppose\nthat the Fourier components of the diode response (the current ) to this perturbation with\nfrequencies close to ωLOwill be resonantly increased.\nNote, for semiconductor materials and heterostructures widely u sed in high speed elec-\ntronics, the characteristic frequencies of optical phonons vary in a wide THz range. In III-V\ncompounds ωLOarein the range5THz (InSb) to 12THz (GaP)13; forthe group-III-nitrides\nωLOare of 18 to 22 THz11,12, etc. Currently, for this frequency range the physical effects\nare actively studied,14–18as well as their device applications.19–26\nIn this paper, we investigate ultra-high frequency electron respo nse of nanoscale ballistic\ndiodes. For the nanoscale structures made of a polar material, it is f ound that the po-\nlarization lattice vibrations provide the dynamic screening and contr ibute to the resonant\nhigh frequency response, leading to large effects in the resistivity a nd, particularly, to an\nenhanced NDR effect in the RFR. This mechanism can provide an electr ical means to am-\nplify and generate electromagnetic radiation in 10–THz frequency r ange, i.e., far-infrared\nradiation.\nTo study the resonant enhanced effects in the resistivity for the R FR, we analyze a short\nn+−i−n+diode assuming ballistic electron motion and frequency dependent pe rmittivity\nof Eq. (1) in the i-region (0 < x < L). Two models of the electron transport are considered:\nthe model of monoenergetic electron injection into the i–region (the base) and the model\nbased on the Boltzmann transport equation for the injected elect rons.\nII. MODEL OF MONOENERGETIC ELECTRON INJECTION\nWe begin with the analysis of a simple model of the diode with injection of monoenergetic\nelectrons from cathode to the i−base. This model facilitates the understanding of main\nfeatures of the high-frequency electron dynamics and resistivity of the diode in the RFR. To\ndescribe the semiclassical space-charge-limited transport of ballis tic electrons we introduce\nthe velocity, V(x,t), the electron density, n(x,t), the electric field, F(x,t), and the electron\ncurrent density, J(x,t) =−en(x,t)V(x,t). Here the xcoordinate varies along the diode\nbase: 0≤x≤L. Forthisapproach,thebasicequationsaretheNewton’slaw,thec ontinuity\nequation, and the Poisson equation:\n∂V\n∂t+V∂V\n∂x=−e\nmF, (2)\n∂J\n∂x−e∂n\n∂t= 0, (3)\n∂D\n∂x=−4πen. (4)\nHere,mistheelectroneffectivemassand D(x,t)istheelectricdisplacement. InEqs.(2)-(4),\nevery variable can be presented as a sum of steady state and time d ependent contributions:\nV=V0(x)+Vω(x)exp(−iωt), F=F0(x)+Fω(x)exp(−iωt),J=J0(x)+Jω(x)exp(−iωt),\netc. Then, onecandefine D=D0(x)+Dω(x)exp(−iωt)withD0=κ0F0andDω=κ(ω)Fω.\nThe expression for κ(ω) is as provided in Eq. (1). The dynamic lattice polarization, Pω(x),\ncan be calculated via the alternative electric field Fω(x):\nPω(x) =κ(ω)−κ∞\n4πFω(x). (5)\nFrom Eqs. (3) and (4), it follows that the sum of the conductivity cu rrent and the dis-\nplacement current (i.e., the total current) is constant througho ut the diode. This leads to\nJ0=constant andJω(x)−iωκ(ω)\n4πFω(x) =Jω=constant for the steady state and time3\ndependent problems, respectively. With given J0andJω, both problems are reduced to\ndetermining two unknown functions, for example, V(x) andF(x).\nBelow we use the ”virtual cathode approximation”27, correspondingly, we set V0(0) =Vi\n(i.e., the initial velocity)and F0(0) = 0for the steady stateproblem, and Vω(0) =Fω(0) = 0\nfor the time dependent case, respectively. We also assume that th ere is no reflection of the\nelectrons from the anode28.\nThe steady state problem has a well-known solution in the implicit form:\n/radicalbig\nV0(x)−Vi(V0(x)+2Vi) = 3/radicalBigg\n2πe|J0|\nκ0mx, (6)\nF0(x) =−/radicalBigg\n8πm|J0|\neκ0/radicalbig\nV(x)−Vi, (7)\nwithxvarying from 0 to L. The current-voltage characteristic is given in a parametric\nform:\n|J0|=κ0m\n18πeL2(Vf−Vi)(Vf+2Vi)2, (8)\nU0=1\ne(mV2\nf/2−mV2\ni/2), (9)\nwhereU0is the applied voltage and Vf≡V0(L) has the meaning of the electron velocity at\nthe anode. At large biases, these formulae lead to the Child’s law:27\n|J0| ≈κ0\n9πL2/radicalbigg\n2e\nmU3/2\n0, V(x)≈/bracketleftbigg18πe|J0|\nκ0m/bracketrightbigg1/3\nx2/3.\nFor the time-dependent problem, we obtain two linear differential eq uations:\nV0d(V0Vω)\ndx−iωV0Vω+e\nmV0Fω= 0, (10)\nV0dFω\ndx−iωFω−4π|J0|\nκ(ω)V0Vω=4π\nκ(ω)Jω. (11)\nAn important property of these equations is the x-dependencies of the coefficients via the\nsteady state solution V0(x). To solve Eqs. (10), (11), it is convenient to introduce a dimen-\nsionless variable, ζ, in place of x:\nζ=/radicalBigg\nV0(x)−Vi\nVi,0≤ζ≤ζf≡/radicalbigg\nVf−Vi\nVi,\nas well as dimensionless functions in place of the electron velocity Vωand the field Fω:\nν=κ(ω)m\n36πeL2Jω(Vf−Vi)(Vf+2Vi)2\nVi2e−iθwζV0Vω, (12)\nf=κ(ω)\n12πLJω/radicalbigVf−Vi(Vf+2Vi)√Vie−iθwζFω, (13)\nwhere\nθ=3ωLOL√Vi/radicalbigVf−Vi(Vf+2Vi), w=ω\nωLO. (14)\nIn such a formulation, the results are parametrically dependent on dimensionless applied\nvoltage,u0, and initial velocity, vi,:\nu0=e U0\n/planckover2pi1ωLO, vi=Vi\nVLO, VLO=/radicalbigg\n2/planckover2pi1ωLO\nm. (15)4\nThen, Eqs. (10) and (11) can be rewritten in a simpler form:\ndν\ndζ+[1+ζ2]f= 0,df\ndζ−I(w)\n[1+ζ2]2ν=e−iθwζ, (16)\nHere, only the second equation parametrically depends on frequen cy via the resonant factor\nI(w) = 2κ0/κ(wωLO) and the oscillating exponential in the right hand side ( rhs). The\nlatter determines time-of-flight resonances of ballistic electrons a t a given frequency and,\nparticularly, the optical phonon - transit time resonance at w≈1. According to the above\ndiscussion, the boundary conditions for Eqs. (16) are ν(0) =f(0) = 0. If ν(ζ) andf(ζ) are\nfound, coordinate dependencies Vω(x) andFω(x) can be recovered by using Eqs. (12), (13)\nand the following relationships:\nζ(ζ2+3) = 3/radicalBigg\n2πe|J0|\nκ0mV3\nix≡ζf(ζ2\nf+3)x\nL. (17)\nIt is useful to indicate that maximum of the phase of the exponentia l in the rhsof the\nsecond Eq. (16) is:\nθwζf=ωτtr, (18)\nwhere the time-of-flight, τtr, is determined as\nτtr=/integraldisplayL\n0dx\nV0(x)=3L\n(3+ζ2\nf)Vi.\nEqs. (16) can be solved exactlyin terms of the Legendre functions29,P[a,b,x] and\nQ[a,b,x]. Indeed, from the system of Eqs. (16) one can obtain a single seco nd order differ-\nential equation,\n(1+ζ2)d2ν\ndζ2−2ζdν\ndζ+I(w)ν=−(1+ζ2)2e−iθwζ. (19)\nCorresponding homogeneous equation has the following independen t solutions:\n(1+ζ2)×P/bracketleftBigg/radicalbig\n9−4I(w)−1\n2,2,iζ/bracketrightBigg\n,\n(1+ζ2)×Q/bracketleftBigg/radicalbig\n9−4I(w)−1\n2,2,iζ/bracketrightBigg\n.\nTwo independent solutions of a linear homogeneous second order diff erential equation allow\none to construct a general solution to nonhomogeneous Eq. (19) . The resulting expres-\nsion for this solution is cumbersome and is not presented in this paper . Instead, we shall\nconcentrate on the discussion of conclusions, which follow from this solution.\nAtthezeroboundaryconditions,thetime-dependentvariationso fthevelocity, Re[Vωe−iωt],\nand the field, Re[Fωe−iωt], are induced entirely by the external acelectric bias. By solving\nEqs. (16), (19) for ν(ζ) andf(ζ), and using formulae (12), (13) and (17), we found that\nin the RFR the velocity and the field are critically dependent on the fre quency. In Fig.\n1 (a) we show ’snapshots’ of the field-coordinate dependencies fo r three frequencies close\ntoωLOat the same stationary current (voltage) and a given amplitude of t he alternative\ncurrent,Jω, which is set a real and positive value. The fields are oscillating functio ns ofx/L\nwith growing amplitudes along the electron flow. From Eq. (5) it follows that the lattice\npolarization, Pω(x/L), behaves similarly to the alternative field, however, in the RFR it is\nopposite in phase. The effect of growing field amplitudes can be direct ly seen from Eq. (16),\nwhere parameter Re[I(w)] is negative in the RFR and both Re[I(w)], Im[I(w)] become\nlarge at w→1 (i.e.,ω→ωLO). For comparison, in Fig. 1 (b) we present the ’snapshot’5\nFIG. 1. (a): ’Snapshots’ of the field-coordinate dependenci es for three frequencies close to ωLO: 1\n-w= 0.997, 2 - 0 .993, 3 - w= 0.9995. (b): The same as in (a) for the case of neglecting lattic e\npolarization ( κ∞=κ0) andw= 0.997. Assumed parameters: L= 75 nm, g= 0.005, u0= 2,vi=\n0.2.’Snapshots’ are presented for the moment t= 0.\nof the field-coordinate dependency for the case, when the lattice polarization is neglected\n(κ∞=κ0). The assumed parameters of the diode and the currents J0andJωare the same\nas for Fig. 1 (a). It is seen, that the alternative field is still oscillating , but its amplitude\ndecreases along the electron flow.\nBy using real and imaginary parts of VωandFωwe found that spatio-temporal depen-\ndencies of the electron velocity and the electric field are in the form o f waves propagating\nfrom the cathode to the anode; their amplitudes increase toward t he anode.\nOnce the ν(ζ) dependence is obtained, we can calculate the diode impedance (per unit\narea of the device cross-section) as\nZ(ω) =−/integraltextL\n0dxFω\nJω= (20)\n36πL2V2\ni\nκ(ω)(Vf−Vi)(Vf+2Vi)2/integraldisplayζf\n0dζdν(ζ)\ndζeiθζ.\nIn Fig. 2, examples of the calculations of the diode impedance, Z(w), are shown. For this\nparticular diode, we found τtr≈0.28 ps,ωLOτtr/2π≈2.5. In the main part of the figure\n(panel (c)), the real and imaginary parts of Z(w) are presented for the narrow frequency\ninterval near w= 1 (ω→ωLO). For the used parameters (see discussion below), the\nRFR is 0 .915< w <1. In Fig. 2 (a), Re[Z(w)] is shown for the magnified frequency\ninterval, 0 .85< w <1.05. In Fig. 2 (b), the same is shown for the case, when the dynamic\npolarization of the lattice is neglected, i.e., κ∞=κ0. For the latter case, the frequency\ndependence of Z(ω) is solely due to the time-of-flight effects (see, for example, Ref. 5 and\n7).6\nFrom presented results it follows that the lattice vibrations in polar m aterials give rise to\nstrong modifications of the high-frequency resistivity in the RFR ne ar the optical phonon\nfrequency. Both Re[Z(w)] andIm[Z(w)] demonstrate a few oscillations with amplitudes\ntens time largerthan the differential resistivity in the steady state regime,Re[Z(0)]. Partic-\nularly,Im[Z(w)] shows alternative inductive and capacitive characters, in oppos ite to the\nexclusively inductive character in the case of dispersionless permitt ivity shown in Fig. 2(b).\nWhileRe[Z(w)] showstwonarrowbands0 .94< w <0.985and0 .995< w <0.999with neg-\native dynamic resistance, that reaches values minRe[Z]1=−0.36×Z(0) atwm1= 0.98 and\nminRe[Z]2=−61.9×Z(0) atwm2= 0.997. The second very narrow bands is confined be-\ntween two bands of positive dynamic resistances with sharp peaks maxRe[Z] = 22.1×Z(0)\natwM1= 0.993 andmaxRe[Z] = 29.8×Z(0) atwM2= 0.999. For comparison, in the case\nof the dispersionless permittivity the NDR is predicted in the wide band , 0.81< w <0.9,\nbut the amplitude of the effect is very small: minRe[Z]≈ −0.001×Z(0).\nThe physics underlying the effects of positive and negative Re[Z(w)] is related to correla-\ntion between the electronicmotionand the self-consistent alterna tivefield. This is wellillus-\ntratedbythe field-coordinatedependenciespresentedinFig.1. I ndeed, duringdynamicpro-\ncesses of a given frequency, ω, gain/loss of local electron energy is determined by the electri-\ncal power density averaged over the time period: Wω(x) =J(x,t)×F(x,t) =Re[JωF∗\nω]/2.\nFor Fig. 1 it was set Jωas real and positive, thus for presented results Wω(x)∝Re[Fω(x)].\nOne can see that for all cases the power density W(x) is oscillating function on the coordi-\nnate. Forcurves2 ,3ofFig. 1(a) andfor Fig.1 (b) the total electric power transferredto the\nelectrons (i.e.,/integraltext\nW(x)dx) ispositive, which corresponds to an absorption of high-frequency\nelectrical power in the diode base (corresponding resistivity Re[Z(ω)]>0). However, for\ncurve 1 of Fig. 1 (a), the total power transferred to the electro ns is evidently negative, which\nmeans gain of high-frequency electric power (corresponding resis tivityRe[Z(ω)]<0).\nMore general pattern of the resonances in the high-frequency r esistivity of the short\ndiode is illustrated in Fig. 3, where the density plot of Re[Z] is presented as a function of\ntwo variables: wandu0. The thin lines mark regions with the NDR. The narrowness of\nthese regions is explained by the resonance character of the discu ssed effects. These results\ndemonstrate that the resonant character of the dielectric perm ittivity of Eq. (1) cardinally\nmodifies the diode response in the RFR and leads to regions with the ND R.\nGenerally, the diode response, Z(w), is strongly influenced by the two main factors en-\ntering Eqs. (16), (19). The first factor, I(w), determines the coupling between the charge,\nits motion and the electrostatic field. In the narrow frequency inte rval near ωLO, this factor\ncritically depends on both the dimensionless frequency, w, and the dimensionless optical\nphonon damping, g≡γ/ωLO. The resonant character of the I(w)-dependence is illustrated\nin the Inset of Fig. 2 (c) for g= 0.005 (the discussion of γandgis presented below). The\nminimum ( m) and maximum ( M) ofRe[I(w)] are realized at wm,M=√1∓2g, respectively.\nIn the limit g≪1, atw=wmwe find large negative values of Re[I], Im[I]:\nRe[I]≈Im[I]≈ −κ0−κ∞\n2gκ∞. (21)\nIn the above analyzed examples with very close frequencies wM1, wm2,wM2corresponding\nto the maxima and minimum of Re[Z(w)], we obtain sufficiently different values of I(w):\nI(wM1) =−14.0−27.3i,I(wm2) =−15.3−12iandI(wM2) =−1.3−36.7i. These result\nin distinct behavior of the high frequency fields and impedances, as s hown in Figs. 1 and\n2. Fig. 4 (a) illustrates the large effect of the phonon damping, γ(g), on the resonance\nfeatures of Re[Z(ω)]. At small value of the damping, g= 0.005, the amplitudes of the\nresonant variations of the high frequency resistivity are large. Tw ofold increase in gleads\nto more than one order decreasing in these amplitudes. Subsequen t twofold increase in g\ntotally suppress the resonance effects.\nNote, according to Eq. (21) for frequencies near ωLO, the factor I(w) is proportional to\nκ0−κ∞\nκ∞, which characterizes a relative contribution of the polar lattice vibr ations to the\npermittivity, as well as the electron-optical phonon coupling .30Thus, materials with larger\npolar properties (larger ionicity) should show larger discussed effec ts.7\nFIG. 2. Real and imaginary parts of the diode impedance as fun ctions of the frequency. (a): Z(w)\nfor a magnified frequency range; (b): Z(w) for the case κ(ω) =κ0=const; (c):Z(w) nearw= 1.\nIn the inset: function I(w). Numerical parameters for calculations are the same as in F ig. 1,\nZ(0) = 0.9×10−6Ω cm2.\nThe secondfactorinfluencingthestudied resonanteffectsisthe p roductgivenbyEq.(18).\nSince the major effects are expected at ωclose toωLO, this factor depends mainly on the\ndiode length, L, and the applied dcvoltage,U0, via the ζf(U0)-dependence.\nIt is necessary to mention that in the model of the monoenergetic e lectron injection\nthe discussed results depend on the initial electron energy (velocit y,Vi). Varying Viat\nfixed other parameters, we found that the resonance features in the resistivity are always\nobservable, however, there are frequency shifts in their position . Fig. 4 (b) illustrates the\neffect of initial velocity on the resistivity, Re[Z(ω)].\nThe above results were obtained for strictly collisionless motion of th e electrons in the\ndiode base. Approximately, the effect of electron scatteringcan b e estimated by introducing\na ”frictional force”, −v\nτscinto the right hand side of the Newton Eq. (2), with τscbeing the\nelectron scattering time. Accordingly, in the right hand side of the fi rst equation from (16),\nwe need to add the termτtr\nζfτsc. In Fig. 4 (c) we present Re[Z(w)] calculated for different\nratioτtr\nτscat fixed other parameters. From these estimations it follows that t hough processes\nof electron scattering suppress the resonant effects in the high- frequency resistivity, the\neffects persist considerably large even atτtr\nτsc∼1, i.e., for quasi-ballistic electron motion.5\nIII. EXAMPLES OF NANODIODES BASED ON III-V COMPOUNDS.\nIn this Section we consider the resonant effects in the high-freque ncy resistivity for a few\nparticular nanodiodes based on polar III-V semiconductor compou nds.8\nFIG. 3. Density plot of Re[Z] in variables {w, u0}. The lines ceparate regions with the NDR. The\ndot indicates parameters wandu0, for which results presented in Figs. 1, 2 and 4 are obtained.\nFIG. 4. Frequency dependencies of Re[Z]. (a): 1 - g= 0.005, 2 - g= 0.01, 3 -g= 0.02. (b):\ng= 0.005, 1 -vi= 0.2, 2 -vi= 0.3. (c):g= 0.005, 1 -τsc→ ∞, 2 -τtr/τsc= 0.37, 3 -τtr/τsc= 0.7,\n4 -τtr/τsc= 1.5. Other diode parameters and Z(0) are as in Figs. 1 and 2.9\nAs discussed above, the value of the optical phonon damping, γ, is critically important\nfor the resonant effects under consideration. Thus we shall begin with a remark about the\navailable data on phonon decay. Two different approaches were use d to study the optical\nphonon decay. The first is incoherent Raman spectroscopy of non coherent nonequilibrium\nphonons based on time-resolved incoherent Raman measurements ,31,32or on measurements\nof the linewidth of spontaneous Raman scattering.33,34This approach provides experimen-\ntal measurements of the time of relaxation of the phonon energy . The second approach\nuses the time-resolved coherent nonlinear technique (anti-Stoke s Raman scattering). In\nsuch an approach, an optical phonon is excited coherently and the subsequent dynamics of\nits dephasing can be monitored.35,36As a result, the phonon dephasing time ,τph, can be\nextracted. Namely, the latter parameter determines the value γ. For polar materials GaAs,\nInP, dephasing processes of the optical phonons and the time, τphhas been studied and\nmeasured in detail.35,36\nGaAs diodes. For numerical results presented in Figs. 1 - 3, we used the material pa-\nrameters of GaAs: κ0= 12.9,κ∞= 10.8,/planckover2pi1ωLO= 36.3 meV ( ωLO/2π= 8.9 THz,\nωTO= 0.92ωLO) andm= 0.067m0, wherem0is the free electron mass. The assumed\nballistic transport regime is valid if the mean free path of the electron s exceeds the diode\nlengthL. The mean free path depends drastically on the electron energy. I n a high quality\nintentionally undoped GaAs sample at the lattice temperature below 7 7 K, the electrons\nwith the energy less than /planckover2pi1ωLOhave the mean free path up to 1 µm. The electrons with the\nenergy above /planckover2pi1ωLOrelax quickly through emission of optical phonons. Direct Monte-Ca rlo\nsimulation of the dynamics of the injected electrons in GaAs at T≤77 K proves that the\nfraction of the ballistic electrons at the distance L= 75 nm equals 0.74 for u0= 2. These\nvaluesof LandU0areusedincalculationspresentedinFigs,1-3. Therelevantsteady state\nelectrical characteristics are: the current density J0= 59 kA/cm2andZ(0) = 0.9×10−6Ω\ncm2. For Figs. 1 - 3 and 4 (a), (c), initial velocity of the injected electro ns is set vi= 0.2,\nwhich corresponds to the electrons injected from a cathode at 30 K, while vi= 0.3V0\ncorresponds to the electrons injected at T= 50 K (Fig. 4 (b), curve 2). The latter results\nindicate a considerabledependence of the resonanceson initial velo city of injected electrons:\nparticularly, the frequency bands of the NDR are shifted and amplit udes of the effects are\nchanged.\nFor high quality GaAs crystals, it was found the optical phonon deph asing times: τph≈\n2 ps atT= 300 K, 6 .4 ps atT= 77 K, 9 ps at 6 K.35Corresponding phonon damping\nparameter is g= 0.005...0.001, In the model calculations presented in Figs. 1 - 3 we used\ng= 0.005.\nFor comparison ballistic and quasi-ballistic diodes, which is shown in Fig. 4 (c), we used\nthe scattering times, τsc, correspondingto following values ofthe mobility: 2 ×104,104,5×\n103cm2/(Vs), curves 2 ,3,4, respectively.\nWe performed also the calculations for the GaAs diode with shorter b ase,L= 50 nm,\nfor which the fraction of the ballistic electrons exceeds 0 .95 and 0 .8 atu0= 1 and 2,\nrespectively. For such a diode, at u0= 1 we found J0= 53.4 kA/cm2, the windows with\nthe NDR, 0 .996< w <1, andminRe[Z] =−15.2×10−6Ω cm2atw= 0.998. Atu0= 2,\nwe obtained J0= 132 kA/cm2andminRe[Z] =−55×10−6Ωcm2atw= 0.997.\nInP diodes. In comparison with GaAs, InP crystals are characterized larger ion icity (see\nEq.21)andlargeropticalphononlifetime. Forcalculationsweusedt hefollowingparameters\nof InP:κ0= 12.5,κ∞= 9.6,/planckover2pi1ωLO= 43 meV ( ωLO/2π= 10.4 THz, ωTO= 0.88ωLO)\nandm= 0.08m0. In high-quality InP crystals at low temperatures ( T≤100 K) the\nelectron mobility can reach 105cm2/Vs, with the mean free path up to 1 µm. However,\nthe electrons with energies Eabove/planckover2pi1ωLOrapidly emit the optical phonons, (this is actual\nforU0>/planckover2pi1ωLO/e). We found that the fractions of the ballistic electrons at the dista nce\nL= 50 nm are greater than 0.95 and 0.6 for u0= 1 and 2, respectively. At the distance\nL= 75 nm and u0= 1, the fraction of the ballistic electrons is 0 .9. Thus, for these\nparameters the electron transport can be considered as quasi-b allistic.\nInP materials are characterized by relatively large optical phonon lif etime:τph= 7.6 ps\natT= 100 K ( g= 0.001), 22 ps at T= 77 K ( g≈0.0004) and 40 ps at T= 6 K10\n(g≈0.0002).35,37In the model calculations presented below we used g= 0.002.\nWe found that nanoscale InP-diodes show all discussed above reso nant features of the\nresistivity near the optical phonon frequency. In the case of the base length 75 nm at\nu0= 1 we found τtr= 0.36 ps,ωLOτtr/2π≈3.8,J0= 27 kA/cm2,Z(0) = 1.8×10−6Ω\ncm2. There is one relatively wide frequency interval with NDR, 0 .993< w <0.997, where\nminRe[Z] = 14×10−3Ω cm2atw= 0.995.\nIn the case of the base length 50 nm at u0= 1 we found τtr= 0.24 ps,ωLOτtr/2π≈2.5,\nJ0= 61 kA/cm2,Z(0) = 0.55×10−6Ω cm2. There is one frequency interval with NDR,\n0.993< w < 0.997, with minRe[Z] =−12×10−3Ω cm2atw= 0.996. In general,\nthe analyzed resonant effects are considerable larger for nanosc ale InP-based diodes in\ncomparison with the GaAs diodes.\nThus, the model of the monoenergetic electron injection predicts strong resonant effects\nin high-frequency resistivity and opening frequency windows with lar ge amplitudes of the\nNDR. Finalizing this analysis we mention that this type of the injection c an be realized, for\nexample, by the use of the resonant tunneling through a double bar rier heterostructure.30\nIndeed, at the resonant tunneling the spread of the energy of inj ected electrons can be less\nor order of 0 .1...1 meV, while the current densities reach tens to hundred of kA/cm2.30\nFor more common n+−icontacts, the injected electrons are spread over energy accor d-\ning to the temperature of the cathode. Different groups of the ele ctrons are injected with\ndifferent velocities, are characterized by different times of flight an d contribute to the re-\nsistivity resonances at slightly different frequencies. Consequent ly, the overall resonance\neffects decrease.\nTo take into account the finite temperature of the injected electr ons we should apply\nmore adequate model for the ballistic electron transport in the nan odiodes.\nIV. MODEL BASED ON BOLTZMANN TRANSPORT EQUATION FOR INJECTED\nBALLISTIC ELECTRONS.\nTo take into account the velocity distribution of the injected electr ons, Eqs. (2) and (3)\nshould be replaced by the Boltzmann equation for the distribution fu nction Φ( x,/vector v,t). In\nthe case of the ballistic electrons, the latter equation reads:\n∂Φ\n∂t+Vx∂Φ\n∂x−e\nmF∂Φ\n∂Vx= 0. (22)\nNow two functions, Φ( x,/vector v,t) andF(x,t), describe completely the system under consider-\nation. The electron concentration and the current can be calculat ed by using Φ( x,/vector v,t):\ni.e.,n=/integraltext\nΦd3v, j=−e/integraltext\nVxΦd3v. The latter relationships and Eqs. (1), (4), (22) com-\nprise the necessary set of the equations. The boundary condition to Eq. (22) is determined\nby the equilibrium distribution of the incoming electrons at a given temp eratureTof the\ncathode. As described earlier, we separate the steady state and time-dependent problems:\nΦ(x,/vector v,t) = Φ 0(x,/vector v) + Φω(x,/vector v)exp(−iωt) andF=F0(x) +Fω(x)exp(−iωt). While the\nsteady state solutions Φ 0(x) andF0(x) can be found analytically (see, for example, Ref. 38),\nthe timedependentsolutionsrequiretheuseofnumericalcalculatio ns,asdiscussedinRef. 7.\nThe subsequent computations confirmed the basic conclusions disc ussed in Sections II\nand III for the simple model. We also checked that the results based on the Boltzmann\ntransport coincide with those obtained in the simple model, when the c athode temperature\nT→0. At a finite temperature, T, we found that current-voltage characteristics and Z(0)\nare almost the same as in the simplest model. However the resonance effects are weakened,\nas expected.\nIn Fig. 5 (a), we present Z(w) for the 75 nm GaAs diode at the cathode temperature\nT= 50 K assuming U0= 73 meV ( u0= 2) and restricting ourselves to the case of a small\ndephasing optical phonon lifetime, τph= 2 ps. We can see that there are two frequency\nbands with the pronounced resonancesand the NDR: 0 .995< w <0.997 with minRe[Z]2=\n−1.3×10−5Ω cm2atw= 0.996and 0 .9985< w <0.9995with minRe[Z]3=−1.1×10−5Ω11\nFIG. 5. Frequency dependencies of Z(w) for the nanoscale diodes made of particular materials.\n(a): the GaAs-diode at the cathode temperature T= 50 K. (b): the InP-diode at the cathode\ntemperature T= 77 K. 1 - Re[Z(w)], 2 -Im[Z(w)]. The parameters of the diodes are given in the\ntext.\ncm2atw= 0.999. Further increase in Tsuppresses the resonant effects, for example, at\nT= 77 K we found only one frequency band with the NDR: 0 .996< w < 0.998 and\nminRe[Z] =−0.7×10−5Ω cm2atw= 0.997.\nSimilar results we obtained for the 50 nm GaAs diodes at T= 50 K and 77 K under\nthe voltage U0≈36...80 meV. For example, at T= 50 K and U0= 73 meV we found\nminRe[Z] = 1.8×10−5Ω cm2.\nIn Fig. 5 (b), calculations of Z(w) for the 75 nm InP diode are shown at the cathode\ntemperature T= 77 K and U0= 43 meV( u0= 1) and the dephasing optical phonon\nlifetimeτph= 7.6 ps. We found three very narrow frequency bands with the NDR ne ar\nw= 1: 0.9983< w <0.9988with minRe[Z]1=−2.4×10−3Ω cm2atw= 0.9988; 0.9991<\nw <0.9994 with minRe[Z]2=−14×10−3Ω cm2atw= 0.9993; 0.9996< w <0.9999 with\nminRe[Z]3=−10.6×10−3Ω cm2atw= 0.9998. We found that the resonant effects under\nconsideration remain at elevated temperatures. For example, at T= 150 K and u0= 1 two\nmost pronounced frequency bands with the NDR were obtained as 0 .9989< w <0.9994\nwithminRe[Z] = 3.6×10−4Ω cm2atw= 0.9991, and 0 .9996< w < 0.9999 with\nminRe[z] = 4.3×10−4Ω cm2.\nNote, for both GaAs and InP diodes we used small dephasing optical phonon times\n(τph= 2 ps and 7 .6 ps, respectively). In high quality materials τphcan be two to three\ntimes larger, thus the amplitude of the NDR can be increased by one o rder of value.12\nV. DISCUSSION AND SUMMARY\nWe investigated ultra-high frequency electron response of nanos cale ballistic diodes made\nby polar materials. For such nanoscale diodes the polarization lattice vibrations provide\nthe dynamic screening and significantly contribute to the high frequ ency response of the\ndevices. When characteristic frequencies of ballistic electron tran sfer across the diode are\nof the order of the polarization lattice vibrations, we found large re sonant effects in the\nfrequency dependent resistivity and an enhanced negative dynam ic resistivity in the rest-\nstrahlen frequency range.\nInthisfrequencyrange,astheresultofthespecificdynamicscre ening,thealternativeself-\nconsistent electric field, the lattice polarization and the electron (a verage) velocity are oscil-\nlating functions of the coordinate with growing amplitudes along the e lectron flow. While\noutsideoftheRFRamplitudesofthesecharacteristicsdecreasea longtheflow. Incloseprox-\nimity to the optical phonon frequency both real and imaginarypart s of the impedance Z(ω)\nshow a few oscillations with amplitudes tens time larger than the differe ntial resistivity in\nthe steady state regime, Re[Z(0)]. Particularly, Im[Z(ω)] shows alternative inductive and\ncapacitive characters, in opposite to the exclusively inductive char acter in the case, when\nthe dynamic lattice polarization is neglected (see Fig. 1 (b)). While Re[Z(ω)] becomes\nnegative, generally, in several very narrow frequency bands (of order of 0 .2 cm−1(InP) ...\n0.6 cm−1(GaAs) in conventional units).\nThe amplitudes and sharpness of the resonances are determined b y the factor (21), which\nis proportional to both a relative contribution of the polar lattice vib rations to the per-\nmittivity and the optical phonon lifetime. Comparison of the diodes ma de of GaAs (the\nmaterial with modest polar properties and a small optical phonon life time) and InP (the\nmaterial with largerionicity and largerphonon lifetime) demonstrate sthat the latter diodes\nshould show considerably stronger resonant effects in the dynamic resistivity.\nIt was ascertained that in the ballistic diodes the studied effects are dependent on the\ntemperature of the electrons injected from the cathode: an incr ease in the cathode tem-\nperature suppresses the resonant effects. Meanwhile, the reso nant effects, including the\nnegative resistance in the RFR, are still pronounced at T≥77 K for the GaAs diodes and\natT≥150 K for the InP diodes.\nThe studied NDR of the nanoscale diodes can be utilized to amplify and g enerate far-\ninfrared emission at the expanse of energy of the current. Indee d, absolute values of found\nspecific negative dynamic resistance, above 10−5Ω cm2for the GaAs diodes and above\n10−3Ω cm2for the InP diodes, are well above the specific contact resistance sZcfor these\nmaterials( Zc≈10−6Ω cm2).39Thus the necessarycondition ofnegativeresistanceofwhole\ndevice2with contacts can be met. The current densities are estimated to b e of the order of\ntens of kA/cm2. Then, gain and threshold of electromagnetic generation are dete rmined by\ntheactivepartofthediodeadmittance(conductance), Re[Y(ω)]withY(ω) = 1/(Zc+Z(ω)).\nThe key parameter of a device is its quality factor Q=−Im[Y]/Re[Y]. ValueQnearly−1\nis favorable for easy matching to external circuits.2,5As seen from Figs. 5 in every spectral\nband with the NDR there is a frequency for which the latter requirem ent is met for both\nGaAs and InP diodes. Absolute values of Re[Y] are sufficient to provide an electrical means\nto amplify and generate electromagnetic radiation in far-infrared s pectral range.\nThe ultra-high frequency resonances and NDR also can be observe d inplanarnanoscale\ndiodes made from hybrid structures - non-polar semiconducting film s or two-dimensional\ncrystals on polar substrates. Indeed, in such structures time- a nd space-dependent planar\nelectron transport induces an alternative electric fields, which, in t urn, polarize substrate\nnear the interface. As a result, one can expect resonant interac tion of electron flux with\npolarizedsubstratenearsurfaceopticalphononfrequencies. E xamplesaregrapheneonpolar\nsubstrates ( SiO2, SiC, hBN, HfO 2, etc.). Different aspects of interaction of graphene\nelectrons with polar substrates were studied in numerous papers ( see, for example,40–43).\nHigh mobilities in these structures facilitate ballistic electron transpo rt over large length\nscales (∼hundreds nm)44–46. These properties are favorable for observation of ultra-high\nfrequencyresonancesinresistivityofplanarnanoscalediodesbas edonthehybridstructures,13\nhowever discussed effects require additional analysis.\nIn summary, nanoscale diodes made of a polar material with ballistic ele ctron transport\ncan exhibit a large effect of optical lattice vibrations on the ultra-hig h frequency electrical\nproperties of the diodes. This results in the modification of the time- of-flight effects and\ndramatic reconstruction of the ω-dependent impedence/admittance in the reststrahlen fre-\nquency range. Particularly, narrow resonances in the diode impeda nce with the negative\nreal part are induced near the optical phonon frequency. The re sonant effects in the dy-\nnamic resistance of nanoscale GaAs and InP diodes are studied in det ail. The obtained\nmagnitudes of the NDR effect indicate that the nanoscale diodes are capable to amplify\nand generate electromagnetic radiation in the 10-THz range.\nACKNOWLEDGMENTS\nThe authors are grateful to Dr. G. I. Singayivska for estimations of the electron ballistic-\nity under high applied voltage. This work was supported by Science & T echnology Center\nin Ukraine (STCU-NASU TRI project #3922 ” New Technologies of THz and sub-THz Gen-\neration with Nanosize Semiconductor Heterostructures ”)\n1M. Dyakonov and M. Shur, Phys. Rev. Lett. 71, 2465 (1993); F. J. Crown, J. Appl. Phys. 87, 8056\n(2000); W. Knap, J. Rusakowski, T. Parenty, S. Bollaert, A. C appy, V. V. Popov, and M. S. Shur, Appl.\nPhys. Lett. 84, 2331 (2004); J. Lusakowski, W. Knap, N. Dyakonova, L. Varan i, J. Mateos, T. Gonzalez,\nY. Roelens, S. Bollaert, A. Cappy, and K. Karpierz, J. Appl. P hys.97, 064307 (2005).\n2G. I. Haddad, J. R. East, and H. Eisele, Intl. J. High Speed Ele ctron. Systems 13, 45 (2003).\n3V. I. Ryzhii, N. A. Banov, and V. A. Fedirko, Sov. Phys. Semico nd.18, 769 (1984).\n4Z. S. Gribnikov, A. N. Korshak, and V. V. Mitin, Int. J. Infrar ed Millimeter Waves 20, 213 (1999);\nZ. S. Gribnikov, N. Z. Vagidov, and V. V. Mitin J. Appl. Phys. 88, 6736 (2000).\n5Z. S. Gribnikov, N. Z. Vagidov, V. V. Mitin, and G. I. Haddad, J . Appl. Phys. 93, 5435 (2003); Z. S. Grib-\nnikov, N. Z. Vagidov, and G. I. Haddad, ibid95, 1489 (2004).\n6W. E. Benham, Phil. Mag. 11, 457 (1931); F. B. Llewellyn and A. E. Bowen, Bell Syst. Tech. J.18, 280\n(1939).\n7V. V. Korotyeyev, V. A. Kochelap, A. A. Klimov, G. Sabatini, H . Marinchio, C. Palermo, and L. Varani,\nJ. Nanoelectron. Optoelectron. 6, 169 (2011).\n8E. Vorobev, S. N. Danilov, V. N. Tulupenko, and D. F. Firsov, J ETP Lett. 73, 219 (2001).\n9M. Born and K. H. Huang, Dynamic Theory of Crystal Lattices (Clarendon, Oxford, 1954).\n10P. Y. Yu and M. Cardona, Fundamentals of Semiconductors (Springer, Berlin, 1996).\n11S. Adachi, The restrstrahlen Region , p. 33-62, in Optical Properties of Crystalline and Amprphous Semi-\nconductors (Kluwer Academic Publishers, 1999).\n12G. A. Melentev, V. A. Shalygin, L. E. Vorobjev, V. Yu. Panevin , D. A. Firsov, L. Riuttanen, S. Suihkonen,\nV. V. Korotyeyev, Yu. M. Lyaschuk, V. A. Kochelap, and V. N. Po roshin, J. Appl. Phys. 119, 093104\n(2016).\n13M. Levinshtein, S. Rumyantsev, and M. Shur, Semiconductor parameters (World Scientific, Singapore,\n1996), vol. 1.\n14A. Leitenstorfer, S. Hunsche, J. Shah, M. C. Nuss, and W. H. Kn ox, Phys. Rev. Lett. 82, 5140 (1999);\nPhys. Rev. B 61, 16642 (2000).\n15R. Huber, C. K¨ ubler, S. T¨ ubel, A. Leitenstorfer, Q. T. Vu, H . Haug, F. K¨ ohler, and M.-C. Amann, Phys.\nRev. Lett. 94, 027401 (2005).\n16K. Mizoguchi, A. Mizumoto, M. Nakayama, S. Saito, A. Syouji, K. Sakai, N. Yamamoto, and K. Akahane\nJ. Appl. Phys. 100, 103527 (2006); M. Nakayama, S. Asai, H. Y. Takeuchi, O. Ichi kiwa, and M. Hata,\nAppl. Phys. Lett. 103, 141109 (2013); S. Tsuruta, H. Takeuchi, H. Yamada, M. Hata, and M. Nakayama,\nJ. Appl. Phys. 113, 143502 (2013).\n17K. T. Tsen, in ” Ultrafast Dynamical Processes in Semiconductors ”, Topic Appl. Phys. 92, 193 (2004); K.\nT. Tsen, J. Kiang, D. K. Ferry, V. A. Kochelap, S. M. Komirenko , K. W. Kim and H. Morkoc, J. Phys.:\nCondens. Matter 18, 7961 (2006).\n18M. Woerner, C. Somma, K. Reimann, T. Elsaesser, P. Q. Liu, Y. Y ang, J. L. Reno, and I. Brener, Phys.\nRev. Lett. 122, 107402 (2019).\n19B. L. Gelmont, D. L. Woolard, Appl. Phys. Lett. 75, 2325 (1999).\n20S. M. Komirenko, K. W. Kim, V. A. Kochelap, I. Fedorov, M. A. St roscio, Appl. Phys. Lett. 77, 4178\n(2000); Phys. Rev. B 63, 165308 (2001).\n21A. P. Dmitriev and M. S. Shur, Appl. Phys. Lett. 89, 142102 (2016).\n22O. Sydoruk, V. Kalinin, and L. Solymar, Appl. Phys. Lett. 97, 062107 (2010).\n23V. A. Kochelap and S. M. Kukhtaruk J. Appl. Phys. 109, 114318 (2011).\n24K. Ohtani, M. Beck, MJ. S¨ eess, J. Faist, AM. Andrews, T. Zede rbauer, H. Detz, W. Schrenk, and\nG. Strasser, ACS Photonics 3, 2280 (2016).14\n25J. B. Khurgin, S. Bajaj, and S. Rajan, Applied Physics Expres s9, 094101 (2016).\n26K. Ohtani, B. Meng, M. Francki, L. Bosco, C. Ndebeka-Bandou, M. Beck, J. Faist arXiv:1808.09844.\n27M. A. Lampert and P. Mark, Current Injection in Solids (Academic Press, New York, 1970).\n28Anode contacts absorbing fast ballistic electrons without backscattering are discussed in Refs. [5] in detail.\n29A. Kratzer and W. Franz, Transzendente Funktionen (Akademische Verlagsgesellschaft, Leipzig, 1960).\n30V. Mitin, V. A. Kochelap, M. Stroscio, Quantum Heterostructures for Microelectronics and Optoel ectron-\nics(Cambridge University Press, 1999).\n31D. von der Linde, J. Kuhl, and H. Klingenberg, Phys. Rev. Lett .44, 1505 (1980).\n32J. A. Kash, S. S. Jha, and J. C. Tsang, Phys. Rev. Lett. 58, 1869 (1987).\n33P. J. Evans and S. Ushioda, Phys. Rev. B 9, 1638 (1974).\n34P. Parayanthal and F. H. Pollak, Phys. Rev. Lett. 52, 1822 (1984).\n35F. Vallee and F. Bogani, Phys. Rev. B 43, 12 049 (1991); F. Vallee, ibid49, 2460 (1994).\n36W. E. Bron and T. Juhasz, Proc. SPIE 2142, 142 (1994).\n37H. Hamzeh and F. Aniel, J. Appl. Phys. 109, 063511 (2011).\n38O. M. Bulashenko, J. M. Rubi, and V. A. Kochelap, Phys. Rev. B 61, 5511 (2000).\n39A. G. Bacaa, F. Renb, J. C. Zolpera, R. D. Briggsa, S. J. Pearto n, Thin Solid Films 308, 599 (1997).\n40C. R. Dean,A. F.Young, I. Meric, C. Lee, L.Wang, S. Sorgenfre i, K.Watanabe, T. Taniguchi, P. Kim, K.\nL. Shepard, and J. Hone, Nat. Nanotechnol. 5, 722 (2010).\n41V. Perebeinos and P. Avouris, Phys. Rev. B 81, 195442 (2010).\n42S. M. Badalyan and F. M. Peeters, Phys. Rev. B 86, 121405 (2012).\n43S. V. Koniakhin and A. V. Nalitov, Phys. Rev. B 94, 125403 (2016).\n44A. S. Mayorov, R. V. Gorbachev, S. V. Morozov, L. Britnell, R. Jalil, L.A. Ponomarenko, P. Blake, K. S.\nNovoselov, K. Watanabe, T. Taniguchi, and A. K. Geim, Nano Le tt.11, 2396 (2011).\n45V. E. Calado, Shou-En Zhu, S. Goswami, Q. Xu, K. Watanabe, T. T aniguchi, G. C. A. M. Janssen, and\nL. M. K. Vandersypen, Appl. Phys. Lett. 104, 023103 (2014).\n46G. Auton, D. B. But, J. Zhang, E. Hill, D. Coquillat, C. Consej o, Ph. Nouvel, W. Knap, L. Varani,\nF.Teppe, J. Torres and A. Song, Nano Lett. 17, 7015 (2017)." }, { "title": "1509.05866v1.Collapse_of_the_low_temperature_insulating_state_in_Cr_doped_V__2_O__3__thin_films.pdf", "content": "arXiv:1509.05866v1 [cond-mat.str-el] 19 Sep 2015Collapse of the low temperature insulating state in Cr-dope d V2O3thin films\nPía Homm1, Leander Dillemans1, Mariela Menghini1, Bart Van Bilzen1, Petar\nBakalov1, Chen-Yi Su1, Ruben Lieten1, Michel Houssa1, Davoud Nasr Esfahani2,\nLucian Covaci2, Francois Peeters2, Jin Won Seo3, and Jean-Pierre Locquet1\n1Department of Physics and Astronomy, KU Leuven,\nCelestijnenlaan 200D, 3001 Leuven, Belgium,2Department of Physics,\nUniversity of Antwerp, Groenenborgerlaan 171, B-2020 Antw erp,\nBelgium,3Department of Materials Engineering, KU Leuven,\nKasteelpark Arenberg 44, 3001 Leuven, Belgium∗\nWe have grown epitaxial Cr-doped V 2O3thin films with Cr concentrations between 0 and 20%\non (0001)-Al 2O3by oxygen-assisted molecular beam epitaxy. For the highly d oped samples (>\n3%), a regular and monotonous increase of the resistance wit h decreasing temperature is measured.\nStrikingly, in the low doping samples (between 1% and 3%), a c ollapse of the insulating state is\nobserved with a reduction of the low temperature resistivit y by up to 5 orders of magnitude. A\nvacuum annealing at high temperature of the films recovers th e low temperature insulating state\nfor doping levels below 3% and increases the room temperatur e resistivity towards the values of\nCr-doped V 2O3single crystals. It is well-know that oxygen excess stabili zes a metallic state in\nV2O3single crystals. Hence, we propose that Cr doping promotes o xygen excess in our films\nduring deposition, leading to the collapse of the low temper ature (LT) insulating state at low\nCr concentrations. These results suggest that slightly Cr- doped V 2O3films can be interesting\ncandidates for field effect devices.\nThe metal-insulator transition (MIT) in vanadium ox-\nides forms a topic of intense research since many years.\nNot only are there many different structural phases\npresent in the V-O phase diagram [1] but also a large\ndistribution of MIT temperatures is observed [2]. Most\nwell-known phases are vanadium sesquioxide (V 2O3) and\nvanadium dioxide (VO 2) with transition temperatures of\n160 K and 340 K and a change in resistivity across the\nMIT of about seven and four orders of magnitude, re-\nspectively [3, 4]. Because of this large change in resis-\ntivity, there has been considerable interest to drive this\ntransition with additional stimuli besides the change in\ntemperature [5]. Most relevant would be to induce the\ntransition through the application of an electric field as\nin a field-effect transistor (FET) device. Electric field in-\nduced resistive switching has been observed many times\nbut so far this has been mostly limited to either: i) only\na small change of the maximal resistivity, ii) local tem-\nperature changes induced by Joule heating, iii) changes\nin the oxygen content and iv) the formation of locally\nmore conducting paths [6–10]. Essentially, it has turned\nout that the correlated electron states in these oxides are\nrather stable and can not easily be changed through the\napplication of an electric field.\nOur ultimate research goal is to find a method to tune\nthe properties of vanadium oxide based compounds in\norder to facilitate the electric field induced MIT. One of\nthese methods is to change the dimensionality towards\n2D systems by using epitaxially grown thin films (TF).\nIn that case the lattice parameters of the film tend to\nchange and adapt to those of the single crystalline sub-\nstrates with the electrical properties being modified as\n∗Pia.Homm@fys.kuleuven.bedemonstrated in TF grown with different methods [11–\n16]. One other well-known method is to dope the oxide\nwith different elements such as Cr and Ti. For the case\nof Cr doping Frenkel et al. [17] have shown evidence in\nsingle crystals (SC) that Cr atoms create substitutional\nstrain defects in the V 2O3lattice leading to a disordered\nsystem of bonds around the average trigonal lattice de-\ntermined by X-ray diffraction (XRD). The long range\nstrain field around Cr atoms results in insulating regions\neven at the low Cr concentration of 1%. In particular, for\nCr concentrations below 1.8% besides the low tempera-\nture MIT, a paramagnetic metal (PM) to paramagnetic\ninsulator (PI) transition around room temperature has\nbeen reported in bulk [3]. When increasing dopant con-\ncentration a transition from an antiferromagnet insulator\n(AFI) to a PI takes place at low temperatures. In this\ncase, both the low temperature resistivity (LTR) and the\nroom temperature resistivity (RTR) increase consider-\nably as observed in single crystal [18–20] and thin film\nalloys [21]. Another effective way to manipulate the MIT\nis the non-stoichiometry, which in the literature has been\nformulated as V 2O3+δor V 2-yO3, since metal vacancies\ncan be generated in the cation sublattice due to the fill-\ning of all the available oxygen sites. For that case, it is\nwell-known that the electrical properties change drasti-\ncally with increased oxygen doping and that beyond δ=\n0.03 the LT MIT is suppressed [22–24]. This stabiliza-\ntion of the metallic phase at all temperatures has also\nbeen observed with Ti doping and application of hydro-\nstatic pressure [24] and in Cr-doped nonstoichiometric\nV2O3SC as reported in [25] for 1% Cr concentration\nand around 0.04 oxygen excess.\nIn this work, we report the epitaxial growth of Cr-\ndoped V 2O3TF on Al 2O3substrates using molecular\nbeam epitaxy and we compare their structural proper-2\nties (aandclattice parameters) with those of the bulk\ncompounds. Next, the electrical properties are reported\nwith the striking result that the low Cr-doped films show\na nearly metallic behavior at all temperatures. To elu-\ncidate the main reason for this observation, vacuum an-\nnealing at high temperature is performed on the films,\nwhich results in a recovery of the LT insulating state for\nthe low Cr-doped cases.\nThe Cr-doped V 2O3TF (60 to 80 nm) have been\ndeposited by oxygen-assisted molecular beam epitaxy\n(MBE) in a vacuum chamber (Riber) with a base pressure\nof 10-9mbar. Substrates of (0001)-Al 2O3were used with-\nout prior cleaning and were slowly heated to the growth\ntemperature of 650oC as measured with a thermocouple.\nAlloys with Cr concentrations between 0 and 20% have\nbeen grown by co-deposition of V and Cr metals in an\nO2partial pressure of 8.2 −8.5×10−6Torr, which con-\nstitutes most of the total pressure in the chamber and\nis at least two orders of magnitude higher than resid-\nual gases like H 2. V was evaporated from an electron\ngun with a deposition rate of 0.1 Å/s calibrated with\na quartz crystal microbalance (QCM) prior deposition\nwhile Cr was evaporated from a Knudsen cell (Veeco) by\nusing different Cr fluxes to obtain the particular Cr/V\nratios. Very low deposition rates were achieved by ex-\ntrapolating calibration rate curves fitted with an expo-\nnential temperature-dependence. The growth time is 60\nminutes for all samples. During growth, the metal lay-\ners will combine with the O 2producing the oxide layers.\nThis will translate in a final oxide layer thicker than ex-\npected from considering only the V + Cr deposition rates.\nAs a result, the thickness of the samples increases more\nthan 20% for the highest Cr concentration. In situ re-\nflection high energy electron diffraction (RHEED) is used\nqualitatively to confirm the epitaxy. After deposition,\nthe samples were characterized by means of high reso-\nlution XRD, X-ray reflectivity (XRR) and X-ray recip-\nrocal space mapping (RSM) using a Panalytical X’pert\nPro diffractometer. Temperature dependent resistivity\nmeasurements were assessed in the Van der Pauw (VDP)\nconfiguration with Au/Cr contacts and using an Oxford\nOptistat CF2-V cryostat with a sweep rate of 1.5 K per\nminute. After their initial electrical characterization, the\nTF were annealed in vacuum for 5 minutes at the same\ntemperature as the deposition (650oC). RSM was per-\nformed after the annealing to confirm that the structural\nquality of the films is preserved. Finally, the transport\nproperties were again measured under the same condi-\ntions as the as-grown films.\nIn order to examine the crystalline quality, the as-\ngrown films were first characterized by high resolution\nXRD. Figure 1 (a) shows θ/2θscans in logarithmic scale\naround the symmetric (0006) reflection of the Cr-doped\nV2O3TF and the Al 2O3substrate. Finite size oscilla-\ntions (Pendellösung fringes) around the layer peak in-\ndicate that the films have a smooth surface and inter-\nface. The layer peak position shifts from the V 2O3to\nthe Cr 2O3bulkclattice parameter when increasing the\nFigure 1. (a) XRD θ/2θscans around the ( 0006) reflec-\ntion of Cr-doped V 2O3TF showing Pendellösung fringes. (b)\nRHEED image taken after deposition along the [ 10¯10] direc-\ntion of the undoped sample. (c) RSMs of the ( 1 0¯1 10)\nsubstrate and layer peaks for the 1.5% Cr-doped sample be-\nfore and after annealing. Qx and Qy are the components\nof the scattering vector along in- and out-of-plane directi ons,\nrespectively. The intensity scale is logarithmic.\nCr concentration, confirming the substitutional doping of\nCr in the lattice, demonstrated in SC [17]. The absence of\nextra diffraction peaks indicates that there are no Cr 2O3\nimpurities. A RHEED pattern of the undoped sam-\nple taken after deposition (Figure 1 (b)) presents clear\nstreaks with no indications of poly-crystallinity. RSMs\naround ( 1 0¯1 10) the reflection for the 1.5% Cr-doped\nsample in Figure 1 (c), before and after the annealing,\nevidence that the films are single phase and that the crys-\ntalline quality is preserved after the thermal treatment.\nRSMs are also used to extract the lattice parameters\nof the TF at room temperature, which are shown in Fig-\nure 2 and compared with those of SC. It can be seen that\nindeed, in SC, the effect of Cr and O doping are different.\nThe incorporation of Cr tends to expand the crystalline\nlattice showing a discontinuous change in the lattice pa-\nrameters. This is an indication of the PM-PI transition\noccurring in SC at room temperature when the Cr con-\ncentration is varied from 0.8% to 1% [19]. In contrast, for\nthe O-doped SC, the evolution of the lattice parameters\nshows a smooth decrease with increasing oxygen concen-\ntration [23]. Since we grow on Al 2O3(lattice parameter\na= 4.754 Å) an in-plane lattice mismatch will influence\nthe TF. A mismatch of 4.2% and up to 5.2% and 4%\nare expected for the undoped, Cr and O doping cases,\nrespectively. When comparing TF with Cr-doped SC, it\ncan be noted that they are not entirely relaxed. The c-\naxis value for the undoped film is lower than the bulk\nvalue while the a-axis is larger, which arises from the dif-\nference in thermal expansion coefficient between the film\nand the substrate [15, 16]. Still the dependence of the\nc-axis with Cr doping for the TF is in good agreement\nwith the Cr-doped SC and it can be seen that the values3\nFigure 2. Lattice parameters as a function of Cr doping of\nthin films (TF) compared with single crystals (SC). Cr and\nO-doped SC data extracted from [19] and [23], respectively.\ngradually shift towards the Cr 2O3bulk value (doping =\n1 in the plot). On the other hand, the a-axis lattice pa-\nrameter remains substantially smaller due to the larger\nmismatch with the substrate for Cr-doped V 2O3.\nThe resistivity versus temperature in the range from\n90 K to 300 K of the Cr-doped V 2O3TF as-grown and\nafter the vacuum annealing are shown in Figure 3. For\nthe pure V 2O3sample, bulk-like electrical properties are\nfound as the sharp and well-known MIT at about 160 K\nwith a resistivity change of 6 decades is clearly observed.\nIn the as-grown case, the resistivity of the samples with\nhigher Cr concentrations (> 3%) increases monotonically\nwhen decreasing the temperature. However, the sample\nwith 2.9% Cr concentration shows a much lower resistiv-\nity compared with higher Cr concentration alloys, and\nstrikingly the one with 1.5% Cr concentration shows a\nnearly metallic behavior down to 100 K with the conse-\nquent suppression of the LT insulating state. Compared\nto the resistivity of the undoped film at 100 K, this corre-\nsponds to a reduction of more than 5 orders of magnitude.\nWhat could be the origin of this drastic reduction?\nHere, we examine three possibilities to explain the col-\nlapse of the LT insulating state. First, it has been re-\nported that hydrogen doping stabilizes a metallic phase\nin VO 2thin films [26, 27]. However, this type of doping\nin our Cr-doped samples is very unlikely, since the H 2\npartial pressure measured during the use of only the Cr\ncell is one order of magnitude smaller than the one origi-\nnated by the V source. The second possibility is the effect\nof disorder as recently reported for irradiated V 2O3TF\n[28]. However, in our case, the high temperature growthFigure 3. Resistivity versus temperature of Cr-doped V 2O3\nTF with different Cr concentrations. TF as-grown (continu-\nous line) and after vacuum annealing (dotted line) compared\nwith the undoped V 2O3case.\nprocess leads to bulk-like (structural and electrical) pro p-\nerties in pure V 2O3TF suggesting limited disorder in\nour films [16]. Finally, the third option is the addition\nof oxygen that changes the electrical properties of V 2O3\ntowards the metallic state at low temperatures [22–24].\nThis effect has also been observed in low Cr-doped SC\n[25]. Hence, we propose that Cr doping promotes oxygen\nexcess in our films during deposition leading to the col-\nlapse of the LT insulating state at low Cr concentrations.\nTo confirm this hypothesis, the Cr-doped V 2O3TF\nwere annealed in vacuum for 5 minutes at the same tem-\nperature as the deposition temperature. We can observe\nin Figure 3 that the transport properties of the low Cr-\ndoped samples have changed significantly upon anneal-\ning. The observed large increase in the LTR – almost\nup to the value of the undoped case – and the presence\nof hysteresis, both confirm that the LT insulating state\nand the MIT have been recovered for the 1.5% Cr-doped\nfilm. Meanwhile, for the higher Cr-doped samples after\nannealing, a shift towards higher resistivities for the en-\ntire curve is observed.\nIn Figure 4 the RTR for different Cr doping concen-\ntrations in SC is compared with the corresponding val-\nues for the TF in the as-grown as well as in the annealed\nstate. Again, we observe that Cr doping is different from\nO doping in bulk, the RTR increases about four orders\nof magnitude with the insertion of only 1% Cr [19] while\nmoderate O doping (see inset) has the opposite effect, the\nRTR gradually decreases as the system becomes more\nmetallic [23]. In our films, the RTR is much more re-\nduced than the bulk case, partially due to strain that\nmost likely hinders the PM-PI transition to occur. After4\nFigure 4. RTR of Cr-doped SC as a function of doping con-\ncentration [19] compared with the as-grown and annealed Cr-\ndoped TF. Inset shows the RTR versus O excess for V 2O3+δ\nSC [23]. Vertical green arrows indicate the change in RTR\nwhenδ= 0.03 oxygen is removed in SC and the equivalent\nchange in RTR for the 1.5% Cr-doped sample after the an-\nnealing.\nannealing in vacuum, we observe an increase of RTR in\nall the TF alloys evolving towards the bulk case. It is\nimportant to notice that for the undoped film there is no\nchange in RTR after the annealing – the data points in\nthe figure overlap completely – which is consistent with\nstoichiometric V 2O3films. Furthermore, for the lowest\nCr concentration, the change in RTR is about 3 ×10-3Ω\ncm, which is nearly the same as the one upon removal of\n0.03 oxygen excess in non-stoichiometric SC [23]. These\nchanges are indicated with the vertical green arrows in\nthe figure. Hence, we can estimate that the amount of\noxygen lost during the annealing – for the lowest Cr-\ndoped sample – corresponds to about 1%.\nWhen Cr doping is added, the 24% increment (from 4.2\nto 5.2%) in the in-plane lattice mismatch with the sub-\nstrate needs also to be accommodated by the TF. The in-\nsertion of oxygen would thus lead to a smaller mismatch\nand can explain its preferential incorporation when Cr-\ndoped TF are grown. There are two other mechanisms\nwhich can contribute to an increase of the oxygen con-\ntent in Cr-doped films: (1) the larger electron affinity\nof Cr atoms in comparison with V atoms; (2) the in-\ncreased average lattice spacing of Cr-doped films allows\nmore oxygen atoms to be incorporated during growth.\nThis additional oxygen (or cation vacancies) is later re-\nmoved by the vacuum annealing at high temperature.\nHowever, after the annealing, the properties both\nstructurally as well as electrically do not yet reach thoseof the equivalent bulk compounds. In SC, the change in\nlattice parameter (see Figure 2) across the PM-PI tran-\nsition can account at least partially for the resistivity\njump across the transition. The alattice parameter as\nwell as the lattice volume = a2c sin(60o) both increase\nby about 1% , which leads to a reduction of the orbital\noverlap and thus a decrease of bandwidth (or, equiva-\nlently, a strengthening of the electron correlations). Not e\nthat the change in lattice parameters in our films after\nthe annealing is very small (less than 0.05%, not shown\nhere). Therefore, the absence of this transition even after\nthe vacuum annealing may be attributed to the large in-\nplane mismatch and the clamping to the substrate, which\nprevents the in-plane lattice parameter to change in the\nTF as it is observed in bulk [19]. In a previous work [16]\nit has been shown that the MIT in V 2O3layers grown\ndirectly on Al 2O3is lost for thicknesses below 5 nm due\nto the presence of a large strain; however, the transition\ncan be recovered when a thin Cr 2O3buffer layer is in-\nserted. Then, the growth of TF alloys on substrates with\na larger in-plane lattice parameter may help to further\nunderstand the present results.\nIn conclusion, we demonstrate that high quality Cr-\ndoped V 2O3TF can be grown epitaxially. We report\nstructural and electrical properties of TF alloys with Cr\nconcentrations up to 20% grown by MBE. For the highly\ndoped samples (> 3%), an increase of the resistance with\ndecreasing temperature is measured. Strikingly, for the\nlow doped samples (<3%), a collapse of the insulating\nstate is observed with a reduction of the low tempera-\nture resistivity by up to 5 orders of magnitude. Using a\nvacuum annealing procedure at high temperature, the LT\ninsulating state is recovered for these films showing evi-\ndence that an oxygen excess introduced during the film\ngrowth is responsible for the observed collapse. There-\nfore, our results also demonstrate that co-doping with\nCr as well as with O is possible in TF. This gives to the\nV2O3system two handles working in opposite directions .\nFurthermore, since oxygen can be mobile under the appli-\ncation of an electric field [9, 29, 30], these results suggest\nthat the Cr-O-V system holds a great potential for differ-\nent electronic devices. Moreover, it will be interesting to\ninvestigate the resistive switching behavior in our films in\nvertical Cr-doped V 2O3based structures and in different\ngas environments as well.\nThe authors acknowledge financial support from the\nFWO Project No. G052010N10 as well as the EU-FP7\nSITOGA Project. P.H. acknowledges support from Becas\nChile - CONICYT.\n[1] H. A. Wriedt, Bull. Alloy Phase Diagrams 10, 271 (1989).\n[2] U. Schwingenschlögl and V. Eyert, Ann. Phys. 13, 475\n(2004).\n[3] D. McWhan, A. Menth, J. Remeika, W. Brinkman, andT. Rice, Phys. Rev. B 7, 1920 (1973).\n[4] F. J. Morin, Phys. Rev. Lett. 3, 34 (1959).\n[5] Z. Yang, C. Ko, and S. Ramanathan, Annu. Rev. Mater.\nRes. 41, 337 (2011).5\n[6] S. Guenon, S. Scharinger, S. Wang, J. G. Ramirez, D.\nKoelle, R. Kleiner, and I. K. Schuller, Europhys. Lett.\n101, 57003 (2013).\n[7] J. S. Brockman, L. Gao, B. Hughes, C. T. Rettner, M.\nG. Samant, K. P. Roche, and S. S. P. Parkin, Nat. Nan-\notechnol. 9, 453 (2014).\n[8] C. Ko and S. Ramanathan, Appl. Phys. Lett. 93, 252101\n(2008).\n[9] J. Jeong, N. Aetukuri, T. Graf, T. D. Schladt, M. G.\nSamant, and S. S. P. Parkin, Science 339, 1402 (2013).\n[10] H. Madan, M. Jerry, A. Pogrebnyakov, T. Mayer, and S.\nDatta, ACS nano 9, 2009 (2015).\n[11] H. Schuler, S. Klimm, G. Weissmann, C. Renner, and S.\nHorn, Thin Solid Films 299, 119 (1997).\n[12] Q. Luo, Q. Guo, and E. G. Wang, Appl. Phys. Lett. 84,\n2337 (2004).\n[13] S. Yonezawa, Y. Muraoka, Y. Ueda, and Z. Hiroi, Solid\nState Commun. 129, 245 (2004).\n[14] S. Autier-Laurent, B. Mercey, D. Chippaux, P. Limelett e,\nand Ch. Simon, Phys. Rev. B 74, 195109 (2006).\n[15] L. Dillemans, R. R. Lieten, M. Menghini, T. Smets, J.\nW. Seo, and J. P. Locquet, Thin Solid Films 520, 4730\n(2012).\n[16] L. Dillemans, T. Smets, R. R. Lieten, M. Menghini, C.-\nY. Su, and J.-P. Locquet, Appl. Phys. Lett. 104, 071902\n(2014).\n[17] A. I. Frenkel, D. M. Pease, J. I. Budnick, P. Metcalf, E.\nA. Stern, P. Shanthakumar, and T. Huang, Phys. Rev.\nLett. 97, 195502 (2006).\n[18] A. Jayaraman, D. B. McWhan, J. P. Remeika, and P. D.Dernier, Phys. Rev. B 2, 3751 (1970).\n[19] D. B. McWhan and J. P. Remeika, Phys. Rev. B 2, 3734\n(1970).\n[20] H. Kuwamoto, J. M. Honig, and J. Appel, Phys. Rev. B\n22, 2626 (1980).\n[21] P. A. Metcalf, S. Guha, L. P. Gonzalez, J. O. Barnes, E.\nB. Slamovich, and J. M. Honig, Thin Solid Films 515,\n3421 (2007).\n[22] D. B. McWhan, A. Menth, and J. P. Remeika, J. Phys.\n(Paris) 32, C1-1079 (1971).\n[23] Y. Ueda, K. Kosuge, and S. Kachi, J. Solid State Chem.\n31, 171 (1980).\n[24] S. A. Shivashankar and J. M. Honig, Phys. Rev. B 28,\n5695 (1983).\n[25] H. Kuwamoto and J. M. Honig, J. Solid State Chem. 32,\n335 (1980).\n[26] C. Wu, F. Feng, J. Feng, J. Dai, L. Peng, J. Zhao, J.\nYang, C. Si, Z. Wu, and Y. Xie, J. Am. Chem. Soc. 133,\n13798 (2011).\n[27] Y. Zhao, G. Karaoglan-Bebek, X. Pan, M. Holtz, A.\nA. Bernussi, and Z. Fan, Appl. Phys. Lett. 104, 241901\n(2014).\n[28] J. G. Ramirez, T. Saerbeck, S. Wang, J. Trastoy, M.\nMalnou, J. Lesueur, J.-P. Crocombette, J. E. Villegas,\nand I. K. Schuller, Phys. Rev. B 91, 205123 (2015).\n[29] M. Quintero, P. Levy, A. G. Leyva, and M. J. Rozenberg,\nPhys. Rev. Lett. 98, 116601 (2007).\n[30] C. Yoshida K. Kinoshita, T. Yamasaki, and Y. Sugiyama,\nAppl. Phys. Lett. 93, 042106 (2008)." }, { "title": "1009.0355v1.Superconducting_and_Structural_Transitions_in_the_β_Pyrochlore_Oxide_KOs2O6_under_High_Pressure.pdf", "content": "J. Phys. Soc. Jpn, in press 10.9.2 Superconducting and Structural Transitions in the β-Pyrochlore Oxide KOs2O6 under High Pressure Hiroki OGUSU, Nao TAKESHITA1, Koichi IZAWA2, Jun-ichi YAMAURA, Yasuo OHISHI3, Satoshi TSUTSUI3, Yoshihiko OKAMOTO, and Zenji HIROI * Institute for Solid State Physics, University of Tokyo, 5-1-5 Kashiwanoha, Kashiwa, Chiba 277-8581, Japan 1Nanoelectronics Research Institute, National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki 305-8562, Japan 2Department of Physics, Tokyo Institute of Technology, Meguro, Tokyo 152-8551, Japan 3Japan Synchrotron Radiation Research Institute, SPring-8, Sayo, Hyogo 679-5198, Japan Rattling-induced superconductivity in the β-pyrochlore oxide KOs2O6 is investigated under high pres-sure up to 5 GPa. Resistivity measurements in a high-quality single crystal reveal a gradual decrease in the superconducting transition temperature Tc from 9.7 K at 1.0 GPa to 6.5 K at 3.5 GPa, followed by a sud-den drop to 3.3 K at 3.6 GPa. Powder X-ray diffraction experiments show a structural transition from cu-bic to monoclinic or triclinic at a similar pressure. The sudden drop in Tc is ascribed to this structural tran-sition, by which an e n h a n c e m e n t in Tc d u e t o a s t r o n g electron-rattler interaction present in the low-pressure cubic phase is abrogated as the rattling of the K ion is completely suppressed or weakened in the high-pressure phase of reduced symmetry. In addition, we find two anomalies in the temperature de-pendence of resistivity in the low-pressure phase, which may be due to subtle changes in rattling vibration. KEYWORDS: pyrochlore oxide, KOs2O6, resistivity, crystal structure, high pressure, superconductivity, rattling *E-mail address: hiroi@issp.u-tokyo.ac.jp 1. Introduction The β-pyrochlore oxides AOs2O6 have recently been at-tracting much a t t e n t i o n ,1-4) a s t h e y e x h i b i t a n u n u s u a l at-omic vibration in a solid called rattling,5,6) which is essen-tially a local, anharmonic vibration of a heavy ion confined in an oversized atomic cage. T h e y c r y s t a l l i z e i n a c u b i c crystal structure of space group Fd-3m w i t h a l l t h e a t o m s sitting at special positions, i.e., the A, Os and O atoms at the 8b, 16c and 48f sites, respectively.7) The A atom is lo-cated in the Td site symmetry and surrounded by 6 nearest- and 12 next-nearest-neighbor oxide atoms, while OsO6 oc-tahedra are connected to each other by vertices to form a three-dimensional framework. A virtual size mismatch be-tween the guest A ion and the cage made of the octahedra allows the guest ion to move almost freely with an unusu-ally large excursion inside the cage.8) Evidence of rattling in β-pyrochlore oxides has been obtained from structural ana-lyses showing l a r g e a t o m i c d i s p l a c e m e n t p a r a m e t e rs7,9) o r heat capacity and spectroscopic measurements that find Einstein-like modes with low energies of 2-7 meV.2,3,10-14) The most intriguing issue on rattling is to understand its effect on the electronic properties of materials. It is consid-ered t h a t , in β-pyrochlores, the large resistivity and its anomalous temperature dependence with a con-cave-downward curvature in a wide temperature range are due to a strong s cattering of electrons by rattling.15) Moreover, the increase observed in the spin-lattice relaxa-tion rate of A-nucleus NMR arises f r o m a s t r o n g e l ec-tron-lattice coupling of the same origin.15,16) As a result of this large electron-rattler interaction, β-pyrochore oxides undergo superconducting transitions at relatively high tem-peratures of Tc = 9.6, 6.3, and 3.3 K for A = K, Rb, and Cs, respectively. Nagao et al. suggested that the superconduc-tivity is really induced by the rattling itself, because the estimated average frequency of phonons mediating Cooper pairing coincides with the energy of the rattling for each of the three compounds.4) Unique chemical trends for various parameters are observed in the series of β-pyrochlore oxides: the rattling intensity, the extent of electron-rattler interaction, a n d Tc increase systematically from Cs to K.17) Particularly inter-esting is the fact that the superconductivity changes its character from weak coupling to extremely strong coupling toward K.3) Since a previous structural study revealed that the size of the cage remains almost intact among the three compounds,7) t h e s e v a r i a t i o n s s h o u l d b e a s c r i b e d t o t h e increase in the guest-free space, as the ionic radius of the A ion decreases markedly from Cs to K. Thus, the guest-free space is a key parameter for adjusting the rattling intensity. One experimental method of tuning t h e g u e s t-free space systematically is to chemically mix two A elements in a crystal. However, this must cause certain randomness that might m a s k i n t r i n s i c p r o p e r t i e s . In contrast, squeezing the compound under high pressure would give a better oppor-tunity to study the relation between the guest-free space and the rattling or the electronic properties of β-pyrochlore ox-ides. A few high-pressure (HP) experiments have already been carried out using polycrystalline samples of β-pyrochlore oxides. Muramatsu et al. measured resistivity in a cu-bic-anvil cell filled with Fluorinert under HP up to 12 GPa and found that, common to the three compounds, Tc in-creases initially with pressure, saturates, and then decreases to vanish above a critical pressure, resulting in a domelike pressure dependence of Tc;18) T h e c r i t i c a l p r e s s u r e s w e r e approximately 6, 7, and 12 GPa for K, Rb, and Cs, respec-tively. On the other hand, Miyoshi et al. found, in their 2 magnetization measurements using a diamond-anvil cell (DAC) with Daphne 7373 oil under HP up to 10 GPa, simi-lar Tc domes for K and Rb, but a saturating behavior at 8.8 K for Cs instead.19) On the other hand, electronic structure calculations have shown that the density of states decreases gradually and only slightly with increasing pressure.20) Therefore, the observed complicated pressure dependence of Tc is not understandable in the framework of the simple BCS theory and has not yet been explained properly. Note, however, that experimental results can be markedly differ-ent between polycrystalline and single-crystal samples in the case of β-pyrochlore oxides.4) In order to collect reliable data o n t h e p r e s s u r e d e p e n d e n c e o f Tc, further HP experi-ment using a single crystal is n e c e s s a r y . V e r y r e c e n t l y , Isono et al. carried out HP heat capacity measurements in a DAC filled with Ar on single crystals of K and Rb and found abrupt vanishments of Tc at 5.2 and 6.0 GPa, respec-tively.21) On one hand, Katrych et al. performed HP X-ray diffraction (XRD) experiments at room temperature (RT) on a powder sample of KOs2O6 and showed that the cubic pyrochlore structure is robust under compression up to 32.5 GPa.22) They also found a new triclinic phase at P = 3 GPa and T = 1173 K, which was substantially different from the pyrochlore structure, containing edge-sharing OsO6 octahe-dra in addition to original corner-sharing ones. In this study, we have carried out resistivity measure-ments on a high-quality single crystal of KOs2O6 under HP up to 5 GPa. In addition, powder XRD experiments at 10 K under HP have been performed to explore the possibility of a structural transition at low temperatures. A reliable pres-sure dependence of Tc, which is substantially different from that previously reported, is obtained. We find that Tc drops suddenly at a critical pressure of 3.6 GPa, where probably a structural transition to a lower symmetry takes place so as to reduce or suppress the rattling vibrations of the K atom. 2. Experimental Single crystals of KOs2O6 w e r e p r e p a r e d b y t h e f l u x method starting from a 1.3:1 mixture of KOsO4 and OsO2 in a quartz ampoule at 748 K for 24 h. Polycrystalline samples were prepared as reported previously.1) Resistivity measurements were carried out on a single crystal of 0.3 × 0.1 × 0.1 mm3 size by the four-probe method at a current of 10 mA. High pressures from 1.0 to 5.0 GPa were applied to the sample during the measurements in a cubic-anvil-press apparatus comprising three pairs of counteranvils made of sintered diamond.23) D a p h n e 7 4 7 4 o i l w a s u s e d a s a p r es-sure-transmitting medium; it remains liquid below 3.6 GPa at RT and may guarantee good hydrostatic compression.24) An isobaric measurement, enabled by applying a constant load on the anvil cell, was performed upon heating from 3 to 300 K. Pressure for the next run was always increased at RT, where the pressure medium remained in liquid state or soft enough to generate a uniform, hydrostatic pressure around the sample. Actual pressure exerted on the sample was estimated by measuring the changes in resistivity asso-ciated with the structural phase transitions of Bi at 2.55, 2.7, and 7.7 GPa, of Te at 4.0 GPa, and of Sn at 9.4 GPa in dif-ferent runs.23) P o w d e r X R D d a t a w e r e c o l l e c t e d a t 1 0 K using synchrotron radiation with a wavelength of 41.222 pm in the BL-10XU beam line at the SPring-8 facility. A polycrystalline sample was put in a standard DAC together with liquid Ar as a pressure-transimitting medium. Pressure was applied at 10 K, not at RT, in order to avoid Ar from being absorbed by the sample, although this might cause a certain inhomogeneous pressure distribution. Pressure cali-bration was done by recording a change in the frequency of the fluorescence from a Ruby crystal present near the sam-ple in the DAC cell. 3. Results Figure 1 shows nineteen sets of isobaric resistivity r data measured between P = 1 . 0 a n d 5 . 0 G P a o n a s i n g l e crystal of KOs2O6. The ρ at 1.0 GPa resembles that reported on a different crystal at ambient pressure,3) s h o w i n g a nearly equal concave-downward curvature in the whole temperature range above Tc. In contrast, a small upturn emerges in the 1.3 GPa data at low temperatures, as shown in the inset: ρ b e g i n s t o s h i f t u p w a r d b e l o w 1 4 K f r o m a curve expected by high-temperature extrapolation. Moreo-ver, two similar anomalies are observed at 16 and 23 K in the 1.6 GPa data. As pressure further increases, they move to higher temperatures and then disappear above 3.6 GPa. The single anomaly observed at 1.3 GPa may correspond to two anomalies that occur at nearly equal temperatures. On the other hand, above 3.7 GPa, another anomaly is detected at a higher temperature, again moving to higher tempera-tures with increasing pressure. For example, at the maxi-mum pressure of 5.0 GPa, the temperature derivative of the resistivity curve clearly changes its sign at 205 K. We call the temperatures of these three anomalies from the low-temperature side TO1, TO2, and Ts. They have never been observed in previous resistivity measurements in polycrystalline samples.18) The isothermal resistivity always shows a positive pressure dependence, which is markedly large especially at low temperatures. As a result, as pressure increases, the overall temperature dependence changes from metallic be-havior to become almost flat, followed by a steep down-ward shift below 50 K. Moreover, the normal-state resistiv-ity just above Tc increases with pressure, as shown in Fig. 1(b). Similar pressure dependences have been observed in other β-pyrochlores18) as well as in the α-pyrochlore oxide Cd2Re2O7.25) This common feature is probably related to the fact that all these pyrochlore oxides are semimetals with electron and hole bands:8,26,27) t h e a p p l i c a t i o n o f p r e s s u r e may reduce the overlap between them and make the carrier density small and the Fermi energy low, which cause such a flat temperature dependence of resisitivity at high tempera-tures above the Fermi temperature and an increase in residual resistivity. An abrupt upward shift in resistivity is observed between 3.6 and 3.7 GPa in Fig. 1(a), which may be due to the solidification of Daphne 7474 oil.24) The pressure dependence of the superconducting transi-tion is shown in Fig. 1(b). For P = 1.0 to 3.5 GPa, a sharp drop is observed within a transition width range of 0.1-0.3 K, as sharp as observed at ambient pressure.3) In general, a broad superconducting transition is often observed in HP experiments owing to certain inhomogeneity in a sample or a pressure distribution inside a HP cell. It was in fact the 3 case for previous HP experiments on KOs2O6, where the transition width became more than 1 K at 4 GPa.18) Thus, the sharp transition observed in the present study indicates better sample quality and a more uniform pressure distribu-tion attained. The Tc defined here as a zero-resistive tem-perature increases slightly from 9.60 K at ambient pressure up to 9.75 K at 1.0 GPa, decreases gradually with increas-ing pressure, and then reached to 6.55 K at 3.5 GPa. Surprisingly, increasing pressure by only 0.1 GPa re-sults in a completely different behavior: a two-step transi-tion appears at 3.6 and 3.7 GPa. The first drop occurs at approximately 6.5 K at both pressures, the same as that at Tc at 3.5 GPa, while the second drop to ρ = 0 occurs at 3.2 and 2.9 K at 3.6 and 3.7 GPa, respectively. We observed a distinct current dependence of resistivity of the temperature range between the two drops: the first drop tended to dis-appear with increasing current density. Therefore, the high-temperature transition is not bulk in nature and must be due to the formation of a filamentary superconducting path. This means that two superconducting phases with different Tcs coexist in the pressure range above 3.6 GPa approximately below 4.0 GPa. It is plausible that there is a first-order phase transition that accompanies a two-phase equilibrium region. We call this lower critical pressure Ps. Figure 2 shows a comparison of the pressure de-pendences of Tc o b t a i n e d i n t h e p r e s e n t s t u d y w i t h t h o s e obtained previously. Below Ps, all the three datasets coin-cide with each other. Above Ps, Tc = 5.7 K at 4 GPa was reported by Muramatsu et al.18) However, since they deter-mined this at the midpoint of a broadened transition, the actual Tc s h o u l d h a v e b e e n l o w e r . O n t h e o t h e r h a n d , a diamagnetic response in magnetization measurements by Miyoshi et al. had already become obscured at 3.5 GPa, and no data above that was given.19) T h u s , o u r o b s e r v a t i o n o f the sudden drop in Tc at Ps is in line with the previous data, and has been made owing to improved experimental condi-tions. In contrast, the pressure dependence of Tc reported by Isono et al. in their heat capacity measurements in a single crystal of KOs2O6 is considerably different from the above data: their Tc decreases gradually with pressure, but is still 6.0 K at 5.0 GPa, and suddenly vanishes above 5.2 GPa.21) This vanishment may correspond to the drop in Tc at Ps in our experiments, although the two critical pressures a r e quite different. We do not know the reason for this differ-ence, but speculate that the transition can be affected seri-ously by the nature of pressure that depends on the equip-ment used and, more importantly, on the chosen pressure medium. It is generally known that some pressure-induced transitions are very sensitive to the choice of pressure me-dium. For example, the α-to-ω transition in titanium metal changes from 4.9 to 10.5 GPa depending on the pressure medium.28) \nX-ray diffraction experiments demonstrate that this transition is possibly related to a pressure-induced structural Fig. 1. (Color Online) Isobaric resistivity of a single crystal of β-KOs2O6 measured on heating under various pres-sures. The applied pressures are 1.0, 1.3, 1.6, 1.9, 2.2, 2.5, 2.8, 3.1, 3.3, 3.4, 3.5, 3.6, 3.7, 3.8, 3.9, 4.0, 4.2, 4.5, and 5.0 GPa from bottom to top. The overall temperature dependence is shown in (a), and the low-temperature part is expanded in (b), showing superconducting transitions. The inset in (a) expands around two anomalies, named TO1 and TO2, for the 1.3 and 1.6 GPa data. \nFig. 2. (Color Online) Pressure dependence of Tc. The present data (circle) are compared with previous data given by Mu-ramatsu et al. (triangle)18) and Miyoshi et al. (solid line).19) Tc drops suddenly at Ps in the present study. 4 transition. Figure 3 shows three XRD patterns taken at T = 10 K and P = 0.9, 3.9, and 5.2 GPa. The pattern taken at 0.9 GPa resembles that at ambient pressure1) with all the peaks indexed by a face-centered cubic c e l l e x c e p t f o r s e v e r a l weak peaks from a small amount of impurity phases such as Os and OsO2. At 3.9 GPa, the major diffraction peaks re-main unchanged but are broadened considerably, suggest-ing that there is an inevitable distribution in pressure inside the DAC; Ar has already been solidified at this pressure and temperature, as evidenced by the emregence of a new peak near 2θ = 9° f r o m c r y s t a l l i n e A r . I n c o n t r a s t , a t 5 . 2 G P a , each of the three major peaks of 111, 311, and 222 indices splits into at least two peaks. This implies that the crystal system has changed from cubic to monoclinic or triclinic. This HP form is different from that reported by Katrych et al. at high pressures and high temperatures,22) b e c a u s e a powder diffraction pattern calculated based on the crystal structure they gave is completely dissimilar from the ob-served one in Fig. 3. Our HP phase must be a slight modi-fication of the original cubic structure made of only cor-ner-sharing OsO6 octahedra. Moreover, note that Katrych et al. observed no change in the XRD pattern up to 32.5 GPa at RT, not at a temperature as low as 10 K in our experi-ments. \nThe critical pressure for the structural transition may exist between 3.9 and 5.2 GPa. We think that this structural transition corresponds to the transition observed at Ps in the resistivity measurements, although the two critical pres-sures are somewhat different. This difference may also be reconciled, however, if one takes into account the differ-ences in the samples used (single crystals or polycrystals), experimental setups (cubic- o r d i a m o n d-anvil cells), and pressure media. In addition, had there been another transi-tion at 3.9-5.2 GPa, we should have observed a corre-sponding change in our resistivity data at such pressures. As shown in Fig. 1(a), however, the data between 3.7 and 5.0 GPa varies smoothly with pressure without any dis-cernible anomaly. From a combination of resistivity and structural inves-tigations under HP, we conclude that a first-order structural transition takes place at Ps = 3.6 GPa, probably from cubic to monoclinic or triclinic symmetry. The low-pressure (LP) phase takes high Tcs of 9.75-6.55 K, while the HP phase has low Tcs below 3.3 K. The two-step transition observed just above Ps in Fig. 1(b) is apparently due to the coexistence of these two phases, as expected from the first-order nature of the transition. Figure 4 shows a P-T p h ase diagram for β-KOs2O6. Tc i n c r e a s e s s l i g h t l y f r o m 9 . 6 0 K a t a m b i e n t pressure to 9.75 K at 1.0 GPa and then gradually decreases with pressure. Above 1.3 GPa, two anomalies, TO1 and TO2, appear and move to higher temperatures with increasing pressure. Tc seems not affected by these anomalies, but de-creases gradually with P. Note, however, that the TO1 a n d TO2 l i n e s a r e m e r g e d a r o u n d t h e t o p o f t h e Tc d o m e a n d disappear or hidden by the superconducting transition, sug-gesting a certain relationship between these temperatures. On the other hand, at Ps, Tc suddenly becomes almost half, and the two anomalies disappear. The third anomaly Ts shows up above Ps, but this may correspond to a phase boundary between the LP and HP phases. Actually, the fact that Katrych et al. observed no transitions at RT22) m e a n s that the boundary is located below RT even at 32.5 GPa. As shown in Fig. 4, we call the high-temperature cubic phase of space group Fd-3m phase I, the intermediate ones below the TO1 and TO2 lines phases II and II', respectively, and the HP monoclinic or triclinic one phase III. 4. Discussion Let us discuss what is happening under high pressure. It is considered that a rattling-induced, strong-coupling superconductivity occurs in β-KOs2O6 t h r o u g h a s t r o n g electron-rattler interaction coming from the anharmonicity of rattling.4) One simple idea to explain the observed gradual decrease in Tc with pressure is that compressing the cage suppresses the rattling intensity and thus reduces the extent of electron-rattler interaction. The rattling intensity in β-pyrochlores can be measured using the guest-free space dgfs, which is the distance obtained by subtracting the ionic radii of an oxide ion (140 pm) and an A ion (138 pm for K+) from the A-O bond length determined by structural refinement: the larger the dgfs, the more intense the rattling.7) T h e r a t t l i n g m a y t e r m i n a t e w h e n dgfs b e c o m e s zero, where the A ion can fit the cage and becomes an har-monic oscillator, as in conventional oxide compounds. Us-ing the coordinate parameter x f o r t h e 4 8 f o x i d e a t o m , which is the only coordinate parameter in the structure, dgfs is equal to a(0.625 - x) - 140 - 138. The lattice constant a decreases from 1010.1 pm at ambient pressure to 1001.2 pm at Ps, reduced by only 0.9%.7) Although the x at HP is \nFig. 3. (Color Online) Powder X-ray diffraction patterns taken at T = 10 K and P = 0.9 (top), 3.9 (middle), and 5.2 GPa (bottom). A synchrotron radiation with a wavelength of 41.222 pm was used in the BL-10XU beamline at the SPring-8 facility. Peaks with indices in the top pattern are from a cubic β-pyrochlore phase. Weak peaks marked by a square and circles correspond to impurity phases of OsO2 and Os, respectively. The peak marked by a cross is unknown. The peaks marked by asterisks in the middle and bottom patterns corre-spond to solidified Ar put as a pressure-transmitting medium, which is not observed at 0.9 GPa because it may be broad and overlap with the intense 222 peak from the sample. The peaks marked by arrows emerge as a result of a structural transition to a monoclinic or triclinic structure. 5 not available at the moment, it may be reasonable to assume that it does not change much with pressure. x i s a l w a y s close to 0.3125 or slightly larger in most pyrochlore ox-ides.29) For example, x is equal to 0.3145 for β-KOs2O6 and 0.3137 for α-Cd2Re2O7 a t R T .7,17) S i n c e t h e c o o r d i n a t i o n around a transition metal ion becomes a regular octahedron for x = 0.3125, x cannot deviate much from this value un-less a large deformation of the octahedron is allowed, which must be unfavorable in terms of electronic energy as well as of Madelung energy. Assuming x = 0 . 3 1 4 5 u n d e r pressure gives a change in dgfs by just 8% from 36 to 33 pm toward Ps. This change is obviously too small to s a y t h a t rattling is suppressed owing to the compression of the cage. On the other hand, large initial increases in Tc h a v e b e e n observed for RbOs2O6 and CsOs2O6 under pressure, which may imply that the electron-rattler interaction is rather en-hanced by pressure. Thus, we have not yet reached a rea-sonable explanation for the observed complicated pressure dependences of Tc i n t h e c u b i c p h a s e . A m o r e s y s t e m a t i c HP study, particularly on the structure, using high-quality single crystals of all the three compounds is required for a further understanding. \nThe high symmetry of the surrounding cage is important for the rattling phenomena; in a cage with low symmetry, a rattler tends to be trapped at off-center positions with a lower potential energy, particularly at low temperatures with weaker thermal vibrations. The Td symmetry preserved for the cage in β-pyrochlores must be crucial to the intense rattling of A ions around the on-center position. The struc-tural transition at Ps must break the Td symmetry and stop the rattling completely or at least weaken it significantly. This causes the large reduction in Tc observed at Ps, becau-se a certain energy gain in the Cooper pairing due to the rattling has been removed. From another perspective, KOs2O6 is a superconductor even without the rattling, if the rattling has stopped completely above Ps; the rattling only enhances Tc. Hattori and Tsunetsugu have theoretically analyzed the mechanism of superconductivity in β-pyrochlore oxides.30) They found that two kinds of pho-nons are necessary in order to reproduce the chemical trend of Tc: one is a rattling mode with a low energy and the other is a normal Debye mode with a high energy at 260 K. Adopting appropriate parameters, they estimate Tc to be 6.5 K only from the contribution of a Debye phonon and to increase to 10.5 K by adding a rattling phonon. Thus, there is an enhancement in Tc by 4 K due to the rattling at ambi-ent pressure.30) T he observed drop in Tc b y 3 . 2 K a t Ps i s comparable to the theoretical expectation, if the contribu-tion of the rattling has completely vanished above Ps. The two weak anomalies at TO1 and TO2 can be attribut-ed to other weak structural transitions concerning r a t t l i n g vibration. It is clear that, in β-pyrochlores, the magnitude and temperature dependence of resistivity are dominantly governed by the electron scattering due to the rattling. Thus, any transition that affects resistivity much should be related to a change in rattling vibration. In KOs2O6, there is a weak first-order structural transition at Tp = 7 . 6 K b e l o w Tc a t ambient pressure,3,31) which is considered to be a liquid-gas transition concerning the rattling degree of freedom and is called the rattling transition.32-34) The lattice constant is in-creased by only 0.01% below Tp,33) so that pressure should decrease Tp. In fact, recent specific heat measurements by Umeo et al. found that the transition vanished even at only 0.02 GPa.35) T h u s , t h e p r e s e n t t w o a n o m a l i e s a r e n o t di-rectly related to the rattling transition. We speculate that they evidence successive, second-order structural transi-tions that involve small structural changes and weak reduc-tions in symmetry, much weaker than those at Ps; either phases II or II' may belong to one of the maximal sub-groups of Fd-3m. The XRD data at 0.9 and 3.9 GPa shown in Fig. 3 should show diffraction patterns for phases I and II', respectively. However, it is difficult to see a small dif-ference between them, if any, owing to the marked peak broadening in the 3.9 GPa data. Further experiments to search for structural transitions are in progress. It is consid-ered, however, that accompanying changes in diffraction patterns can be very small, as in the case of Cd2Re2O7, where the space group changes from cubic Fd-3m to tetra-gonal I-4m2 at 200 K with negligible tetragonal distortion.36) 5. Conclusions In summary, we have performed resistivity measure-ments on a high-quality single crystal of KOs2O6 and pow-der X-ray diffraction experiments under HP up to 5 GPa. A first-order phase transition from a cubic phase with high Tcs to a lower-symmetry phase with low Tcs is observed at Ps = 3.6 GPa. A large reduction in Tc f r o m 6 . 5 t o 3 . 3 K a t Ps suggests that the increase in Tc by the rattling has been ab-\nFig. 4. (Color Online) Pressure-temperature phase diagram for β-KOs2O6. Phase I is a high-temperature, low-pressure phase crystallizing in the cubic pyrochlore structure of the space group Fd-3m, where an intense rattling of the K ion has been observed. Phase III possesses a monoclinic or tri-clinic structure with lower Tcs. A phase boundary between them exists at Ps = 3.6 GPa, which is probably followed by the Ts line at high temperatures. Phase II appears below TO2 and is replaced by phase II' below TO1. Tp, a critical pressure for a first-order structural transition called the rattling transi-tion, is completely suppressed below 0.02 GPa.35) 6 rogated above Ps. Two anomalies in resistivity are observed below Ps, which suggests that there are more structural transitions accompanying minor changes in rattling vibra-tion. Acknowledgments The HP diffraction experiments were carried out under the approval of JASRI (Proposal Nos. 2007A2089 and 2007B1183). This work was partly supported by Grant-in-Aids for Scientific Research B (22340092), Scien-tific Research C (20540311), Scientific Research on Prior-ity Areas (18027017 and 19052003), and Scientific Re-search on Innovative Areas (20102005) provided by MEXT, Japan. 1) S. Yonezawa, Y. Muraoka, Y. Matsushita, and Z. Hiroi: J. Phys.: Condens. Matter 16 (2004) L9. 2) M. Brühwiler, S. M. Kazakov, J. Karpinski, and B. Batlogg: Phys. Rev. B 73 (2006) 094518. 3) Z. Hiroi, S. Yonezawa, Y. Nagao, and J. Yamaura: Phys. Rev. B 76 (2007) 014523. 4) Y. Nagao, J. Yamaura, H. Ogusu, Y. Okamoto, and Z. Hiroi: J. Phys. Soc. Jpn. 78 (2009) 064702. 5) W. A. Harrison, Solid State Theory (McGraw-Hill Book Company, New York, 1970) 389. 6) A. D. Caplin and L. K. Nicholson: J. Phys. F 8 (1978) 51. 7) J. Yamaura, S. Yonezawa, Y. Muraoka, and Z. Hiroi: J. Solid State Chem. 179 (2006) 336. 8) J. Kunes, T. Jeong, and W. E. Pickett: Phys. Rev. B 70 (2004) 174510. 9) R. Galati, C. Simon, P. F. Henry, and M. T. Weller: Phys. Rev. B 77 (2008) 104523. 10) Z. Hiroi, S. Yonezawa, T. Muramatsu, J. Yamaura, and Y. Muraoka: J. Phys. Soc. Jpn. 74 (2005) 1255. 11) K. Sasai, K. Hirota, Y. Nagao, S. Yonezawa, and Z. Hiroi: J. Phys. Soc. Jpn. 76 (2007) 104603. 12) H. Mutka, M. M. Koza, M. R. Johnson, Z. Hiroi, J. Yamaura, and Y. Nagao: Phys. Rev. B 78 (2008) 104307. 13) T. Hasegawa, Y. Takasu, N. Ogita, M. Udagawa, J. Yamaura, Y. Nagao, and Z. Hiroi: Phys. Rev. B 77 (2008) 064303. 14) J. Schoenes, A.-M. Racu, K. Doll, Z. Bukowski, and J. Karpinski: Phys. Rev. B 77 (2008) 134515. 15) T. Dahm and K. Ueda: Phys. Rev. Lett. 99 (2007) 187003. 16) M. Yoshida, K. Arai, R. Kaido, M. Takigawa, S. Yonezawa, Y. Muraoka, and Z. Hiroi: Phys. Rev. Lett. 98 (2007) 197002. 17) Z. Hiroi, J. Yamaura, S. Yonezawa, and H. Harima: Physica C 460-462 (2007) 20. 18) T. Muramatsu, N. Takeshita, C. Terakura, H. Takagi, Y. Tokura, S. Yonezawa, Y. Muraoka, and Z. Hiroi: Phys. Rev. Lett. 95 (2005) 167004. 19) K. Miyoshi, Y. Takaichi, Y. Takamatsu, M. Miura, and J. Takeuchi: J. Phys. Soc. Jpn. 77 (2008) 043704. 20) R. Saniz and A. J. Freeman: Phys. Rev. B 72 (2005) 024522. 21) T. Isono, D. Iguchi, Y. Machida, K. Izawa, B. Salce, J. Flouquet, H. Ogusu, J. Yamaura, and Z. Hiroi: Physica C, in press. 22) S. Katrych, Q. F. Gu, Z. Bukowski, N. D. Zhigadlo, G. Krauss, and J. Karpinski: J. Solid State Chem. 182 (2009) 428. 23) N. Mori, C. Murayama, H. Takahashi, H. Kaneko, K. Kawabata, Y. Iye, S. Uchida, H. Takagi, Y. Tokura, Y. Kubo, H. Sasakura, and K. Yamaya: Physica C 185-189 (1991) 40. 24) K. Murata, K. Yokogawa, H. Yoshino, S. Klotz, P. Munsch, A. Irizawa, M. Nishiyama, K. Iizuka, T. Nanba, T. Okada, Y. Shiraga, and S. Aoyama: Rev. Sci. Instrum. 79 (2008) 085101. 25) Z. Hiroi, T. Yamauchi, T. Yamada, M. Hanawa, Y. Ohishi, O. Shimomura, M. Abliz, M. Hedo, and Y. Uwatoko: J. Phys. Soc. Jpn. 71 (2002) 1553. 26) R. Saniz, J. E. Medvedeva, L. H. Ye, T. Shishidou, and A. J. Freeman: Phys. Rev. B 70 (2004) 100505(R). 27) H. Harima: J. Phys. Chem. Solids 63 (2002) 1035. 28) D. Errandonea, Y. Meng, M. Somayazulu, and D. Häusermann: Physica B 355 (2005) 116. 29) M. A. Subramanian, G. Aravamudan, and G. V. S. Rao: Prog. Solid State Chem. 15 (1983) 55. 30) K. Hattori and H. Tsunetsugu: Phys. Rev. B 81 (2010) 134503. 31) Z. Hiroi, S. Yonezawa, and J. Yamaura: J. Phys.: Condens. Matter 19 (2007) 145283. 32) J. Yamaura, M. Takigawa, O. Yamamuro, and Z. Hiroi: J. Phys. Soc. Jpn. 79 (2010) 043601. 33) K. Sasai, M. Kofu, R. M. Ibberson, K. Hirota, J. Yamaura, Z. Hiroi, and O. Yamamuro: J. Phys.: Condens. Matter 22 (2010) 015403. 34) K. Hattori and H. Tsunetsugu: J. Phys. Soc. Jpn. 78 (2009) 013603. 35) K. Umeo, H. Kubo, J. Yamaura, Z. Hiroi, and T. Takabatake: J. Phys. Soc. Jpn. 78 (2009) 123602. 36) J. Yamaura and Z. Hiroi: J. Phys. Soc. Jpn. 71 (2002) 2598. " }, { "title": "2009.09661v3.Contact_resistance_assessment_and_high_frequency_performance_projection_of_black_phosphorus_field_effect_transistor_technologies.pdf", "content": "Contact resistance assessment and high-frequency\nperformance projection of black phosphorus\n\feld-e\u000bect transistor technologies\nz\nLeslie M. Valdez-Sandoval1, Eloy Ramirez-Garcia1, David\nJim\u0013 enez2, Anibal Pacheco-Sanchez2\n1Instituto Polit\u0013 ecnico Nacional, UPALM, Edif. Z-4 3er Piso, Cd. de M\u0013 exico,\n07738, M\u0013 exico\n2Departament d'Enginyeria Electr\u0012 onica, Escola d'Enginyeria, Universitat\nAut\u0012 onoma de Barcelona, Bellaterra 08193, Spain\nE-mail: lvaldezs1001@alumno.ipn.mx, ramirezg@ipn.mx,\ndavid.jimenez@uab.cat, anibaluriel.pacheco@uab.cat\nAbstract. In this work, an evaluation of the contact quality of black phosphorus\n(BP) \feld-e\u000bect transistors (FETs) from di\u000berent technologies previously reported\nis performed by means of an e\u000ecient and reliable contact resistance extraction\nmethodology based on individual device practical characteristics. A good\nagreement is achieved between the extracted values with the Y-function method\nused here and reference values obtained with other methods considering internal\nvalues as well as with more expensive methods involving fabricated test structures.\nThe method enables a direct evaluation of di\u000berent steps in the same technology\nand it embraces the temperature dependence of the contact characteristics.\nChannel phenomena have no impact on the extracted contact resistance values.\nHigh-frequency performance projections are obtained for fabricated devices based\non the extracted contact resistance.\nKeywords : Contact resistance, Schottky barrier, BPFET, AC performance\n1. Introduction\nOver the past decade, two dimensional (2D) semiconductors have emerged as\npromising candidates for future generations of nanoelectronic devices, due to their\nultrathin bodies and high carrier mobility [1, 2], that are considerably di\u000berent from\nthose in their bulk parental materials. More recently, black phosphorus (BP), with\na direct bandgap of \u00180:3 eV in its bulk form and up to 2 eV for monolayers [3],\nhas shown an excellent electronic e\u000eciency for high performance transistors [3, 4].\nExperiments have shown that, for certain device bias and temperature conditions, BP\n\feld-e\u000bect transistors (FETs) can exhibit an on/o\u000b ratio up to 106and mobility up\nzThis is the Accepted Manuscript version of an article accepted for publication in Semiconductor\nScience and Technology . IOP Publishing Ltd is not responsible for any errors or omissions in this\nversion of the manuscript or any version derived from it. The Version of Record is available online\nat 10.1088/1361-6641/abbaedarXiv:2009.09661v3 [cond-mat.mes-hall] 22 Oct 2020Contact resistance assessment and HF performance projection of BPFETs 2\nto 1000 cm2=V\u0001s [3]. With its tunable band gap and high carrier mobility, BP is a\nsuitable material to implement transistors for low-power high-frequency applications\n[5{7].\nOne of the major challenges in understanding and exploiting the intrinsic charge\ntransport properties in emerging transistor technologies, such as BPFETs, arises\nfrom the contact resistance ( RC) associated to interfaces between metal and low-\ndimensional channels such as 2D atomic layers. RCis often associated to an energy-\nand material-dependent potential barrier induced by the interaction between the\nsource and drain contacts and the two-dimensional channel material, as is the case\nfor BP [8]. In these Schottky-like FETs, it is important to understand the contact\nproperties before extracting intrinsic properties of the channel such as a channel\nresistanceRchdescribing the transport phenomena within the device channel. Hence,\na reliable and Rch-independent characterization of RCis required.\nIn general, the total contact resistance RCembraces the contribution of the source\ncontact resistance RC;Sand the drain contact resistance RC;D. In order to ease the\nstudy, these resistances are lumped here in a symmetrical dispossal such as RC=\nRC;S+RC;D. The total device resistance Rtot(=VDS=ID) is the sum of channel and\ncontact resistances, i.e., Rtot=Rch+RC.\nIn this work, an RC-extraction methodology based on individual device\ncharacteristics is presented in Section 2. Contacts of fabricated BPFET technologies\nare characterized by extracting their corresponding RCin Section 3. RC-enabled\ndiscussions regarding the impact of temperature and doping on potential barriers of\nmetal-channel interfaces of some of the studied BPFETs are also included in Section\n3. A high-frequency performance projection of fabricated BPFETs, enabled by RC\nand other device parameters, is also presented. The \fnal part of the work draws some\nconclusions.\n2. Contact resistance extraction\nIn the literature, values for RCof BPFETs have been obtained either by means of the\nfabrication of special test structures [4,9,10,24] or by describing the device behaviour\nwith adjusted models [11{13]. The latter is a technology-speci\fc approach which\nrelies on the \ftting parameters of an analytical or compact model (CM) and on the\nphysical thoroughness of the model. The use of conventional extraction techniques in\nBPFETs, such as 4-point-probe (4PP) methods [24] and the transfer length method\n(TLM) [4,9,10], provides RCvalues at the cost of additional process steps and whose\nreliability depends on a high-yield not reached yet by this emerging technology.\nThe drift-di\u000busion-based Y-function [14] has been used to extract values of RC\nfrom individual device characteristics of di\u000berent 2D [15{17] emerging transistor\ntechnologies and without the need of additional test structures. Y-function-based\nmethods rely on the relation between the drain current IDin the linear regime and the\nsquare root of the transconductance gm(=@ID=@VGS) of a device such as Y=ID=pgm.\nIn this work, the electron drain current ( ID) at the linear operation is considered\nas [17,18]\nID\u0019\fVGS;e\u000b\n1 +\u0012VGS;e\u000bVDS; (1)\nwhereVGS;e\u000b=VGS\u0000Vth\u0000VDS=2 is the e\u000bective gate-to-source voltage with VGS=DS,\nthe gate-to-source/drain-to-source voltage, Vththe threshold voltage, \u0012=\u00120+RC\fContact resistance assessment and HF performance projection of BPFETs 3\nis the extrinsic mobility degradation coe\u000ecient [14, 19], embracing the mobility\ndegradation inside the channel due to vertical \felds \u00120,\f=\u00160Coxwg=Lgwith\u00160\nas the low-\feld mobility, Coxthe oxide capacitance, and wg, andLgthe gate width\nand gate length, respectively.\nFrom Eq. (1) and by using the Y-function and an auxiliar X-function (X=\n1=pgm), a bias-dependent contact resistance can be obtained as [20]:\nRC=VDS\nY2V2\nGS ;e\u000b\u0014\u0012XY\nVGS ;e\u000b\u00001\u0013 \u00121\nVGS ;e\u000b\u0013\n\u0000\u00120\u0015\n: (2)\nNotice that in contrast to other works [5], [16] where a di\u000berent Y-function based\nextraction method (YFM) has been used in BPFETs, RCextracted here considers the\ne\u000bect of\u00120, as well as a more complete model for ID, and hence, more accurate and\ncomplete information can be obtained by using Eq. (2) [18,23].\n3. Results and discussion\nThe development of BPFET technology has been demonstrated by di\u000berent groups\nin the literature with fabricated proof-of-concept devices [4{7, 9, 10, 21, 24, 25]. In\nthis section, the YFM discussed above has been applied in order to characterize the\ncontacts of these transistors.\n3.1.RCcharacterization of fabricated devices\nThe contacts of di\u000berent BPFET technologies [4{7, 9, 10] have been evaluated by\nextracting the corresponding RCvalues with the YFM discussed above. Table 1 lists\nthe device geometry of some of the studied technologies [4,5,9,10,21], as well as the\nreference contact resistivity RC;ref\u0001wg, withwgas the device gate width, obtained\nwith other methods as reported in the corresponding reference. Notice that a di\u000berent\ntechnology implies di\u000berent device footprints, e.g. gate length Lg, architectures and\nfabricated processes, and hence, a systematic scaling study is not feasible in this\nwork despite the universality of the method presented here. However, doping- and\ntemperature-dependent RCstudies are presented (cf. Figs. 3 and 4).\nThe experimental transfer characteristics of some of the devices under study\n(DUT) [9, 13] are shown in Fig. 1. The drain current obtained by Eq. (1) with\nthe extracted parameters is also shown within the bias range in which YFM has been\napplied in each device. The good match between experimental data and Eq. (1)\nindicates the validity of the extracted parameters. Similar results have been obtained\nfor the other devices studied in this work. According to the authors knowledge this\nveri\fcation step has not been previously reported for BPFETs.\nFig. 2 (a) highlights the RCextracted values of the di\u000berent DUTs [9, 10, 13] at\ndi\u000berentVGS. In contrast to the reference values, RC;YFM shows a bias-dependence,\ndue to the contact characteristics, i.e., modulation of potential contact barriers by\nan electric \feld. In addition, RC;YFM extracted values are close to the reference\nvalues extracted using the TLM-method and CM-method (\flled markers in Fig. 2\n(a)) [9, 10, 13]. The slight di\u000berences between extracted and reference values can be\ndue to an extraction under di\u000berent bias conditions (see Table I), e.g., the bias point\nor range at which reference RCfor the 300 nm-long device [13] is valid has not been\nreported.Contact resistance assessment and HF performance projection of BPFETs 4\nTable 1: Device dimensions and reference contact resistivity of fabricated BPFETs.\n[ref.]wgLgRC;ref\u0001wg extraction\n(µm) (nm) (k\n \u0001µm) method\n[4] 2:3 100 0 :7 TLM\n(VGS=\u00004 V,\nVDSnot reported)\n[5] 11 250 4 :5 - 6:7 simpli\fed\n(bias not reported) YFM\n[9] { 170 2 :28 TLM\n(VGS=\u00001:5 V,\nVDSnot reported)\n[10] 10 200 0 :58 TLM\n(VGS= 0 V,\nVDS=\u00001 V)\n[21] 3:16 300 1 :4 CM in [13]\n(at linear region)\n[24] 3\u0000 101:02 4PP\n(VGS=\u000054:4 V,\nVDSnot reported)\nFigure 1: Transfer characteristics of the BPFETs: (a)for a 170 nm-long device [9],\n(b)for a 300 nm-long device [13].\nThe ratio between channel resistance and extracted contact resistance indicates\nthe impact of RchandRCon the device performance. Fig. 2 (b) shows this ratio,\nfor the DUTs [9,10,13] over the bias range in which RChas been extracted. VGS;0is\ntheVGSclosest to the threshold voltage. If the ratio Rch/RCis close to 1, it means\nthat both, RchandRCcontribute similarly to the device total resistance, which is\nthe case for the DUTs included in Table 1, [9, 10, 13]. The impact of the contact or\nchannel properties on the device performance can be quanti\fed independently. This\nis embraced by the extraction method as demonstrated by analyzing the ratio of Rch\nto the extracted RCof the 200 nm device [10] with and without boron nitride (BN)-\ninduced potential barriers at the source and drain contacts. As shown also in Fig. 2\n(b),RCdominates the performance of the 200 nm-long device [10] with BN barriers,Contact resistance assessment and HF performance projection of BPFETs 5\nFigure 2: (a)Contact resistivity of di\u000berent BPFET technologies: reference values\nhave been reported from TLM measurement for a 170 nm-long device [9] and for a\n200 nm-long device [10], and from an adjustment of a CM for a 300 nm-long device [13].\nAll crosses correspond to RC;YFM values extracted at VDS=\u00000:1 V and di\u000berent VGS:\nfor [9] the bias range is \u00001:5 V\u0014VGS\u0014\u00001:39 V, for [10] is\u00000:2 V\u0014VGS\u00140:1 V\nand for [13] is\u00002:5 V\u0014VGS\u0014\u00002:3 V.(b)Ratio between channel resistance ( Rch)\nandRC;YFM [9, 10, 13], all reported Rch/RC;YFM ratios correspond to VDS=\u00000:1 V.\nExtracted values of [13] are also reported at di\u000berent temperatures.\nbecause they add an additional resistance to the metal-channel interface while the\nchannel properties remain the same. Furthermore, Figs. 2 (a) and (b) show that\nYFM is as reliable as other methods, however, in contrast to the latter, YFM allows\nthe evaluation of di\u000berent technologies from the I\u0000Vcharacteristics and at di\u000berent\ntemperatures of individual devices without the need of test stuctures or an adjustment\nof a complete set of model parameters.\nFig. 3 shows the contact RC\u0001wg, channelRch\u0001wgand total resistivity Rtot\u0001wg, of\nthe 200 nm-long devices with and without additional BN barriers at the contacts [10].\nNotice that RChas been extracted for each device at similar transistor operation\nregions with respect to Vthtowards a fair comparison in terms of transport conditions.\nFor both cases, Rtothas been obtained using Rtot=VDS=ID,RCby the YFM method,\nEq. (2) and Rch=Rtot\u0000RC.RCis higher for the device with additional barrier\ncompared to the barrier-free device. Therefore Rtotalso increases, which will cause\nanIDdecrease. The Rchresults are almost equal in both devices. This implies that\n(i) transport phenomena within the channel material have minimum impact on the\ndevice performance, in contrast to the phenomena associated with the characteristics\nof contacts and (ii) the extraction method used here is totally independent of channel\nphenomena.\nFigs. 4 (a)-(b) show the extracted RC;YFM with reference values reported over\na bias range of a 100 nm-long device and a 170 nm-long device. The reported values\n(RC;TLM) in Fig. 4 (a), are evaluated at a VGS-bias range lower than the VGS-bias\nrange in this paper, however, by extrapolating the incremental trend of RC;TLM the\nvalues ofRC;YFM are obtained. The comparison between RC;TLM measured in [9]\nandRCextracted by the YFM method at several VGSis shown in Fig. 4 (b), this\ncomparison highlights that the di\u000berence between RC;TLM andRC;YFM is minimal\nwithin the similar bias range in which both methods have been applied. Fig. 4\n(c), shows the variation of RCfor a BP-device at di\u000berent temperatures, and it canContact resistance assessment and HF performance projection of BPFETs 6\nFigure 3: Contact resistivity, channel resistivity, and device total resistivity of\nthe 200 nm-long transistor, Rtothas been extracted from transfer curves in [10] at\nVDS=\u00000:1 V. Un\flled markers correspond to a 7 nm thick BP-PMOSFET without\nboron nitride (BN) tunneling barrier at source/drain. Filled markers correspond to\nthe same device but with bilayer BN tunneling barriers at source and drain.\nbe concluded that the RCdecreases as the temperature increases, which coincides\nwith an analytical model of a temperature-dependent contact resistance model shown\nelsewhere [12]. Furthermore, the VDS-dependence of RCreveals the sensitivity of\nphenomena within the metal-BP interface to lateral electric \felds. The contribution\nat source and drain interfaces of these \felds can be either symmetrical or unbalanced,\nhowever, this is out of the scope of this study.\nThe method has been proven to extract the contact resistivity, also for BPFETs\nwith more challenging channel con\fgurations, since Rchhas no impact on the RC\nextraction in YFM, such as the device in [24] where the YFM-extracted contact\nresistivity is of 107 k\n \u0001µm which is\u00196% close to the reported value of 101 k\n \u0001µm\n(see Fig. 2(c) in [24]) for VGSin the range of\u000053:1 V to\u000055:6 V.\n3.2.RC-based high-frequency performance projection\nTheRCextracted for high-frequency BP-FETs [5{7] in Figs. 5 (a)-(c) show that RC\ndecreases as VDSincreases, as well as a larger variation with VGS. The latter embraces\nthe potential barrier change due to vertical \felds. The RCvalues extracted from [5] are\nshown in Fig. 5 (a), it can be seen that RCextracted (\u00195:6 k\n\u0001µm) atVDS=\u00000:1 V\nis between the values reported in [5] (see Table 1), therefore, this is indicative that\nthe YFM method obtains more accurate data with fewer simpli\fcations, compared to\nthe simpli\fed YFM method used in [5].\nThe high-frequency performance of bias-dependent BPFETs can be described by\nan equivalent small-signal circuit model shown elsewhere [17, 22]. For a symmetrical\ndisposal of source contact resistance and drain contact resistance, i.e., RC=2 =Rs=Rd,\nthe extrinsic cuto\u000b frequency fT;eand the extrinsic maximum oscillation frequencyContact resistance assessment and HF performance projection of BPFETs 7\nFigure 4: Contact resistivity of the BP device calculated by Eq. (2) from transfer\ncharacteristics. (a)for a 100 nm-long device [4], dotted line represents a linear\nextrapolation, (b)for a 170 nm-long device [9], (c)for a 300 nm-long device [13] at\ndi\u000berent temperatures.\nfMAX ;eare given by [22]\nfT;e\u0019gm;i\n2\u0019fCgg;t[1 +gd;iRC] +Cgd;t(gm;iRC)g; (3)\nfMAX ;e\u0019gm;i\n4\u0019p\t1+ \t 2+ \t 3; (4)\nwhere the total gate-to-source capacitance ( Cgg;t) and total gate-to-drain capacitance\n(Cgd;t) have been obtained by a simple practical approach towards the evaluation of\nthe impact of RCover the HF performance, i.e., by using Eqs. (4) and (5) in [22], the\nexperimental intrinsic fTandfMAX reported in the corresponding references [5{7,25]\n(see Table 2) and by assuming bias-independent capacitances within the bias range of\ninterest for this study.\nRg values have been obtained by using Eq. (6) in [22] considering the\ncorresponding device geometry. Similarly, \t 1, \t2, \t3have been calculated using Eq.\n(9) in [22]. Table 3 shows the bias-independent calculated values for each device in this\nstudy. For the intrinsic transconductance gm;i(=@ID/@VGS;i), obtained from transfer\ncharacteristics, and intrinsic output conductance gd;i(=@ID/@VDS;i), obtained from\noutput characteristics, the intrinsic gate-to-source voltage VGS;i\u0019VGS-IDRC/2 andContact resistance assessment and HF performance projection of BPFETs 8\nFigure 5: Contact resistivity of high frequency BP devices from di\u000berent technologies:\n(a)a 250 nm-long device [5], (b)a 300 nm-long device [6], and (c)a 400 nm-long\ndevice [7].\nTable 2: FoMs of di\u000berent technologies of BPFETs.\n[ref.]fT;efMAX ;eRC;ref\u0001wg\n(GHz) (GHz) (k\n \u0001µm)\n[5] 6 10 :72 4 :5 - 6:7\n(bias not reported)\n[6] 8 12 -\n[7] 2 17 -\n[25] 37 22 6\n(VGS=\u00000:5 V,\nVDS=\u00001 V)\nintrinsic drain-to-source voltage VDS;i\u0019VDS-IDRC, have been obtained by considering\nthe extracted RC;YFM for each device (cf. Fig. 5).\nThe high-frequency Figures of Merit (FoM) expressed by Eqs. (3) and (4) haveContact resistance assessment and HF performance projection of BPFETs 9\nTable 3: Capacitances and gate resistance of di\u000berent technologies of BPFETs.\n[ref.]Cgg;tCgd;tRg\n(aF) (aF) (\n)\n[5] 0:28 0:13 14:66\n[6] 168:19 83:78 12:22\n[7] 53:8 26:5 10\nbeen obtained for BPFET technologies for high-frequency applications: a 250 nm-long\ndevice [5], a 300 nm-long device [6] and a 400 nm-long device [7]. FoMs are reported\nin Fig. 6. The FoMs in [25] are not analyzed in this study because the YFM method\nis valid for a three-terminal device (gate, source and drain), while in [25] two extra\nterminals are considered (\fve contacts in total) to induce electrostatic doping both\nin the source and drain, however, we report these FoMs because the device described\nin [25] achieved the best fT/fMAX performances for a BPFET technology.\nNotice that ( fT;e,fMAX ;e), inferred from RC;YFM, roughly approximate the\nreported values of (6, 10.72) GHz [5], (8, 12) GHz [6] and (2, 17) GHz [7]. The obtained\nfT;evalues in Fig. 6, are comparable with fTreported in [6] at -1 :8 V\u0014VGS\u0014-1:7 V\nand in [7] at -1 :2 V\u0014VGS\u0014-0:9 V. The bias-dependence observed for fT;eandfMAX ;e\nis related to the VG-dependent RCextracted for each device (cf. Fig. 5).\nFigure 6: (a)Extrinsic cuto\u000b frequency and (b) extrinsic maximum oscillation\nfrequency within the bias range where RChas been extracted: 250 nm-long device [5]\n(VDS=\u00000:1 V,VGS;0=\u00001:4 V), 300 nm-long device [6] ( VDS=\u00000:1 V,VGS;0=\n\u00001:7 V) and 400 nm-long device [7] ( VDS=\u00001 V,VGS;0=\u00000:9 V).\nInterestingly, the highest fT;ehas been obtained for the shortest device [5] despite\nhaving the highest RCamong the three devices under study (see Fig. 5). This result\ncan be explained by an outstanding device electrostatics, i.e., the low values of Cgg;t\nandCgd;tassociated to the device reported in [5] (see Table 3) diminish the impact\nofRConfT;e.RCextracted for the 400 nm-long transistor [6] is the lowest and most\nbias-independent at VDS=-0:1 V of the devices under study. Therefore, the deviceContact resistance assessment and HF performance projection of BPFETs 10\ndescribed in [6] has the most linear fT;eperformance among the devices highlighted\nin Fig. 6 (a), the non-optimal electrostatics of this device [6], i.e., large capacitance\nvalues (see Table 3), hinders higher fT;evalues despite the lower RCin comparison\nto [5]. Fig. 6 (b) shows that fMAX ;ein [6] and [7] are close to each other despite a\nlowerRChas been obtained for the 300 nm-long device [6] in comparison to the largest\none [7]. Furthermore, Rgis similar for both devices as shown in Table 3. Hence, the\nlarge value of the capacitances obtained here for the device in [6] compared to the ones\nobtained for [7] impedes higher values of fMAX ;efor [6]. It is important to highlight\nthat a minimal change in these capacitances together with the RC;YFM formed in the\nmetal-channel interface, can modify the performance of these devices in HF. Therefore,\nin order to improve the dynamic HF performance of BPFETs, extreme care must be\ntaken with RCand the device electrostatics. The \frst parameter strongly in\ruences\nnot only the magnitude but the fT;eandfMAX ;eresponse over bias, while the latter\nrelated parameters can de\fne its value in combination with RC.Rghas not shown an\nimportant impact on the HF performance due to a lower sheet resistance of the metal\ngates as previously pointed out elsewhere [22].\n4. Conclusion\nThe drift-di\u000bussion-based Y-function method has been used here to \fnd the bias\ndependence of the RCof di\u000berent BPFET technologies, without the need of additional\ntest structures or adjustment of a set of parameters, in contrast to other methods,\ni.e., TLM or CM. In general, extracted RCvalues with Y-function are similar to\nthe reference values, obtained with other costly and less straightforward extraction\nmethods. Y-function method also captures the temperature dependence of RCfor\na BP-device. Additionally, it has been found that the in\ruence of the contact and\nchannel resistance can be studied since YFM values are not a\u000bected by the latter one.\nCombined with other key parameters, namely, gm,gds,Rgand intrinsic capacitances,\nit is possible to get the RF FoMs such as fT;eandfMAX ;ewhich are usually strongly\nin\ruenced by RC, especially at short channel lengths. YFM method is applicable\nto obtainRCat di\u000berent biases, potential contact barriers and temperature ranges,\nwhich means that it is an e\u000ecient and reliable methodology for data extraction based\non the individual DC characteristics of BPFETs.\nAcknowledgments\nThis project has been \fnancially supported by the Instituto Polit\u0013 ecnico Nacional,\nMexico under the contract no. SIP/20200617 and from the European Union \rs Horizon\n2020 research and innovation programme under grant agreements No GrapheneCore2\n785219 and No GrapheneCore3 881603, from Ministerio de Ciencia, Innovaci\u0013 on y\nUniversidades under grant agreement RTI2018-097876-B-C21(MCIU/AEI/FEDER,\nUE). This article has been partially funded by the European Regional Development\nFunds (ERDF) allocated to the Programa Operatiu FEDER de Catalunya 2014-\n2020, with the support of the Secretaria d'Universitats i Recerca of the Departament\nd'Empresa i Coneixement of the Generalitat de Catalunya for emerging technology\nclusters to carry out valorization and transfer of research results. Reference of the\nGraphCAT project: 001-P-001702.Contact resistance assessment and HF performance projection of BPFETs 11\nReferences\n[1] Cao W, Jiang J, Xie X, Pal A, Chu JH, Kang J, Banerjee K 2018 2-D Layered Materials for\nNext-Generation Electronics: Opportunities and Challenges, IEEE Transactions on Electron\nDevices ,65, 4109-4121.\n[2] Fiori G, Bonaccorso F, Iannaccone G, Palacios T, Neumaier D, Seabaugh A, Banerjee SK,\nColombo L 2014 Electronics based on two-dimensional materials, Nature Nanotechnology ,9,\n768-779.\n[3] Li L, Yu Y, Ye GJ, Ge Q, Ou X, Wu H, Feng D, Chen XH, Zhang Y 2014 Black Phosphorus\nField-e\u000bect Transistors, Nature Nanotechnology ,9, 372-377.\n[4] Li X, Yu Z, Xiong X, Li T, Gao T, Wang R, Huang R, Wu Y 2019 High-speed black phosphorus\n\feld-e\u000bect transistors approaching ballistic limit, Science Advances ,5, aau3194.\n[5] Zhu W, Park S, Yogeesh MN, McNicholas KM, Bank SR, Akinwande D 2016 Black Phosphorus\nFlexible Thin Film Transistors at Gighertz Frequencies Nano Letters ,16, 2301-2306.\n[6] Wang H, Wang X, Xia F, Wang L, Jiang H, Xia Q, Chin ML, Dubey M, Han SJ 2014 Black\nPhosphorus Radio-Frequency Transistors, Nano Letters ,14, 6424-6429.\n[7] Li T, Tian M, Li S, Huang M, Xiong X, Hu Q, Li S, Li X, Wu Y 2018 Black Phosphorus\nRadio Frequency Electronics at Cryogenic Temperatures, Advanced Electronic Materials ,4,\n1800138.\n[8] Penumatcha A, Salazar R, Appenzeller J 2015 Analysing black phosphorus transistors using an\nanalytic Schottky barrier MOSFET model, Nature Communications .\n[9] Haratipour N, Robbins MC, Koester SJ 2015 Black Phosphorus p-MOSFETs With 7-nm HfO2\nGate Dielectric and Low Contact Resistance, IEEE Electron Device Letters ,36, 411-413.\n[10] Yang L, Charnas A, Qiu G, Lin YM, Lu CC, Tsai W, Paduano Q, Snure M, Ye PD 2017 How\nImportant Is the Metal-Semiconductor Contact for Schottky Barrier Transistors: A Case\nStudy on Few-Layer Black Phosphorus?, ACS Omega ,2, 4173-4179.\n[11] Yarmoghaddam E, Haratipour N, Koester SJ, Rakheja S 2019 A virtual-source emission-di\u000busion\nI-V model for ultra-thin black phosphorus \feld-e\u000bect transistors, Journal of Applied Physics ,\n125, 165706.\n[12] Yarmoghaddam E, Haratipour N, Koester SJ, Rakheja S 2020 A Physics-Based Compact Model\nfor Ultrathin Black Phosphorus FETs \u0000Part I: E\u000bect of Contacts, Temperature, Ambipolarity,\nand Traps, IEEE Transactions on Electron Devices ,67, 389-396.\n[13] Yarmoghaddam E, Haratipour N, Koester SJ, Rakheja S 2019 A Physics-Based Compact Model\nfor Ultrathin Black Phosphorus FETs \u0000Part II: Model Validation Against Numerical and\nExperimental Data, IEEE Transactions on Electron Devices ,67, 397-405.\n[14] Ghibaudo G 1988 New method for the extraction of MOSFET parameters, Electronics Letters ,\n24, 543-545.\n[15] Chang HY, Zhu W, Akinwande D 2014 On the mobility and contact resistance evaluation\nfor transistors based on MoS2 or two-dimensional semiconducting atomic crystals, Applied\nPhysics Letters ,104, 113504.\n[16] Park H, Son J, Kim J 2018 Reducing the contact and channel resistances of black phosphorus\nvia low-temperature vacuum annealing, Journal of Materials Chemistry C ,6, 1567-1572.\n[17] Pacheco-Sanchez A, Feijoo PC, Jimenez D 2020 Contact resistance extraction of graphene FET\ntechnologies based on individual device characterization, submitted to Solid-State Electronics .\n[18] Pacheco-Sanchez A, Claus M, Mothes S, Schr oter M 2016 Contact resistance extraction methods\nfor short- and long-channel carbon nanotube \feld-e\u000bect transistors, Solid-State Electronics ,\n125, 161-166.\n[19] Hao C, Cabon-Till B, Cristoloveanu S, Ghibaudo G, Experimental determination of short-\nchannel MOSFET parameters, Solid-State Electronics ,28, 1025-1030.\n[20] Pacheco-Sanchez A, Claus M 2020 Bias-Dependent Contact Resistance Characterization of\nCarbon Nanotube FETs, IEEE Transactions on Nanotechnology ,19, 47-51.\n[21] Haratipour N, Namgung S, Oh SH, Koester SJ 2016 Fundamental Limits on the Subthreshold\nSlope in Schottky Source/Drain Black Phosphorus Field-E\u000bect Transistors, ACS Nano ,10,\n3791-3800.\n[22] Yin D, AlMutairi A, Yoon Y 2017 Assessment of High-Frequency Performance Limit of Black\nPhosphorus Field-E\u000bect Transistors, IEEE Transactions on Electron Devices ,64, 2984-2991.\n[23] Pacheco-Sanchez A and Jim\u0013 enez D, On the accuracy of Y-function methods for parameters\nextraction of two-dimensional FETs across di\u000berent technologies, submitted to Electronics\nLetters .\n[24] Chen X, Wu Y, Wu Z, Han Y, Xu S, Wang L, Ye W, Han T, He Y, Cai Y, Wang N 2015\nHigh-quality sandwiched black phosphorus heterostructure and its quantum oscillations, Nat.Contact resistance assessment and HF performance projection of BPFETs 12\nCommun. ,6, 7315.\n[25] Li C, Xiong K, Li L, Guo Q, Chen X, Madjar A, Watanabe K, Taniguchi T, Hwang J. C. M, Xia\nF 2020 Black Phosphorus High-Frequency Transistors with Local Contact Bias, ACS Nano ,\n14, 2118{2125." }, { "title": "1811.09528v1.Oxygen_vacancies_dynamics_in_redox_based_interfaces__Tailoring_the_memristive_response.pdf", "content": "Oxygen vacancies dynamics in redox-based interfaces: Tailoring the memristive\nresponse\nCristian Ferreyra1, Wilson Rom\u0013 an Acevedo1, Ralph Gay2, Diego Rubi1\n1GIyA and INN, CNEA, Av. Gral Paz 1499 (1650), San Mart\u0013 \u0010n, Buenos Aires, Argentina.\n2CIC nanoGUNE, Tolosa Hiribidea 76, 20018 Donostia-San Sebasti\u0013 an, Spain.\nMar\u0013 \u0010a Jos\u0013 e S\u0013 anchez\nINN, Centro At\u0013 omico Bariloche and Instituto Balseiro, 8400 San Carlos de Bariloche, Argentina.\n(Dated: October 14, 2021)\nRedox-based memristive devices are among the alternatives for the next generation of non volatile\nmemories, but also candidates to emulate the behavior of synapses in neuromorphic computing de-\nvices. It is nowadays well established that the motion of oxygen vacancies (OV) at the nanoscale\nis the key mechanism to reversibly switch metal/insulator/metal structures from insulating to con-\nducting, i.e. to accomplish the resistive switching e\u000bect.\nThe control of OV dynamics has a direct e\u000bect on the resistance changes, and therefore on di\u000berent\n\fgures of memristive devices, such as switching speed, retention, endurance or energy consumption.\nAdvances in this direction demand not only experimental techniques that allow for measurements of\nOV dynamics, but also of theoretical studies that shed light on the involved mechanisms. Along this\ngoal, we analize the OV dynamics in redox interfaces formed when an oxidizable metallic electrode\nis in contact with the insulating oxide. We show how the transfer of OV can be manipulated by\nusing di\u000berent electrical stimuli protocols to optimize device \fgures such as the ON/OFF ratio or\nthe energy dissipation linked to the writing process. Analytical expressions for attained resistance\nvalues, including the high and low resistance states are derived in terms of total transferred OV in\na nanoscale region of the interface. Our predictions are validated with experiments performed in\nTi/La 1=3Ca2=3MnO 3redox memristive devices.\nI. INTRODUCTION\nResistance random access memory (ReRAM) devices\nhave emerged as one of the main alternatives to current\n\rash memory technologies. Besides their potential ap-\nplication in the \feld of non-volatile memories, they have\nbeen also tested as logic devices1, and more recently, in\nthe emergent \feld of neuromorphics2.\nThe physical phenomenon behind ReRAMs is the so-\ncalled Resistive Switching (RS) e\u000bect, which is the re-\nversible and non-volatile change of the resistance of a\nmetal/insulator/metal structure upon the application of\nelectrical stimulus3{5.\nThe RS has been ubiquitously found in simple and\ncomplex oxides based devices and, in particular, in man-\nganese oxides known as manganites6. In these com-\npounds the switching is usually of bipolar type, which\nrequires opposite polarities for the electrical stimuli to\nachieve both the SET (high to low resistance, HR !LR)\nand RESET (low to high resistance, LR !HR) transi-\ntions.\nIt was recently shown that when oxidizable metals such\nas Ti or Al are used as electrodes, a thin oxide layer\n(TiOxor AlOx) is naturally formed at the interface be-\ntween the metal electrode and the insulating oxide. In\nparticular, in the case of Ti/LCMO(PCMO)7, the man-\nganite is spontaneously reduced after the deposition of\nTi. This results in a mixed interface TiO x/ LCMO 3\u0000x\n(PCMO 3\u0000x) in which the TiO xlayer behaves as a n-type\nsemiconductor and is in contact with the p-type reduced\nmanganite, forming a n-p diode. In these samples, theRS behavior has been related to a redox process involv-\ning the transfer of oxygen ions through the n-p layer9,10,\nwhile the other interfaces behave as ohmic11,12. We have\nrecently shown that the redox process is activated after\nthe n-p diode is polarized in direct mode, or in inverse\nmode above breakdown13.\nThe Voltage Enhanced Oxygen Vacancies drift\n(VEOV) model was originally developed to explain the\nRS behaviour in single manganites samples14and it\nwas further extended to analyse binary oxides based\ndevices15. It has been extensively tested in RS exper-\niments with several devices of the type M1/Oxide/M2,\nwith M1 and M2 metallic electrodes (like Pt, Au, Cu,\nAl), and oxides compounds ranging from manganites\n(PCMO, LCMO) and cuprates (YBCO), to binary ox-\nides like TiO 214{19.\nRecently, the VEOV model has been also adapted\nto mimic the RS behavior in Ti/LCMO/Pt samples,\nwhere the mixed TiO x/LCMO 3\u0000xinterface dominates\nthe memristive behavior of the device as a consequence\nof the redox process already described13.\nWith quite a few exceptions18,20,21most of the theo-\nretical studies disregard the connection between OV dy-\nnamics and the manipulation of the attained resistance\nstates. The ability to reversibly control the concentration\nand pro\fle of OV should have a straightforward impact\non the resistance changes, allowing the improvement of\nthe performance of practical devices. This can lead, for\nexample, to the optimization of switching speeds or to\nthe minimization of the energy consumption for the writ-\ning process. Advances in this direction demand not onlyarXiv:1811.09528v1 [cond-mat.mes-hall] 23 Nov 20182\nexperimental techniques that allow for measurements of\nOV dynamics23{25, but also of theoretical studies that\nshed light on the involved mechanisms. Along this goal,\nhere we perform a systematic analysis of the dynamics\nof OV in redox interfaces, and their response to di\u000berent\nprotocols and stimuli. We show the modelling to predict\nhow the electrical stimuli can be manipulated to control\nOV dynamics and optimize memristive \fgures such the\nON/OFF ratio or the energy consumption linked to the\nRESET process.\nIn addition, we derive analytical expressions for the\nattained resistance values in terms of the total amount\nof OV transferred along the interface and as a function\nof the applied voltage. This enables the reconstruction of\nthe R vs V resistance hysteresis switching loops (HSL).\nOur predictions are validated with experiments per-\nformed on the Ti/LCMO interface, demonstrating the\ncapability of this kind of simulations to understand the\nphysics related to redox memristive processes, paving the\nway to optimize the electrical response of practical de-\nvices.\nII. THE VEOV MODEL REVISITED FOR\nMIXED REDOX INTERFACES\nIn order to be self contained and to clarify notation, we\ndescribe here the main assumptions and equations of the\nVEOV14,15migration model here adapted for the study\nof RS in the mixed interface TiO x/LCMO 3\u0000x13.\nThe interface is the active region for the RS behavior,\nand it is modelled as a 1D chain of N=Nl+Nrtotal\nsites, where Nlsites are associated to the TiO xlayer and\nNrsites to the LCMO 3\u0000x, respectively. The links phys-\nically represent small domains of nanoscopic dimensions\nin both sub-oxides with an initial OV concentration that\nmight correspond to the pristine state (PS).\nWe characterize each domain ialong the chain by its\nresistivity\u001aiwhich is a function of the local OV density,\n\u000ei. An universal feature of oxides is that their resistivity\nis dramatically a\u000bected by the precise oxygen stoichiom-\netry. LCMO is a complex oxide that behaves as a p-type\nsemiconductor in which OV disrupt the Mn-O-Mn bonds\nwith the concomitant increment of the resistivity. On\nthe other hand, TiO x, behave as n-type semiconductor\nin which oxygen vacancies increment its conductivity. As\na consequence, we adopt for the \frst Nldomains asso-\nciated to the TiO xthe (most simple) relation between\nresistivity and OV density:\n\u001al\ni=\u001a0l\u0000Ai\u000ei: (1)\nwhere we de\fne \u001a0las the residual resistivity of the left\nlayer for negligible OV concentration ( \u000ei= 0). As the\nmodel description is given in terms of OV, we conceive\nthe TiOxas an OV doped TiO 2and therefore \u001a0lcorre-\nsponds to the resistivity of TiO 2, (i.e.x\u00182).On the other hand, as the resistivity of the LCMO 3\u0000x\nlayer increases due to the presence of OV22we de\fne for\nsitesi=Nl+ 1;N:\n\u001ar\ni=\u001a0r+Bi\u000ei; (2)\nbeing\u001a0rthe residual resistivity of the stoichiometric\nLCMO. The coe\u000ecients, AiandBiare speci\fc of each\nlayer (oxide) and can be taken either as constants or\nsmoothly dependent on the site position, without a\u000bect-\ning the qualitative behaviour of the simulated results.\nThe total resistance along the interface is computed as\nR=cPN\ni=1\u001ai, with the scale factor taken for simplicity\nc\u00111. Following Eqs.(1,2) we obtain\nR=NlX\ni=1\u001al\ni+NX\ni=Nl+1\u001ar\ni;\n=Rs\u0000NlX\ni=1Ai\u000ei+NX\ni=Nl+1Bi\u000ei; (3)\nwithRs\u0011Nl\u001a 0l+Nr\u001a 0rthe residual resistance of the\ninterface, which is assumed known.\nGiven an external stimulus (either a current I(t) or a\nvoltageV(t)) applied to the interface at time t, the OV\ndensity at site iis updated for each simulation step ac-\ncording to the rate probability pij=\u000ei(1\u0000\u000ej) exp(\u0000V\u000b+\n\u0001Vi), for a transfer from site ito a nearest neighbor j=i\n\u00061. Notice that pijis proportional to the OV present at\nsite i, and to the available concentration at the neighbour\nsite j14. In order to restrict the dynamics of OV to the in-\nterface region, we take p01=p10=pNN+1=pN+1N= 0.\nIn the Arrhenius factor exp( \u0000V\u000b+ \u0001Vi), \u0001Viis the\nlocal potential drop at site ide\fned as \u0001 Vi(t) =Vi(t)\u0000\nVi\u00001(t) withVi(t) =I(t)\u001ai=V(t)\u001ai=R. We denote V\u000b\nthe activation energy for vacancy di\u000busion in the absence\nof external stimulus. All the energy scales are taken in\nunits of the thermal energy kBTand we consider V\u000b=\nVA, for sites in the left layer (TiO x), andV\u000b=VBfor\nthose in the right layer (LCMO 3\u0000x).\nThe numerical implementation starts with the input of\nthe initial OV pro\fle along the interface, \u000ei(0);8i= 1::N.\nDi\u000berent electrical protocols can be employed. Accord-\ning to standard RS experiments, we chose the stimulus\nV(t) as a linear ramp following the cycle 0 !Vm1!\n\u0000Vm2!0 a.u. At each simulation time step tkwe com-\npute the local voltage pro\fle Vi(tk) and the local voltage\ndrops \u0001Vi(tk). Employing the probability rates pijwe\nobtain the transfers between nearest neighboring sites.\nAfterwards the values \u000ei(tk) are updated to a new set of\ndensities\u000ei(tk+1), with which we compute, at time tk+1,\nthe local resistivities \u001ai(tk+1), the local voltage drops un-\nder the applied voltage V(tk+1), and \fnally from Eq.(3)\nthe total resistance R(tk+1), to start the next simulation\nstep attk+1.\nThe initial con\fguration of OV in the pristine state\n(PS) has been taken consistently with the experimentally3\nreported (low resistance) initial state9,13, for which the\nnon-stochiometric TiO xlayer (x<2) contributes with a\nsigni\fcant conductivity. The partial oxidation of Ti layer\nis at expenses of the reduction of the thin LCMO layer\nthat becomes LCMO 3\u0000x. This redox process has been\nclearly identi\fed through spectroscopic characterization\nby the J ulich group in Ref.9. Taking into account this\nscenario, the initial OV density in the TiO xis such to\ngrant an appreciable conductivity to this layer. Addi-\ntionally, as the resistivity of the LCMO 3\u0000xincreases due\nto the presence of OV, we chose an OV pro\fle for the PS\nthat matches these requirements and compatible with the\n(low resistance) initial state of the complete interface (see\nFig.1(b)).\nFIG. 1: a) R vs V (HSL) obtained within the VEOV\nmodel for the 1st and 2nd cycles of the voltage protocol\n0!Vm1!\u0000Vm2!0. In the simulations we take\nVm1=jVm2j= 1200 a.u. and\nN= 90;Nl= 50;A= 750;B= 50;VA= 8:5,VB= 6:\nThe two latter values are chosen following Refs.15 and\n26, which report OV di\u000busions barrier for TiOx (up to\n2.5 eV) and LCMO ( \u00181.3 eV). Inset: Experimental\nHSL for a single cycle of the voltage protocol, with\nVm1=jVm2j= 1:8V. The arrows indicate the\ncirculation. b) OV density pro\fles, \u000ei, for di\u000berent\nresistance states indicated respectively in the HSL's of\npanel a). See text for details.\nIn Fig.1(a) we show a typical R vs V Hysteresis Switch-\ning Loop (HSL), obtained from the numerical simulations\nwith the VEOV model for a symmetric voltage ramp\ni.e.Vm1=jVm2j. Two consecutive cycles are considered\nin order to show the initial resistance (correspondent to\nt=0, V=0) of the PS state, together with the slightly\nerratic response of the begining of the 1st voltage cycle.\nIn the experiments reported in Refs.9 and 13, the RE-\nSET process takes place for positive stimulus and it is\nrelated to the transfer of OV (positive ions) from the\nTiOxlayer to the LCMO 3\u0000xlayer, the \frst becomingnearly stoichiometric ( x\u00182) and thus highly resistive.\nAt the same time, OV at the LCMO 3\u0000xcontribute to\nincrease the resistance. In Fig.1(b), the OV pro\fle as-\nsociated to the HR state of the 1st HSL is shown, in\ncomplete agreement with the described behaviour.\nThe SET transition takes place for negative stimulus,\nwhen the interface returns to a LR state. The associated\nOV pro\fle shown in Fig.1(b) corresponds to the LR state\nafter the completion of the 1st HSL. In this case OV\naccumulate at the left side of the left interface, while for\ninitial PS, which indeed has a higher resistance value,\nthe density of OV is constant. Besides the initial erratic\nbehavior of the 1st HSL, the HR and LR states associated\nto the next cycles of the voltage protocol become highly\nrepetitive and stable. As an example, we also show in\nFig.1(b) the OV con\fguration for the HR state of the\n2nd HSL.\nThe inset of Fig1(a) shows an experimental HSL\nrecorded for the Ti/LCMO interface, for a complete cy-\ncle of the applied voltage protocol. The similarity be-\ntween the simulated and experimental HSL's is remark-\nable, demonstrating the ability of the VEOV model to\ncollect the physics of the memristive e\u000bect. Notice that\nas the amount of transferred OV is controlled by the am-\nplitudes of the electrical stimuli, di\u000berent experimental\nHSL can be obtained by tuning the voltage (or current)\nexcursions, as it was already discussed in Ref.13, where\ndetails of the device fabrication can be also found.\nIII. RESISTANCE IN TERMS OF\nTRANSFERRED OXYGEN VACANCIES\nIn this section we advance a step further and derive\nanalytical expressions for the resistance values cast in\nterms of the transferred OV as a function of the applied\nstimulus.\nAs in typical experiments, the external electrical stress\ncan be either voltage V(t) or current I(t). For the sake\nof simplicity we consider voltage controlled experiments\nfollowing the aforementioned protocol, but the following\nreasoning will be valid when the stimulus is I(t).\nWe start from the initial state, correspondent to the\nOV con\fguration depicted in Fig.1 b), consistent with\nthe PS. Taking into account Eq.(3) we write\nR(0) =Rs\u0000Aal 0+Bar 0; (4)\nwhereRshas been previously de\fned and we here denote\nthe left and right initial areas (total number of OV), as\nal0\u0011PNl\ni=1\u000ei;0andar0\u0011PN\ni=Nl+1\u000ei;0, respectively,\nwith\u000ei(0)\u0011\u000ei;0, the OV density at site ifor the initial\nstate.\nPositive voltages 0 0 it is possible to compute the\nnumber of transferred vacancies a+(V(t)). Taking into\naccount the conservation of the total number of OV, we4\nde\fneal+(V) =al0\u0000a+(V) andar+(V) =ar0+a+(V).\nIn this way we can write:\nR+(V) =Rs\u0000Aal+(V) +Bar+(V)\n=R(0) + (A+B)a+(V); (5)\nshowing that the resistance R+(V) for positive voltages\nV(t) is determined by the transferred area a+(V) and\nsample speci\fc parameters. As a+increases,R+attains\nhigher values and thus it might be expected that for a\nsu\u000eciently strong voltage VR\u0014Vm1, the RESET transi-\ntion to the HR state takes places, i.e. R+(VR)\u0011HR.\nIn the next section we will study the OV transfer pro-\ncess in order to analyse di\u000berent scenarios for the RESET\ntransition. An important issue that will be addressed is\nwhether the RESET takes place for a+(VR) =al0(com-\nplete transfer of the initial number of OV), or alterna-\ntively fora+(VR)>CNl+1. Taking into account this approx-\nimation, the obtained analytical estimates for a+\nk(see\nEq.(A12)) enable the determination of the transferred\nareas as a function of the applied stimulus.\nIn the Appendix, we also derived estimates for a\u0000\nk(see\nEq.(A17)) to compute the transferred area a\u0000=P\nka\u0000\nk\nfor the case of negative applied stimulus.\nTo give a concrete example, we consider protocols con-\ntrolled by the current for which the expressions for a+(I)\nanda\u0000(I) adquire its simplest form, due to the fact that\nI(k), the current at each time step tk, is known. Figure\n2 shows the analytical estimates for a+(I) anda\u0000(I) ob-\ntained for a current loop I(t)= 0 !Im1!\u0000Im2!0.\nNotice that the convertion from transferred areas to re-\nsistance values is trivial following equations analogous to\nEqs.(5) and (6), for the case of current control experi-\nments. Thus, the analytical reconstruction of the HSL,\nR vs I, in terms of the applied stimulus is fully accom-\nplished. The analytical estimates, that only consider the\nOV at sites NlandNl+ 1, result almost indistinguish-\nable from the numerical values (see Fig.2) obtained with\nthe VEOV model. In this last case the complete OV\npro\fle along the whole interface has to be updated at\neach simulation step tk, which demands an appreciable\ncomputational e\u000bort.\nAn important \fgure of merit is the HR/LR ratio which,\nfrom Eqs.(5) and (6), can be expressed as:\nRL\u0000R0\nRH\u0000R0=a+(VR)\u0000a\u0000(VS)\na+(VR); (9)5\nFIG. 2: Transferred area a+(I) (a\u0000(I)) for a protocol\nI(t)= 0!Im1!0 (0!\u0000Im2!0). The circles\n(squares) were obtained following the analytical\nestimates Eq.(A12)) (Eq.(A17)). The diamond and\ntriangle symbols correspond to the numerical\ncalculations employing the VEOV model simulations.\nWe takeIm1=Im2= 4a:u\ntaking as a reference value R0.\nTo give a further insight into the transfer area process,\nin the next section we will analyze the dynamics of OV for\ndi\u000berent electrical protocols. This will allow to determine\nan optimal stimuli protocol, which shall be con\frmed by\nour experiments on the Ti/LCMO interface.\nIV. DYNAMICS OF OXYGEN VACANCIES\nGiven the fact that the HR and LR states are essen-\ntially determined by the areas associated to the OV trans-\nferred in the RESET and SET transitions respectively,\nan interesting and quite unexplored aspect is related to\nthe sensitivity of these processes to the peculiarities of\nthe voltage protocol. Along this goal, in this section we\nanalyse the associated dynamics of OV for di\u000berent ap-\nplied stimulus. We concentrate in the RESET process\nthat take place for positive stimulus V(t), but the same\nanalysis can be performed for the SET process.\nThe starting point is the initial OV con\fguration,\nwhich is shown in both top panels of Fig.3 labeled by\nV=0. This OV distribution de\fnes an initial area al0\non the left side of the interface, which we recall corre-\nsponds to the TiO xlayer. To analyse the time evolution\nof this initial OV density pro\fle, we consider two pos-\nitive voltage excursions (ramp1 and ramp2) of a linear\nramp 0< V\u0014Vm1, withVm1= 900 a.u., which di\u000ber\nin the rising time Ti(T1= 10 a.u and T2= 225 a.u.),\nrespectively.\nWe focus on the evolution of the OV for the 2nd volt-age cycle, to avoid the analysis of the initial transient in\nthe OV dynamics, which as we have already described,\nmanifests in an erratic behaviour of the 1st HSL (indeed\nobserved in the experiments).\nIn the top panels of Fig.3, we show snapshots of the\ndensity pro\fles for di\u000berent values of the voltages which\nare selected to sample the evolutions. The associated\ntransferred areas a+(V) are shown in the lower panels,\nrespectively.\nAn important outcome is that the duration of the\nrampTiturns out to be a knob that controls whether\nthe transfer of OV is complete or not. Notice that for\nramp 1, the transferred area seems to saturate in a value\na+\nsat\u00180:035< al 0= 0:05, before the completion of the\nvoltage excursion.\nThis implies that voltage amplitudes larger than V\u0018\n600 have not e\u000bect in transferring OV from the left to the\nright side of the interface. In addition, a \fnite amount\nof OV remains in the TiO xregion, consistently with the\nfact that the complet transfer is not achieved.\nOn the other hand, for the ramp 2 (right top panel\nof Fig.3) the initial area is fully transferred, i.e. a+\nsat=\nal0= 0:05. Indeed this is attained for voltage values\nlower than Vm1(in the present case for V= 350, see the\nOV pro\fle in the right top panel).\nFrom the plateau in each plot of a+(lower panels) we\ncan de\fne a saturated area value, a+\nsat. Doing this we\nhave a plausible criteria to estimate the reset voltage VR,\nas the voltage obtained at the intersection between the\nhorizontal line correspondent to null transfer area with\nthe tangent line at the value a+\nsat=2. This is explicitly\nsketched in both lower panels of Fig.3. The obtained\nvalues ofVRare in excellent agreement with the ones\nextracted from the HSL in the VEOV model simulations.\nIn the present example the complete transfer of OV is\nattained for ramp 2, with T2>T 1. We therefore can con-\nclude that, for linear continous ramps , lower slopes\nfavour the complete transfer of OV from the left to right\nside of the interface, once the amplitude of the ramp\nVm1exceeds a critial voltage necessary to activate the\ntransfer. From the above analysis the onset of the RE-\nSET transition is clearly identi\fed with the \\\frst arrival\"\nof the OV front to the right hand side of the interface\n(LCMO 3\u0000x).\nNext, we analyze the case of RESET process driven by\npulsed voltage ramps, which consist in a series of pulses\nof increasing amplitude and time width \u0001 T. Consecu-\ntive pulses are separated by \u0001 Tintervals with no ap-\nplied voltage, as it is shown in the inset of Fig. 4 b).\nThis type of voltage protocol is extensively used in the\nRS experiments.\nWe systematically vary \u0001 T, leaving the total duration\nof the ramp constant. In this way, shorter \u0001 Tare asso-\nciated with ramps with higher number of pulses. Figures\n4(a) and (b) display the corresponding R vs time and R\nvs V associated to the RESET process, for di\u000berent \u0001 T\nshown in the legend.\nWe recall that larger transferred area a+(V) implies6\nFIG. 3: Top panels: Spanshots of the OV density pro\fle\nalong the interface for di\u000berent values of the applied\nstimulus V(t), according to two protocols ramp1(left\npanel) and ramp2 (right panel), de\fning a linear ramp\n0K +:\na\u0000\nk=CNl\u000eNl(k) exp(I(k)\u001aNl)\u0000\n\u0000CNl+1\u000eNl+1(k) exp(\u0000I(k)\u001aNl+1)+\n+\u000eNl(k)\u000eNl+1(k)(CNl+1exp(\u0000I(k)\u001aNl+1)\u0000\n\u0000CNlexp(I(k))\u001aNl)):\n(A17)" }, { "title": "1906.08980v2.Current_localisation_and_redistribution_as_the_basis_of_discontinuous_current_controlled_negative_differential_resistance_in_NbOx.pdf", "content": "1 | Page \n Current localisation and redistribution as the basis of discontinuous current controlled \nnegative differential resistance in NbO x \nSanjoy Kumar Nandi1, Shimul Kanti Nath1, Assaad El Helou2, Shuai Li1, Xinjun Liu3, \nPeter E. Raad2 and Robert G. Elliman1 \n \nDr. S. K. Nandi, S. K. Nath, A. E. Helou, Dr. S. Li, A/Prof. X. Liu, Prof. P. E. Raad, Prof. R. G. Elliman \n1Department of Electronic Materials Engineering, Research School of Physics, The Australian National \nUniversity, Canberra ACT 2601, Australia \n2Department of Mechanical Engineering, Southern Methodist University, Dallas, Texas, USA \n3Tianjin Key Laboratory of Low Dimensional Materials Physics and Preparation Technology, Faculty of \nScience, Tianjin University, Tianjin 300354, China \nE-mail: sanjoy.nandi@anu.edu.au (S. K. Nandi) \nE-mail: rob.elliman@anu.edu.au (R. G. Elliman) \n \nAbstract \nDevices exploiting negative differential resistance (NDR) are of particular i nterest for analogue \ncomputing applications, including oscillator -based neural networks1. These devices typically \nexploit the continuous S -shaped current -voltage characteristic produced by materials with a \nstrong temperature dependent electrical conductivity2 but recent studies have also highlighted \nthe existence of a second, discontinuous (snap- back) characteristic that has the potential to \nprovide additional functionality. The development of devices based on this characteristic is currently limited by uncertainty over the underlying physical mechanism, which remains the subject of active debate\n3-4. Here, we use in -situ thermo -reflectance imaging and a simple model \nto finally resolve this issue. Specifically, we show that the snap -back response is a direct \nconsequence of current localization and redistribution within the oxide film, and confirm the \nveracity of the model by experimentally verifying predicted material and device dependencies. \nThese results conclusively demonstrate that the snap -back characteristic is a generic response \nof materials with a strong temperature dependent conductivity and therefore has the same \nphysical origin as the S -type characteristic. This is a significant outcome that resolves a long-\nstanding controversy and provides a solid foundation for engineering functional devices with \nspecific NDR characteristics. \n \n1. Introduction \nCurrent -controlled negative differential resistance (NDR) is observed in a wide range of \nmaterials including amorphous chalcogenides alloys5-6, mixed ionic -electron conduction \ndevices7, and amorphous transition metal oxides (e.g. TiO x 8, TaO x 9-11, VO x 12 and NbO x 13-16) \nand is being used to fabricate devices for brain -inspired computing, including: trigger \ncomparators 17, self -sustained and chaotic oscillators 18-19, threshold logic devices 20-21 and the 2 | Page \n emulation of biological neuronal dynamics 22-23. In their simplest form such devices consist of \nsimple metal- oxide -metal (MOM) structures and exhibit a smooth transition from positive to \nnegative differential resistance under current -controlled operation (hereafter referred to as S -\ntype NDR) due to a rapid increase in device conductance. In general this can arise from \nelectronic, thermal or a combination of electronic and thermal processes but for amorphous \ntransition metal oxides it is well explained by a thermall y induced conductivity change \nmediated by local Joule heating 2. Significantly, this can occur as the result of uniform \nconduction in the oxide film or filamentary conduction resulting from an e lectroform ing \nprocess 3 4. \nSeveral oxides (e.g. NbO x 3, VO x 27, TaO x 9, NiO x 28, and SiO x 29) have also been observed to \nexhibit a second discontinuous or “snap- back” characteristic (hereafter snap -back) that is \nmanifest as an abrupt, hysteretic voltage change during bidirectional current scans 9, 29-30. \nDevices exhibiting more complex combinations of S -type and snap- back characteristics hav e \nalso been reported and have the potential to afford new functionality 31. However, while the \norigin of the S -type characteristics is generally agreed, the orig in of the snap- back response \nremains controversial 4. For example, Kumar et al. 3 used in- situ temperature mapping to \ninvestigate both S -type and snap- back NDR in NbO x-based devices and found that the onset \ntemperat ure for the former was ~400 K, consistent with a conductivity change due to Poole -\nFrenkel conduction, while that of the latter was ~1000 K, close to the known Mott -Peierls \ninsulator -metal transition (IMT) in t -NbO 2 32-34. On this basis, and having observed the t -NbO 2 \nphase in related devices, it was concluded that the snap -back response was a direct result of \nthis transition. However, the IMT based mechanism lacks the generality to account for similar snap-back behaviour observed i n oxides such as TaO\nx 9, NiO x 28, and SiO x 29. It has also been \nquestioned by Goodwill et. al. 4 based on finite -element modelling of TaO x and VO x devices \nthat reproduces the snap- back response without recourse to a material specific phase transition. \nThis modelling further showed that the snap- back response was correlated with redistribution \nof the current distribution into regions of low and high current density. \nWe have recently developed a model that explains a diverse range of observed negative \ndifferential resistance characteristics, including the snap -back response 31. This was achieved \nby explicitly accounting for a non- uniform current distribution in the oxide film and it s impact \non the effective circuit of the device. In general, t he resulting current voltage characteristics \ncan be determined from finite element modelling of the current distribution and the feedback created by local Joule heating. However, for strongly localised distributions the essential 3 | Page \n physics is well demonstrated by a simple two -zone, lumped- element model in which the current \ndistribution between top (TE) and bottom (BE) electrodes is approximated by a high current -\ndensity core represented by an archetype memristor (threshold switch) and a low current -\ndensity shell represented by a resistor, as shown in Figure 1a . The NDR characteristics then \ndepend on the relative magnitudes of the shell resistance (R S) and the maximum negative \ndifferential resistance of the core (R NDR), with S -type characteristics predicted for R S > R NDR \nand snap- back characteristics predicted for R S < R NDR as depicted in Figure 1b,c (see \nsupplementary information) . Since the magnitude of R S depends on the conductivity ( 𝜎𝜎𝑜𝑜𝑜𝑜𝑜𝑜𝑜𝑜𝑜𝑜 ), \narea ( A), thickness ( t) and temperature (T) of the oxide film, the model predicts that the \ntransition from S -type to snap -back characteristics can be directly controlled by these \nparameters. \n \nFigure 1: The core- shell model of memristor and switching characteristics. a) Schematic of the core- shell \nrepresentation of the current distribution, and an equivalent lumped -element circuit model of the core -shell \nstructure, where R m represents a memristive element that reflects the temperature- dependent conductivity of the \ncore region and R S is the parallel shell resistor. TE and BE refer to top -electrode and bottom electrode, \nrespectively. b) Simulated current -voltage characteristics showing continuous S -type NDR with the inset showing \nmaximum negative differential resistance (~1030 Ω), and c) Simulated current -voltage characteristics showing \ndiscontinuous (“snap -back”) NDR behaviour for a parallel shell resistance of 350 Ω (R NDR > R S). Details of the \nmodelling a re provided in the Supplementary Information. \n \n4 | Page \n Here, we use in -situ thermo -reflectance imaging and quasi -static current -voltage characteristics \nof NbO x-based cross -point devices to determine the origin of the snap- back mode of NDR and \nvalidate the assumptions and predictions of the core -shell model of NDR. This is achieved by \ncorrelating NDR characteristics with device current distributions and by demonstrating that \nNDR characteristics transition between S -type to snap -back modes at critical values of film \nconductivity, area, thickness and temperature as predicted by the core -shell model. \n \n Figure 2 : Electroforming and NDR characteristics as a function of stoichiometry . a) Electroforming and b) \nsubsequent S -type NDR characteristics of a 10 µm × 10 µm cross -point device of with 25 nm Pt/5nm \nNb/NbO 2.6/25 nm Pt structure. c) Electroforming and d) subsequent snap -back NDR characteristics of a 10 µm × \n10 µm cross -point device with 25 nm Pt/5 nm Nb/ 44 NbO 1.92/25 nm Pt structure . e) Electroforming and f) \nsubsequent switching snap -back characteristics a 10 µm × 10 µm cross -point device with 25 nm Pt/5 nm Nb/ 44 \nNbO 1.92/25 nm Pt structure indicating switching due to current bifurcation. Note that switching characteristics of \nall samples were measured with negative bias applied to the top electrode unless otherwise stated. \n \n2. Results and Discussions \n2.1 Effect of film conductivity on NDR modes \n5 | Page \n The effect of oxide conductivity on electroforming and NDR characteristics was assessed using \n10 µm × 10 µm cross -point devices with low ( x=2.6) and high (x=1.92) conductivity NbO x \nfilms. (The relationship between composition and conductivity is included in the \nSupplementary Information). Electroforming was conducted in current -control mode using bi -\ndirection current sweeps, with typical results for the low conductivity film shown in Figure 2a . \nThis produces a sudden reduction in voltage as the current is i ncreased beyond a critical \nthreshold value and produces a permanent change in resistance, from a few MΩ before forming \nto ~10- 20 kΩ after forming, consistent with the creation of a permanent conductive filament. \nAfter forming, the devices exhibited stable S-type characteristics as shown in Figure 2b. In \ncontrast, devices with high conductivity films exhibited a snapback -characteristic during \nelectroforming, as shown in Figure 2c . In this case electroforming had only a minor impact on \nthe device resistance, reducing it from ~5 kΩ to ~3 k Ω, and subsequent current -scans produced \na similar snap -back response but with a lower threshold voltage and threshold current, as shown \nin Figure 2 d. \nThe forming characteristics of the high -conductivity films were also found to depend on the \nmaximum current employed for forming, with devices subjected to lower currents exhibiting snap-back characteristics without the creation of a permanent filament. This is illustrated in \nFigure 2e -f, which show successive current -sweeps for a high -conductivity film formed with a \nmaximum current of 12 mA, compared to the 15 mA employed for the previous measurement. \nNo significant change in device resistance was obser ved after the initial snap -back response \nand identical characteristics were measured during a second sweep, consistent with the current \nbifurcating into domains of high and low current -density . However, repeated cycling did \neventually cause a reduction in resistance and a concomitant reduction in both threshold-\nvoltage and threshold- current, consistent with the creation of a permanent filament \n35. \nThese results are consistent with the predictions of the core -shell model in that the low -\nconductivity film ( x=2.6) (i.e. higher shell resistance), exhibits S -type NDR characteristics, \nwhile the high conductivity film ( x=1.92) (i.e. low shell resistance), exhibits snap -back \ncharacteristics, as expected. Significantly, they also demonstrate that the snap -back response \ndoes not depend on the existence of a permanent conductive filament. \n2.2 In -situ temperature mapping \nTo further understand the significance of current localisation and the role of the shell region as a current divider, in -situ thermo -reflectance measurements were performed on devices 6 | Page \n exhibiting S -type and snap- back NDR characteristics. These measurements were performed \non NbO x films with a conductivity intermediate between those above (i.e. x=2.05), and \nincluded devices with and without permanent filaments. The results are summarised in Figure \n3 which shows measured current -voltage characteristics and tem perature distributions for three \ndevices: a post -formed 5 µm device with a permanent filament that exhibits S -type NDR ( Figs. \n3a-c); a post -formed 10 µ m device with a permanent filament that exhibits snap -back NDR \n(Figure 3d- f); and a device without a perm anent filament that exhibits snap -back NDR ( Figure \n3g-i). The devices with permanent filaments have highly localised temperature distributions \nover the full range of operating currents, as expected for filamentary conduction, but the \nresponse of the surrounding shell temperature is distinctly different in the two devices. For the \ndevice exhibiting S -type NDR ( Figure 3a ) both the core temperature and that of the \nsurroundings shel l increase monotonically with current , while for the device exhibiting snap-\nback NDR (Figure 3d) the temperature of the core increases rapidly during snap -back while \nthat of the surrounding shell decreases. As the temperature distribution reflects that of the current, the reduction in shell temperature is consistent with the role of the shell as a current \ndivider, with the shell current decreasing due to the increase in core conductivity. In contrast \nto the devices with permanent filaments, the third devic e has a spatially uniform current \ndistribution in the sub- threshold current range but undergoes bifurcation into high and low \ncurrent density domains at, or near, the threshold current ( Figures 3g- i). As for the filamentary \nsnap-back device this is also as sociated with a concomitant reduction in shell temperature. \nThese results clearly demonstrate that the snap- back response is associated with current \nlocalisation, either due to a pre -existing permanent filament or current bifurcation, and the \nredistributio n of current between low and high current -density domains. 7 | Page \n \nFig 3 : In-situ temperature measurements of S -type and snap- back NDR. a) Current -voltage (I -V) \ncharacteristics and average temperature rise of the filament and surrounding area (the area used for averaging is \nindicated by boxes in b ) as a function of applied current, b) 2D maps of the surface temperature rise in a 5 µm \ndevice operating at 1 mA and 6 mA, c) Temperature (current) localisation of S -type NDR in the post -formed \ndevice at different current levels as shown in a, d) I-V characteristics and average temperature rise of the \npermanent filament and surrounding area (indicat ed by boxes in e ) as a function of applied current, e) 2D map of \nthe surface temperature rise in a 10 µm device at pre- threshold (4mA) and post -threshold (10 mA) currents, f ) \nTemperature (current) localisation in a post -formed device at different currents as shown in d ( the blue arrow \nindicates snap -back transition), g) I-V characteristics and average temperature rise of the current filament and \nsurrounding area (indicated by boxes in h) as a function of applied current, h) 2D map of the surface temperature \nin a 10 µm device at pre- threshold (4 mA) and post -threshold (12 mA) currents, i) Temperature (current) \nlocalisation due to current bifurcation in the device without permanent filament as shown in g (the blue arrow \nindicates snap -back transition). The device structure used for thermoreflectance measurements was 25 nm Au/5 \nnm Nb/35 nm NbO 2.05/40 nm Pt. The circles overlaying the I -V curves represent in -situ I -V measurements during \nthermoreflectance measurements. \n \n8 | Page \n 12 \nFigure 4 : Area dependent switching properties of NbO x with x=1.92. a) NDR characteristics as function of \narea showing area dependent S -type NDR and snap -back NDR, b) Threshold voltage and current as a function of \narea. Devices with 25 nm Pt/5 nm Nb/44 NbO 1.92/25 nm Pt were used to study the area dependent switching. c) \nMatrix representation of dependency of S -type and snap -back NDR as a function of s toichiometry and area. The \nshaded region in b,c , identify devices that exhibit S -type (S -NDR) and snap -back (SB -NDR). \n \n2.3 Area dependence of NDR modes \nThe current -voltage characteristics of Figure 3 also highlight the role of device area in \ncontrolling the NDR mode, with the smaller area device (higher shell resistance) exhibiting S -\ntype NDR and the larger area devices (lower shel l resistance) exhibiting snap -back NDR. This \ndependence was investigated more explicitly by comparing the response of devices with \ndifferent stoichiometry and side lengths of 2 µm, 5 µm , 10 µm and 20 µm. Low-conductivity \nfilms (x=2.6) were found to exhib it S-type NDR characteristics independent of device area, \ndemonstrating that the shell resistance satisfied the condition R S > R NDR for areas as small as 4 \num2. In contrast, high conductivity films (x=1.92) were found to have area -dependent forming \nand NDR characteristics, included an increase in forming current with increasing device area \n(see Figure S3, supplementary information) and an area- dependent transiti on from snap- back \nto S-type NDR characteristics, as shown in Figure 4a . The threshold voltage ( VTh) for these \ndevices was 3.0 ±0.4 V and is independent of device area, as shown in Figure 4b . However, the \n9 | Page \n corresponding threshold current (or threshold power, PTh=ITh×VTh) was found to increase \nmonotonically with increasing device area, as expected from the reduced film resistivity . This \ncontrasts with situation for the low conductivity films where the threshold power remains \nconstant with device area24. Results for all film compositions are summarised in Figure 4c and \nreflect the predicted area dependence, with large area devices having lower shell resistance and exhibiting snap- back characteristics, and smaller area devices having higher shell -resista nce \nand exhibiting S -type NDR characteristics. \n \nFigure 5 : Thickness dependence switching properties of NbO x with x=2.05. a) Thickness dependent current -\nvoltage characteristics of 10 µm× 10 µm device showing area dependent S -type NDR and snap -back (SB -NDR). \nb) Threshold voltage and current as a function thickness with switching mode depicted by shading. Data points \nrepresent av erages for ten devices of each thickness. Devices with 25 nm Au/5 nm Nb/NbO 2.05/40 nm Pt used to \nstudy the thickness dependent switching. c) Matrix representation of dependency of S -type and snap -back NDR \nas a function of thickness . The shaded region in b,c , identify devices that exhibit S -type (S -NDR) and snap -back \n(SB-NDR). \n2.4 Thickness dependence of NDR modes \nThe effect of film thickness was investigated using devices with dimensions of 10 µm×10 µm \nand NbO x films with x= 2.05. All devices underwent a n initial electroforming step prior to \n10 | Page \n measuring NDR characteristics and the forming current was found to decrease linearly with \nincreasing film thickness , from 33.4±5.2 mA for a film of 35 nm thickness to 10±2.1 mA for a \nfilm of 93 nm thickness, consistent with the expected increase in film resistance i.e. 𝑅𝑅 𝐷𝐷𝑜𝑜𝐷𝐷𝑜𝑜𝐷𝐷𝑜𝑜∝\n𝑡𝑡 (Figure S3, supplementary information ). The subsequent NDR characteristics were then \nfound to depend on film thickness, with films of thickness ≤ 77 nm exhibiti ng snap- back \ncharacteristics and a film of thickness 93 nm exhibiting S -type NDR characteristics, as shown \nin Figure 5a . This is accompanied by an increase in threshold voltage and a decrease in \nthreshold current (see Figure 5b), consistent with the increa se in film resistance with increasing \nthickness. As for the conductivity and area dependencies, these results are consistent with model predictions based on the assumption that the shell resistance transitions from the \ncondition R\nS > R NDR for the 93 nm thi ck film to R S < R NDR for films of thickness less than 77 \nnm. Figure 5c summarises results for devices of different areas and shows that the transition \nbetween S -type and snap -back NDR was limited to 100 µm2 devices for the thickness range \ninvestigated. \n \nFigure 6: Effect of substrate temperature on snap -back NDR . a) Snap -back NDR as a function of temperature \nshowing the transition from snap -back to S -type at ~320 K and b) the corresponding threshold - voltage and -\ncurrent as a function of temperature. The shaded region in b, identify devices that exhibit S -type (S -NDR -grey) \nand snap -back (SB -NDR -red) responses. \n11 | Page \n 2.5 Temperature dependence of NDR modes \nFinally, we consider the effect of substrate temperature on NDR characteristics. The devices \nused for these studies had a NbO x composition of x=2.22. These devices exhibited a snap- back \nresponse at 293 K after electroforming but transitioned to an S -type response as the temperature \nwas increased to 323 K, as shown in Figure 6a . This is counterintuitive, as the resistance of \nthe shell is expected to decrease with increasing substrate temperature and to produce a transition from smooth to snap- back characteristics as the temperature exceeds the point where \nR\nS < R NDR. The increa se in oxide conductivity with temperature is clear evident from the \ndecrease in threshold voltage ( VTh) and the increase in threshold current ( ITh) shown in Figure \n6b. Given the transition criterion R S < R NDR, these results suggest that the core R NDR also varies \nwith temperature and that it decreases more rapidly with temperature than the surrounding \noxide shell. However, this is remains the subject of further studies. \nThe above results clearly demonstrate that the NDR response of NbO x-based cross -point \ndevices can be controlled by the oxide conductivity, area and thickness and that the snap- back \nmode of NDR is associated with redistribution of current from low to high current -density \ndomains in a manner consistent with the proposed cor e-shell model of current transport. \nSignificantly, the results also explain several interesting results reported in the literature and \nresolve a long -standing controversy about the origin of the snap- back mode of NDR. \nSpecifically, t he area dependence of the NDR response observed in the finite element \nsimulations by Goodwill et al 4 can now be understood in terms of the relative res istances of \nthe core and shell regions and the associated current redistribution. However, as we have shown this is only one of several dependencies that control the transition from S -type to snap -\nback NDR. Moreover, the snap- back is not predicated on cur rent bifurcation but simply \nrequires current localisation, as is evident from the response of electroformed devices that \nretain a permanent filament ( Figure 3d -f). In that case, current confinement is preordained and \nthe dominant NDR mode is determined mainly by the resistance of the shell region. Finally, because the snap -back NDR derives from the same physical mechanism as the S -NDR it has a \nsimilar onset temperature, estimated to be ~400 -600 K (as shown in Figure 3)\n.2 As this is \nsimply determined by the temperature dependence of the film conductivity2 it explains why \nsnap-back NDR is observed in a diverse range of amorphous metal oxides and obviates the \nneed for a material -specific phase transition3. It can also explain the origin of S -type \ncharacteristics in t -NbO 236 and crystalline VO 2-based devices37. 12 | Page \n 3. Conclusion \nIn summary, we have demonstrated that the NDR characteristics of NbO x cross -point devices \ncan be controlled by materials and device parameters and that these dependencies are consistent \nwith the predictions of a model that can account for a non- uniform current distribution and the \nredistribution of current from regions of low to high current density. This was achieved by \nusing in- situ thermoreflectance imaging to correlate NDR characteristics with changes in \ncurrent distribution, and by systematically studying how the transition from S -type to snap-\nback NDR depended on the conductivity, area, thickness and temperature of the NbO x film. \nThese results conclusively demonstrated that the snap- back characteristic is a generic response \nof materials with a strong temperature dependent conductivity and that it has the same physical \norigin as the S -type characteristic. This is a particularly significant find ing as it resolves a long \nstanding controversy and provides a strong foundation for engineering devices with specific \nNDR characteristics for brain -inspired computing. \n \nExperimental Methods \nThe devices employed in this study were Nb/NbO x/Pt cross -point str uctures fabricated using \nstandard photolithographic processes described elsewhere38. The bottom electrode, comprising \n5 nm Nb (or 10 nm Cr) and 25 nm Pt (or 40 nm Pt) layers, was deposited by e -beam evaporation \nonto on a 300 nm thermal oxide layer on a Si (100) wafer. NbO x dielectric layers of variable \ncomposition were subsequently deposited using either RF sputtering of a Nb 2O5 target in an Ar \nambient or DC sputtering of a Nb target in a variable O 2/Ar ambient. Details of the deposition \nconditions are given in the supplementary information (Table S1) . Grazing incident -angle X -\nray diffraction (GIAXRD) of films deposited onto Si substrates confirmed that they were \namorphous, while Rutherford backscattering spectrometry (RBS) of films deposited onto \nvitreous carbon or Si substrates showed that they had compositions in the range from \nx=2.60±0.05 to 1.92±0.04 (i.e. oxygen rich Nb 2O5 to sub- stoichiometric NbO 2). We have \npreviously studied similar films by Reflection Electron Energ y Loss Spectroscopy (RHEELS) \nand shown that they do not contain metallic Nb39. To complete the device structure, we have \ndeposited 25 nm Pt (or 25 nm Au) with a 5 nm Nb adhesion layer. \nElectrical measurements were performed using an Agilent B1500A semiconductor parameter analyser attached to a Signatone probe station (S -1160). All measurements were executed \nunder atmospheric conditions by applying voltage on the top electrode, while the bottom 13 | Page \n electrode was grounded. Note that switching characteristics were measured with negative bias \napplied to the top electrode unless otherwise stated. \nIn-situ temperature measurements were performed with a TMX T°Imager® transient \nthermoreflectance (TR ) imaging system using a 100x objective lens and a 490 nm illumination \nwavelength ( see supplementary information). For these measurements DC and pulsed \nelectrical signals were supplied by a Keithley 2410 parameter analyser. In these measurements \nthe meas ured temperature is proportional to the change in reflectance (R) according to the \nrelation ∆R\n𝑅𝑅=�1\n𝑅𝑅𝜕𝜕𝑅𝑅\n𝜕𝜕𝜕𝜕�∆𝑇𝑇=CTR ∆𝑇𝑇, where ΔR is the change in reflectance, ΔT is the change in \ntemperature, and C TR is the thermoreflectance co -efficient for the top electrode material. As \nthe thermoreflectance co -efficient of Au (C TR=5x10-4 K-1) is much larger than that of Pt (C TR= \n-0.31x10-4 K-1), we performed thermoreflectance measurements on devices with Au top \nelectrode to improve the TR measurement sensitivity. Further details of the thermoreflectance \nmethod can be found in references40-41. Finite-element modelling of our cross -bar structures \nhas shown that the temperature difference bet ween the top electrode and oxide layer increases \nwith increasing temperature and top electrode thickness38. The calculated surface temperature \nare broadly consistent with thermoreflectance measurements . \n \nAcknowledgements \nThis work was partly funded by the Australian Research Council (ARC) and Varian \nSemiconductor Equipment/ Applied Materials through an ARC Linkage Project Grant: \nLP150100693. We would like to acknowledge access to NCRIS facilities at the ACT node of \nthe Aus tralian Nanotechnology Fabrication Facility (ANFF) and the Australian Facility for \nAdvanced ion- implantation Research (AFAiiR), and thank Dr Tom Ratcliff for comments and \nfeedback on the manuscript. \n 14 | Page \n References: \n1. M. D. Pickett; G. Medeiros -Ribeiro; R. S. Williams, Nat. Mater. 2013, 12 (2), 114. \n2. G. A. Gibson, Adv. Funct. Mater. 2018, 28 (22), 1704175. \n3. S. Kumar; Z. Wang; N. Davila; N. Kumari; K. J. Norris; X. Huang; J. P. Strachan; D. Vine; A. D. \nKilcoyne; Y. Nishi, Nat. Commun. 2017, 8 (1), 658. \n4. J. M. Goodwill; G. Ramer; D. Li; B. D. Hoskins; G. Pavlidis; J. J. McClelland; A. Centrone; J. A. Bain; \nM. Skowronski, Nat. Commun. 2019, 10 (1), 1628. \n5. D. Adler; H. K. Henisch; N. Mott, Reviews of Modern Phys ics 1978, 50 (2), 209. \n6. J. Bosnell; C. Thomas, Solid -State Electronics 1972, 15 (11), 1261 -1271. \n7. R. S. Shenoy; G. W. Burr; K. Virwani; B. Jackson; A. Padilla; P. Narayanan; C. T. Rettner; R. M. Shelby; \nD. S. Bethune; K. V. Raman, Semiconductor Science and Technology 2014, 29 (10), 104005. \n8. A. Alexandrov; A. Bratkovsky; B. Bridle; S. Savel’Ev; D. Strukov; R. Stanley Williams, App. Phy. Lett. \n2011, 99 (20), 202104. \n9. J. M. Goodwill; D. K. Gala; J. A. Bain; M. Skowronski, J. Appl. Phys. 2018, 123 (11), 115105. \n10. Y. Huang; R. Huang; Y. Cai; H. Wu; P. Yue; Y. Zhang; C. Chen; Y. Wang In A TaO x based threshold \nswitching selector for the RRAM crossbar array memory , 2012 12th Annual Non -Volatile Memory Technology \nSymposium Proceedings, IEEE: 2012; pp 85-87. \n11. A. A. Sharma; M. Noman; M. Abdelmoula; M. Skowronski; J. A. Bain, Adv. Funct. Mater. 2014, 24 \n(35), 5522- 5529. \n12. A. Mansingh; R. Singh, J. Phys. C Solid State Phys. 1980, 13 (31), 5725. \n13. X. Liu; S. K. Nandi; D. K. Venkatachalam; K. Belay ; S. Song; R. G. Elliman, IEEE Electron Device \nLetters 2014, 35 (10), 1055- 1057. \n14. X. Liu; S. Li; S. K. Nandi; D. K. Venkatachalam; R. G. Elliman, J. Appl. Phys. 2016, 120 (12), 124102. \n15. S. Slesazeck; H. Mähne; H. Wylezich; A. Wachowiak; J. Radhakri shnan; A. Ascoli; R. Tetzlaff; T. \nMikolajick, RSC Advances 2015, 5 (124), 102318- 102322. \n16. G. A. Gibson; S. Musunuru; J. Zhang; K. Vandenberghe; J. Lee; C. -C. Hsieh; W. Jackson; Y. Jeon; D. \nHenze; Z. Li, App. Phy. Lett. 2016, 108 (2), 023505. \n17. S. J. P. o. t. I. Yu, 2018, 106 (2), 260- 285. \n18. A. Parihar; N. Shukla; M. Jerry; S. Datta; A. J. S. r. Raychowdhury, 2017, 7 (1), 911. \n19. S. Kumar; J. P. Strachan; R. S. Williams, Nature 2017, 548 (7667), 318. \n20. J. Lappalainen; J. Mizsei; M. J. J. o. A. P. Huotari, 2019, 125 (4), 044501. \n21. M. D. Pickett; R. S. J. N. Williams, 2013, 24 (38), 384002. \n22. W. Yi; K. K. Tsang; S. K. Lam; X. Bai; J. A. Crowell; E. A. J. N. c. Flores, 2018, 9 (1), 4661. \n23. M. D. Pickett; G. Medeiros -Ribeiro; R. S. J. N. m . Williams, 2013, 12 (2), 114. \n24. L. Shuai; L. Xinjun; N. Sanjoy Kumar; E. Robert Glen, Nanotechnology 2018, 29 (37), 375705. \n25. S. K. Nandi; X. Liu; D. K. Venkatachalam; R. G. Elliman, Physical Review Applied 2015, 4 (6), 064010. \n26. Y. Ma; J. M. Goo dwill; D. Li; D. A. Cullen; J. D. Poplawsky; K. L. More; J. A. Bain; M. Skowronski, \nElectron. Mater. Lett. 2019, 5 (7), 1800954. \n27. D. Li; A. A. Sharma; N. Shukla; H. Paik; J. M. Goodwill; S. Datta; D. G. Schlom; J. A. Bain; M. \nSkowronski, Nanotechnology 2017, 28 (40), 405201. \n28. M.-J. Lee; S. -E. Ahn; C. B. Lee; C.- J. Kim; S. Jeon; U. -I. Chung; I. -K. Yoo; G. -S. Park; S. Han; I. R. \nHwang, ACS Appl. Mater. Interfaces 2011, 3 (11), 4475- 4479. \n29. T. Chen; M. Tse; C. Sun; S. Fung; K. Lo, J. Phys. D: Appl. Phys. 2001, 34 (17), L95. \n30. S. Kumar; M. D. Pickett; J. P. Strachan; G. Gibson; Y. Nishi; R. S. Williams, Adv. Mater. 2013, 25 \n(42), 6128- 6132. \n31. S. Li; X. Liu; S. K. Nandi; S. K. Nath; R. Elliman, Adv. Funct. Mater. 2019, (190506 0). \n32. R. Janninck; D. J. J. o. P. Whitmore; C. o. Solids, 1966, 27 (6-7), 1183- 1187. \n33. D. J. R. o. M. P. Adler, 1968, 40 (4), 714. \n34. V. J. E. Eyert, 2002, 58 (6), 851. \n35. S. Kumar; Z. Wang; X. Huang; N. Kumari; N. Davila; J. P. Strachan; D. Vine; A. D. Kilcoyne; Y. Nishi; \nR. S. Williams, ACS nano 2016, 10 (12), 11205- 11210. \n36. Y. Park; D. Yoon; K. Fukutani; R. Stania; J. Son, ACS Appl. Mater. Interfaces 2019, 11 (27), 24221-\n24229. \n37. A. Mansingh; R. Singh; S. Krupanidhi, Solid -State Electronics 1980, 23 (6), 649 -654. \n38. S. K. Nath; S. K. Nandi; S. Li; R. G. Elliman, App. Phy. Lett. 2019, 114 (6), 062901. \n39. M. Vos; X. Liu; P. Grande; S. Nandi; D. Venkatachalam; R. Elliman, Nucl.Instrum. Methods phys. Res., \nB, Beam Interact. Mater. 2014, 340, 58-62. \n40. M. G. Burzo; P. L. Komarov; P. E. Raad, IEEE Trans. Compon. Packag. Technol. 2005, 28 (4), 637 -\n643. 15 | Page \n 41. P. E. Raad; P. L. Komarov; M. G. Burzo, IEEE Trans. Compon. Packag. Technol. 2007, 30 (4), 597-\n603. \n \n \n \n \n \n \n \n \n \n \n 16 | Page \n Supplementary information \nCore- Shell Model –Stability Criteria \n \n \nFigure S1: Conditions for snap -back . a) Circuit representation of core shell structure, b) I -V characteristics of \nmemristive core showing effect of parallel resistance on the slope of the lo ad-line, c) I -V characteristics for 𝑅𝑅𝑆𝑆>\n𝑅𝑅𝑁𝑁𝐷𝐷𝑅𝑅. (Note: the current -voltage characteristics are in terms of 𝐼𝐼𝐶𝐶 not the total current I.) \n \nFigure S1a shows circuit representation of the core -shell structure, where the core exhibits \ncurrent -controlled ne gative differential resistance and has a resistance 𝑅𝑅𝑚𝑚=𝑅𝑅𝑚𝑚(𝐼𝐼𝑚𝑚), and the \nshell has a fixed resistance of 𝑅𝑅𝑆𝑆. The characteristics of the memristive core can be plotted \ndirectly on 𝐼𝐼𝑚𝑚 versus V axes, and that of the shell resistor can be included by noting that: \n𝐼𝐼=𝐼𝐼𝑚𝑚+𝐼𝐼𝑆𝑆 Eq. S1 \nso: \n𝐼𝐼𝑚𝑚=𝐼𝐼−1\n𝑅𝑅𝑆𝑆𝑉𝑉 Eq. S2 \nFigure S1b shows the I -V characteristic of the memristive core together with a load -line \nrepresenting the maximum negative differential resistance, R NDR. Also shown is the effect of \nthe shell resistance on the slope of the load- line. For R S>RNDR the intersection between the \ncore and shell characteristics is always single valued, representing stable current controlled \nNDR. However, for R S 3) of GaP can provide a strong optical confinement, which makes it \nuseful for communication technologies.[25-27] \nIn this work , we explore the nanoscale resistive switching (RS) and photoconductive \ncharacteristics of a polycrystalline gallium phosphide (GaP) film directly grown on a Si \nsubstrate. It builds on our previous work, in which we systematically investig ated the origins of \nRS in polycrystalline GaP films.[28] Here we investigate if the RS characteristic can be tuned by \nan incident photon flux. First, we postulate on the plausible microstructural origins of RS in \npolycrystalline GB, namely Ga interstitials within grain boundaries (GBs). Spatial position -\ndependent conducting atomic force microscopy (cAFM) in conjunction with cross -section \ntransmission electron microscopy finds a strong correspondence between structural disorder at \nthe GB and highly conductiv e paths. To gain an insight into the effect of such local structural \ndisorder on the electronic properties ( i.e. band gap, intermediate levels, shallow/deep traps, etc.) \nof GaP, density functional theory (DFT) calculations were carried out. The DFT results suggest \nthat the presence of a Ga interstitial in the GaP crystal would introduce an intermediate energy \nstate, which could facilitate the electronic transition within the band gap. Photoluminescence \nspectroscopy corroborates the DFT predictions where we find that the photoexcitation process \ncan occur at sub -band gap energies. Photoconductive atomic force microscopy (phAFM) was \nconducted to probe the electrical response of the GaP film as a function of varying incident \nphoton wavelength ( i.e. energy) value s. First, we find photocurrents are generated even for sub \nband -gap incident excitation implying the presence of active mid -gap electronic states. Secondly, \nthe generated photocurrent and filament resistance showed a direct correlation (proportionality) \nwith the incident photon energy confirming that the photoexcitation process can enhance the \nsurface conductivity of the GaP thin film surfaces under light irradiation. 5 Figure 1 a shows a scanning electron microscopy image of the grain structure of the GaP fi lm \ndeposited on a Si substrate. Conducting atomic force microscopy (cAFM) with a Pt -coated tip \nwas used to spatially map the conductivity of the surface of the GaP film (see Experimental \nMethod for details). Figure 1b shows the local slope of GaP topograph y, which has several grain \nboundary (GB) regions (upper panel) and the corresponding current map is shown in Figure 1b \n(lower panel). Compared with the other regions, the current at the GBs is found to be at least \nthree orders of magnitude higher as shown in Figure S1, Supporting Information. The bipolar \nresistive switching cycles of the Pt -tip/GaP/Si structure are shown in Figure 1c. Due to its highly \ninsulating nature, we initially need to form a soft dielectric breakdown in the GaP film by \nswitching the sample from the OFF to ON -state, which is the SET process, with a positive DC \nvoltage as indicated by the arrow. The current begins to increase at a bias of ~5.6 V, which \ncorresponds to the SET voltage (V SET). V SET is the activation volta ge and it is strongly related to \nthe formation of the soft dielectric breakdown, i.e. conductive nanofilaments.[5] In this regard, the \nhigher the V SET, the higher the power required to ‘switch -on’ the device and thus, V SET is one of \nthe key parameters to e valuate the switching performance of the device.[5] When the bias sweeps \nback from positive to negative DC voltage, the current suddenly decreases, which is the RESET \nprocess; the device is then back to the OFF -state and this completes a switching cycle. \nSubsequent switching cycle s produce a similar current level with a narrower hysteresis window \nas shown in Figure S2 , Supporting Information . To prevent the switching filament from \npermanent dielectric breakdown during the switching cycles, a compliance current of 5 nA is \napplied. The switching cycle was repeated at least 30 times at different GB regions and \nconsistently shows R ON/ROFF of ~104. 6 The distribution of V SET values for our Pt -tip/GaP/Si structure is shown in Figure 1d (upper \npanel). It is interesting to note that the V SET values are rather scattered, with most values between \n4.5 and 6.0 V but a small portion at 3.0 V, which could be explained as follows. The applied \nVSET is mostly used to form the conductive filaments at the GBs during the SET process; some \nGBs are likely to be more vulnerable for the filament formation because they have a higher \ndefect concentration than other GBs. A non-uniform defect concentration distribution has also \nbeen found in other materials such as TaO x,[3] TiO 2[29] and NiO,[30] which could be beneficial for \ntriggering the soft dielectric breakdown in these materials. Furthermore, when we deposited a 50 \n 50 m2 Pt pad as the top electrode on the GaP/Si and tested the switching cycles, the V SET \ndistribution is much narrower compared with the Pt -tip/GaP/Si device structure as shown in \nFigure 1d (lower panel). Based on our previous results,[28] we attribute this to a larger coverage \narea of the Pt top electrode, which accommodates more conductive filaments underneath. In this \ncase, the V SET values are mainly distributed between 1.0 and 2.0 V bias, which is on par with the \nmost up -to-date non -oxide resistive s witching devices.[31-35] \n 7 \nFigure 1. (a) Scanning electron microscopy (SEM) image of the GaP film grown on a Si \nsubstrate and a schematic illustration of the cAFM setup used to measure the resistive switching \nperformance at the nanoscale. Scale bar is 500 nm. (b) Local slope (upper panel) an d current \nmap (lower panel) images of grain boundary areas in the GaP film. The yellow arrowheads \nindicate the location of the grain boundaries. Scale bar is 100 nm. (c) Repeated bipolar resistive \nswitching of the GaP film; SET and RESET processes are indi cated by the arrow at the bottom; \nthe grey arrows labelled 1 and 2 indicate the direction of the applied voltage. (d) The V SET \ndistribution of the Pt -tip/GaP/Si (upper panel) and Pt/GaP/Si (lower panel) devices. \n \nIn materials such as GaP, the dynamic filam ent growth plays an important role as often it is the \nfilamentary process that drives the RS properties and hence device operation and optimization. \nFigure 2 illustrates the filament formation and rupture under application of an external bias for \nthe GaP s ystem of interest. Previously, x -ray photoelectron spectroscopy (XPS) measurements \n 8 on our GaP films confirmed the presence of peaks that correspond to metallic Ga.[28] We \nsuggested these Ga -rich regions were localized to the grain boundaries and hence form ed \nconductive channels in the ON -state, but at the time did not have any direct evidence. The cAFM \nimages now shown in Figure 1b confirm this hypothesis, i.e, there are regions of high \nconductivity that correspond to the grain boundar ies. A strong possibility (based on the \ncombination of our prior XPS and present cAFM data) is that the grain boundaries could host an \narray of point defects, such as Ga interstitials. \nThus the proposed mechanism of RS behavior is that, initially, the diele ctric GaP layer is in the \nOFF-state (Figure 2a), in which there are Ga interstitial defects randomly distributed throughout \nthe crystal structure. When a positive bias with respect to the bottom electrode ( i.e. Si) is applied \nvia the cAFM tip, the current abruptly increases at V SET (see Figure 1c) as a consequence of \ncomplete formation of conductive filaments (SET process); the device is then in the ON -state \n(Figure 2b). During the SET process, the Ga defects, which were randomly distributed in the \ncrystal, diffuse and become localized at the GB regions to create conducting channels through \nthe GaP layer. Cross -section TEM analysis (Figure S3 , Supporting Information) indeed confirms \nsignificant structural disorder in the grain boundaries of the GaP film impl ying that localization \nof such interstitials and other point defects is definitely possible. In addition to the complete \nfilaments that are formed, there would also be a few incomplete filaments owing to the different \nstructures of the GBs. Unlike other me tal-insulator -semiconductor devices, where the use of an \nactive electrode material ( i.e. Ag) is required to inject material into the dielectric layer to create a \nconducting channel,[5] our GaP does not require an active electrode for the conductive filamen t \nformation. 9 Having thus demonstrated direct evidence of RS driven by localization of point defects at grain \nboundaries, we next pose the question – what if such defects ( i.e. Ga interstitials) lead to mid -gap \nstates in GaP and, if so, can they be excited by light? \nThis is schematically depicted in Figures 2c -d. Note that the band gap ( Egap) of 2.41 eV[20] for a \nperfect stoichiometric GaP layer is high enough to prohibit elect rons in the valence band from \nbeing excited to the conduction band under ambient conditions, leading to the insulating \nproperties of the material as illustrated in Figure 2c. However we have already shown that II -\nVI/III -V semiconductor films ( e.g. ZnS,[36] GaP,[28] etc.) deposited by pulsed laser deposition \ncontain defects, attributed to excess cations. The electronic properties of such thin films are then \nstrongly influenced by the presence of ionic/electronic defects, such as vacancies and interstitial \natoms.[36,37 ] Here these would be defects associated with excess Ga in the as -deposited GaP \nlayer.[36] Following on from the model of Szot et al.[38] for oxides, it is plausible that the these \ndefects introduce a mid -gap energy state (hereafter called an intermediate band , IB) between the \nhost valence band and conduction band (Figure 2d). This would influence the overall \nelectronic/photoelectric properties of GaP. \n 10 \nFigure 2. Schematic illustration of (a) the switching filament rupture (OFF -state) and (b) \nformation (ON -state) along the grain boundaries (GBs) of the GaP film. The proposed densities \nof electronic states of a (c) defect -free GaP structure, in which the electrons located at the \nmobility edge are inactive due to the large band gap and (d) defec tive GaP, in which the \npresence of a mid -gap energy state due to the crystal impurities leads to its conducting \nproperties. \n \nTherefore, we next performed density functional theory (DFT) calculations of both pure GaP and \nGaP containing a Ga interstitial, to investigate the impact of such Ga point defects on the \nelectronic structure, as shown in Figure 3 . Figure 3a shows the zinc blende GaP lattice structure \n(space group ), in which each atom is bonded in a tetrahedral coordination environment \n(see inset). For this pure crystalline GaP, a n indirect Egap of 2.48 eV is calculated as shown in \nFigure 3b, which is slightly larger than the experimentally reported value of 2.41 eV.[20] The \nvalence band is formed by a bonding state of overlapping Ga 4 s and P 2 p atom ic orbitals, while \nthe conduction band is formed by the corresponding antibonding combination (Figure S 4, \n43Fm 11 Supporting Information). When a single interstitial Ga defect is introduced into the GaP crystal \nlattice, as shown in Figure 3c, a fully occupied mid -gap state is formed above the valence band \nof pure GaP as indicated in Figure 3d. This indicates that point defects in GaP can indeed \nproduce mid -gap states. In synthesized materials, it can be expected that a variety of point \ndefects may form, producing m id-gap states at various energies. These mid -gap energy states can \nassist to excite electrons from the valence band to the conduction band at lower energies than for \npure GaP, resulting in increased conductivity of the material.[36,37 ] \n \n \nFigure 3. (a) The zinc blende structure adopted by GaP; two Ga -centred tetrahedral units of the \ncrystal structure are shown on the right. (b) Electronic band structure of GaP calculated by \nDFT. (c) Ga -rich GaP formed by introducing an interstitial Ga ion into the p ure GaP crystal \nlattice. (d) Electronic band structure of Ga -rich GaP. The magenta arrowhead indicates the mid -\ngap energy state introduced within the band gap of GaP by the interstitial Ga. The edge of the \nvalence band is positioned at 0 eV. \n 12 The next step was to check for evidence that such mid -gap states do indeed exist in our films. \nFor this, a UV -vis spectrum was collected at wavelengths from 300 to 800 nm and \nphotoluminescence spectroscopy was acquired , (data shown in supplementary S5) . Two (sub -\nband ga p) photoemission peaks at longer wavelengths (583 nm and 767 nm corresponding to \n2.13 eV and 1.62 eV, respectively) are observed . This suggest s the presence of mid -gap states as \nthe band -gap of GaP is ~ 2.41 eV.[20] This also implies electronic transitions through the defect \nstates are more prominent in our GaP film than the valence -to-conduction band transition . \nIf such mid -gap energy states indeed exist, their influence on the electrical conductivity should \nbe readily deduced by sh ining an incident photon flux with the appropriate wavelengths on the \nGaP surface. Thus photoconductive atomic force microscopy (phAFM) was employed to directly \nimage photocurrent generation on the GaP thin film surface due to an incident photon flux. \nphAF M is a powerful tool to directly map photoinduced currents flowing in semiconductors \nunder different photon wavelengths.[39] Since GaP has negligible two -photon -absorption \n(TPA),[16-18,40] it is well -suited for examination by phAFM to visualize the photocurrent \ngenerated by absorption of photons at specific energies.[39,41 ] Figure 4a shows a schematic \nillustration of our phAFM experiment (further details are provided in the Experimental Methods, \nSupporting Information ). The topography map of the GaP film shows a granular structure with \n0.31 m grain height (on average) and a few GBs as indicated by th e line profile shown in \nFigure 4 b. The film surface was illuminated at photon energies between 2.95 eV (λ photon = 420 \nnm) and 2.17 eV (570 nm) to induce the photoexcitation process. The corresponding \nphotocu rrent maps are shown in Figure 4 c. A photocurrent is produced even with sub -band gap \nenergy illumination; this confirms both the presenc e of mid -gap states and their role in the \nphotoexcitation process. At sub -band gap energies, the current level is rather low (~ 2 pA at 13 2.17 eV photon energy), but when the photon energy is increased, the current level, especially at \nthe GB regions, appears to be increasing. An overall current enhancement of a factor of ~ 40 \ncould be observed in the film surface when the sample was illuminated by 2.95 eV photons \ncompared with 2.17 eV, indicating that our GaP film is photoresponsive. \n \n \nFigure 4 . (a) Schematic illustration of the photoconductive atomic force microscopy (phAFM) \nmeasurement. (b) Surface topography of the GaP film imaged by phAFM. The lower panel shows \na line profile at the position indicated by the white line in the upper panel, wit h sudden changes \nin height in the profile corresponding to the presence of grain boundaries. (c) Photocurrent \nmaps of the area in (b) for different incident photon energies (h ). The yellow cross symbol \nindicates the point where the current -voltage sweep i s applied. \n \n \n 14 Current -voltage sweeps were obtained from a representative GB region for each specific photon \nenergy as shown in Figure 5a. It is interesting to note that the switching current changes \nsignificantly as a function of the photon energy. From the current -voltage curves, the R ON/ROFF \nand filament resistance (R 0) can be extracted. The filament resistance is the initial resistance \nwhen the filament begins to form and thus it can be calculated from the generated current at low \nbias voltage (V low/I).[28] Figure 5 b shows the change of the R ON/ROFF and R 0 as a function of \nphoton energy. It can be seen that the R ON/ROFF is rather scattered, while interestingly, the R 0 \nshows a decrease with increasing photon energy. This suggests that the light absorbed by the \nGaP material tends to induce carriers to improve its conductivity. Thus, light illumination can \nprovide more excited charge carriers to improve the generated photocurrent i n GaP films as \nshown in Figure 5 c; the presence of the IB allows this to occur a t lower photon energies than for \ndefect -free GaP. \n \n 15 \nFigure 5 . (a) Current -voltage (I -V) curves measured under different wavelengths of light \nirradiation. The incident photon energy for each I -V loop from top to bottom panel is 2.95, 2.75, \n2.64, 2.38, 2.25, and 2.17 eV. (b) Filament resistance (R 0) and R ON/ROFF plot as a function of \nphoton energy. The dash -dotted line is a linear fit of the R 0 data and serve to guide the eye. (c) \nThe proposed photoexcitation mechanism at the Pt/GaP interface without light illumination (left \npanel) and under the light illumination (rig ht panel). \n \nIn summary, we have investigated the resistive switching characteristics and photoconductive \nproperties of GaP directly grown on a Si substrate. A repeatable resistive switching was observed \nat the grain boundar y region s of the GaP film with R ON/ROFF 104. This resistive switching is \nattributed to the formation of filaments, likely to be formed by excess Ga that localizes in the \ngrain boundaries. First -principles calculations predict that such Ga interstitials are capable of \nforming intermediate band states, and the presence of suc h states was confirmed by UV -vis and \n 16 PL spectroscopy. These states can effectively reduce the energy required for photoconduction. \nphAFM measurements show (i) a systematic increase in surface conduction as a function of \nincreasing photon energy and (ii) ph otoactivity at sub -band gap energies, confirming the role of \nmid-gap states. These results show that a GaP film directly grown on Si is a promising candidate \nmaterial for non -volatile resistive switching memory and nanophotonic applications. \nASSOCIATED CO NTENT \nSupporting Information files are available free of charge. \nExperimental and simulation method (PDF) ; Figure S1: Current profile across grain boundaries; \nFigure S2: Subsequent I -V curves; Figure S3: Cross -section TEM image of GaP film; Figure S4: \nDens ity of states of GaP and defective GaP ; Figure S5: UV -vis and photoluminescence \nspectroscopy data (PDF) \nAUTHOR INFORMATION \nCorresponding Author \n*nagarajan@unsw.edu.au \nPresent Addresses \n†Center for Nanostructured Material, School of Mathematics and Physics, Queens University \nBelfast, Belfast BT7 1NN, Northern Ireland, United Kingdom \nAuthor Contributions \nThe manuscript was written throug h contributions of all authors. All authors have given approval \nto the final version of the manuscript. \nACKNOWLEDGMENT 17 The DFT calculation was undertaken with the assistance of computational resources provided by \nthe Australian Government through the National Computational Infrastructure (NCI) under the \nNational Computational Merit Allocation Scheme. The authors thank Nastaran Faraji (University \nof New South Wales) for the assistance in photoconductive atomic force microscopy \nmeasurement. \nREFERENCES \n1. Wang, Z.; Wu, H.; Burr, G. W. ; Hwang, C. S. ; Wang, K. L. ; Xia, Q.; Yang, J. J. Resistive \nSwitching Materials for Information Processing. Nat. Rev. Mater. 2020 , 5, 173 -195. \n2. Zidan, M. A. ; Strachan, J. P.; Lu, W. D. The Future of Electronics Based on Memristive \nSystems. Nat. Electron. 2018 , 1, 22-29. \n3. Lee, M.; Lee, C.; Lee, D.; Lee, S.; Chang, M.; Hur, J. H.; Kim, Y.; Kim, C.; Seo, D.; Seo, \nS.; Chung, U.; Yoo, I.; Kim, K. A Fast, High-Endurance and Scalable Non-Volatile \nMemory Device Made from Asymmetric Ta 2O5−x/TaO 2−x Bilayer Structures. Nat. Mater. \n2011 , 10, 625-630. \n4. Waser, R.; Dittmann, R.; Staikov, G.; Szot, K. Redox -Based Resistive Switching \nMemories - Nanoionic Mechanisms, Prospects, and Challenges . Adv. Mater. 2009 , 2, \n2632 -2663 . \n5. Waser, R.; Aono, M. Nanoionics -Based Resistive Switching Memories. Nat. Mater. 2007 , \n6, 833 -840. \n6. Xia, Q.; Yang, J. J. Memristive Crossbar Arrays for Brain-Inspired Computing. Nat. \nMater. 2019 , 18, 309 -323. 18 7. Yu, S.; Wu, Y.; Jeyasingh, R.; Kuzum, D.; Wong, H. P. An Electronic Synapse Device \nBased on Metal Oxide Resistive Switching Memory for Neuromorphic Computation . IEEE \nTransactions on Electron Devices 2011 , 58, 2729 -2737 . \n8. Jo, S. H. ; Chang, T.; Ebong, I.; Bhadviya, B. B. ; Mazumder, P.; Lu, W. Nanoscale \nMemristor Device as Synapse in Neuromorphic Systems . Nano Lett. 2010 , 10, 1297 -1301 . \n9. Parida, B.; Iniyan, S.; Goic, R. A Review of Solar Photovoltaic Technologies Renew. Sust. \nEnerg. Rev. 2011 , 15, 1625 -1636 . \n10. Grätzel, M. Photoelectrochemical Cells. Nature 2011 , 414, 338 -344. \n11. Zhang, K.; Ma, M.; Li, P.; Wang, D. H. ; Park, J. H. Water Splitting Progress in Tandem \nDevices: Moving Photolysis beyond Electrolysis . Adv. Energy Mater. 2016 , 6, 1600602. \n12. Haus, J. W. ; Fundamentals and Applications of Nanophotonics , Woodhead Publishing, \nElsevier 2016 . \n13. Hu, W. J. ; Wang, Z.; Yu, W.; Wu, T. Optically Controlled Electroresistance and \nElectrically Controlled Photovoltage in Ferroelectric Tunnel Junctions. Nat. Commun. \n2016 , 7, 10808. \n14. Li, T.; Lipatov, A.; Lu, H.; Lee, H.; Lee, J.-W.; Torun, E.; Wirtz, L.; Eom, C.-B.; Íñiguez, \nJ.; Sinitskii, A.; Gruverman, A. Optical Control of Polarization in Ferroelectric \nHeterostructures . Nat. Commun. 2018 , 9, 3344. \n15. Luo, Z.-D.; Park, D.-S.; Yang, M.-M.; Alexe, M. Light -Controlled Nanoscopic Writing of \nElectronic Memories Using the Tip -Enhanced Bulk Photovoltaic Effect . ACS Appl. Mater. \n& Inter. 2019 , 11, 8276 -8283 . 19 16. Wilson, D. J.; Schneider, K.; Hönl, S.; Anderson, M.; Baumgartner, Y.; Czornomas, L.; \nKippenberg, T. J.; Seidler, P. Integrated Gallium Phosphide Nonlinear Photonics . Nat. \nPhoton. 2020 , 14, 57-62. \n17. Schneider, K.; Welter, P.; Baumgartner, Y.; Hahn, H.; Czornomaz, L.; Seidler, P. Gallium \nPhosphide -on-Silicon Dioxide Photonic Devices . J. Lightwave Technol. 2018 , 36, 2994 -\n3002 . \n18. Martin, A.; Combrié, S.; de Rossi, A.; Beaudoin, G.; Sagnes, I.; Raineri, F. Nonlinear \nGallium Phosphide Nanoscale Photonics . Photon. Res. 2018 , 6, B43 -B49. \n19. Mori, H.; Ogasawara, M.; Yamamoto, M.; Tachikawa, M. New Hydride Vapor Phase \nEpitaxy for GaP Growth on Si . Appl. Phys. Lett. 1987 , 51, 1245 -1247 ; Sadeghi, M.; Wang, \nS. Growth of GaP on Si Substrates by Solid-Source Molecular Beam Epitaxy . J. Cryst. \nGrowth 2001 , 227, 279 -283. \n20. Kotulak, N. A. ; Diaz, M.; Barnett, A.; Opila, R. L. Toward a Tandem Gallium Phosphide \non Silicon Solar Cell Through Liquid Phase Epitaxy Growth . Thin Solid Films 2014 , 556, \n236-240; Panish, H. B. ; Casey, H. C. Temperature Dependence of the Energy Gap in GaAs \nand GaP . J. Appl. Phys. 1969 , 40, 163 -167. \n21. Lin, T.; Ramadurgam, S.; Yang, C. Design of Contact Electrodes for Semiconductor \nNanowire Solar Energy Harvesting Devices . Nano Lett. 2017 , 17, 2118 -2125 . \n22. Braun, A.; Vossier, A.; Katz, E. A. ; Ekins -Daukes, N. J.; Gordon, J. M. Multiple -Bandgap \nVertical -Junction Architectures for Ultra-Efficient Concentrator Solar Cells. Energy \nEnviron. Sci. 2012 , 5, 8523 -8527 . \n23. Tomkiewicz, M.; Woodall, J. M. Photoassisted Electrolysis of Water by Visible Irradiation \nof a p -Type Gallium Phosphide Electrode . Science 1977 , 196, 990-991. 20 24. Malizia, M.; Seger, B.; Chorkendorff, I.; Vesborg, P. C. K. Formation of a p –n \nHeterojunction on GaP Photocathodes for H 2 Production Providing an Open-Circuit \nVoltage of 710 mV . J. Mater. Chem. A 2014 , 2, 6847 -6853 . \n25. Cambiasso, J.; Grinblat, G.; Li, Y.; Rakovich, A.; Cortes, E.; Maier, S. A. Bridging the \nGap between Dielectric Nanophotonics and the Visible Regime with Effectively Lossless \nGallium Phosphide Antennas . Nano Lett. 2017 , 17, 1219 -1225 . \n26. Thomas, N.; Barbour, R. J.; Song, Y.; Lee, M. L. ; Fu, K.-M. C. Waveguide -Integrated \nSingle -Crystalline GaP Resonators on Diamond . Opt. Exp. 2014 , 22, 13555 -13564 . \n27. Gould, M.; Schmidgall, E. R. ; Dadgostar, S.; Hatami, F.; Fu, K.-M. C. Efficient Extraction \nof Zero -Phonon -Line Photons from Single Nitrogen -Vacancy Centers in an Integrated \nGaP-on-Diamond Platform . Phys. Rev. Appl. 2016 , 6, 011001 -011006 . \n28. Kurnia, F.; Liu, C.; Liu, G.; Vasudevan, R. K. ; Yang, S. M. ; Kalinin, S. V. ; Valanoor, N.; \nHart, J. N. Localised Nanoscale Resistive Switching in GaP Thin Films with Low Power \nConsumption . J. Mater. Chem. C 2017 , 5, 2153 -2159 . \n29. Kwon, D.-H.; Kim, K. M. ; Jang, J. H.; Jeon, J. M. ; Lee, M. H. ; Kim, G. H. ; Li, X.-S.; Park, \nG.-S.; Lee, B.; Han, S.; Kim, M.; Hwang, C. S. Atomic Structure of Conducting \nNanofilaments in TiO 2 Resistive Switching Memory Nat. Nanotechnol. 2010 , 5, 148 -153. \n30. Kurnia, F.; Cheung, J.; Cheng, X.; Sullaphen, J.; Kalinin, S. V. ; Valanoor, N.; Vasudevan, \nR. K. Nanoscale Probing of Elastic –Electronic Response to Vacancy Motion in NiO \nNanocrystals . ACS Nano 2017 , 11, 8387 -8394 . \n31. Goswami, S.; Matula, A.; Rath, S.; Hedström, S.; Saha, S.; Annamalai, M.; Sengupta, D.; \nPatra, A.; Ghosh, S.; Jani, H.; Sarkar, S.; Motapothula, M. R. ; Nijhuis, C. A. ; Martin, J.; 21 Goswami, S.; Batista, V. S. ; Venkatesan, T. Robust Resistive Memory Devices Using \nSolution -Processable Metal-Coordinated Azo Aromatics . Nat. Mater. 2017 , 16, 1216 -1224 . \n32. Pan, L.; Liu, G.; Li, H.; Meng, S.; Han, L.; Shang, J.; Chen, B.; Platero -Prats, A. E. ; Lu, \nW.; Zou, X.; Li, R.-W. A Resistance -Switchable and Ferroelectric Metal –Organic \nFramework . J. Am. Chem. Soc. 2014 , 136, 17477 -17483 . \n33. Pan, L.; Ji, Z.; Yi, X.; Zhu, X.; Chen, X.; Shang, J.; Liu, G.; Li, R.-W. Metal‐Organic \nFramework Nanofilm for Mechanically Flexible Information Storage Applications Adv. \nFunct. Mater. 2015 , 25, 2677 -2685 . \n34. Huang, X.; Zheng, B.; Liu, Z.; Tan, C.; Liu, J.; Chen, B.; Li, H.; Chen, J.; Zhang, X.; Fan, \nZ.; Zhang, W.; Guo, Z.; Huo, F.; Yang, Y.; Xie, L.-H.; Huang, W.; Zhang, H. Coating \nTwo-Dimensional Nanomaterials with Metal –Organic Frameworks . ACS Nano 2014 , 8 \n8695 -8701 . \n35. Jang, B. C. ; Seong, H.; Kim, S. K. ; Kim, J. Y.; Koo, B. J.; Choi, J.; Yang, S. Y. ; Im, S. G. ; \nChoi, S.-Y. Flexible Nonvolatile Polymer Memory Array on Plastic Substrate via Initiated \nChemical Vapor Deposition . ACS Appl. Mater. Inter. 2016 , 8, 12951. \n36. Kurnia, F.; Ng, Y. H. ; Amal, R.; Valanoor, N.; Hart, J. N. Defect Engineering of ZnS Thin \nFilms for Photoelectrochemical Water-Splitting under Visible Light. Sol. Energy. Mater. \nSol. 2016 , 153, 179 -185. \n37. Luque, A.; Martí, A.; Stanley, C. Understanding Intermediate -Band Solar Cells. Nat. \nPhoton. 2012 , 6, 146 -152. \n38. Szot, K.; Bihlmayer, G.; Speier , W. Nature of the Resistive Switching Phenomena in TiO 2 \nand SrTiO 3: Origin of the Reversible Insulator –Metal Transition . Solid State Physics. 2014 , \n65, 353 -559. 22 39. Yang, S.; Seidel , J.; Byrnes, S.; Shafer, P.; Yang, C.-H.; Rossell, M. D. ; Yu, P.; Chu, Y.-\nH.; Scott, J. F.; Ager III, J. W. ; Martin , L. W. ; Ramesh , R. Above -Bandgap Voltages from \nFerroelectric Photovoltaic Devices . Nat. Nanotechnol. 2010 , 5, 143 -147. \n40. Goeppert -Mayer M, M. Über Elementarakte mit zwei Quantensprüngen . Ann. Phys . 1931 , \n9, 273-294. \n41. Alexe, M.; Hesse, D. Tip-Enhanced Photovoltaic Effects in Bismuth Ferrite . Nat. Commun. \n2011 , 2, 256. \n \n \n \n \n 23 A current enhancement up to 40 times higher can be observed under light illumination of the \nfilm, which benefits from the formation of an intermediate band in the Ga -rich film. \n \n" }, { "title": "1702.06691v1.Interfacing_of_High_Temperature_Z_meter_Setup_Using_Python.pdf", "content": "Interfacing of High Temperature Z -meter Setup Using \nPython \nAshutosh Patel*,1, Shashank Sisodia1,2 and Sudhir K. Pandey1 \n1School of Engineering, Indian Institute of Technology Mandi, Kamand 175005, Himachal Pradesh, India \n*Email:ashutosh_patel@students.ii tmandi.ac.in \nAbstract. In this work, we interface high temperature Z -meter setup to automize the whole measurement process. A \nprogram is built on open sourc e programming language ‘Python’ which convert the m anual measurement process into \nfully automated process without any cost addition . Using this program , simultaneous measurement of Seebeck \ncoefficient (α), thermal conductivity (κ) and electrical resistivity ( ρ), are performed and using all three, figure -of-merit \n(ZT) is calculated. Developed program is verified by performing measurement over p -type Bi 0.36Sb1.45Te3 sample and the \ndata obtained are found to be in good agreement with the reported data . \nKeywords: Interfacing using Python, T hermoelectric measurement setup, Z meter \nPACS: 07.20.Ka, 85.80.Fi\nINTRODUCTION \n ZT is an important parameter which represents the \napplicability of the thermo -electric (TE) materials. It \ndepends on the α, κ and ρ, defined as ZT=α2T/κρ. \nWhere T is the mean temperature across TE sample.1 \nFinding the value of ZT requires the measurement of \nα, κ and ρ. All three param eters are temperature \ndependent. Continuous measuremen ts of all three \nparameters are required for wide temperature ran ge, \nwhich take long time. Manual operation of \nmeasurement requires continuous monitoring. A \nsimilar instrument to measure ZT is reported in Ref. \n[2], where LabVIEW is used to automize the \nmeas urement process. LabVIEW, Visual Basic, etc \nare commercial softwares and available at high cost. \nIn this work, we have developed a program based \non open source programming language ‘Python’ to \ninterface Z -meter setup with power supply unit and \ndigital mu ltimeter. This program makes the \nmeasurement process fully automated. At the start of \nthe program all control parameters are fed and further \nno user interaction is required throughout the \nmeasurement. PyVISA, NumPy, matplotlib.pyplot \nlibraries are used in program for hardware \ncommunication, mathematical operations and live -\nplotting of data, respectively . This program measures \nα, κ and ρ, simultaneously and using them ZT is \ncalculated. Use of open source program reduced the \nsetup cost largely. P -type Bi0.36Sb1.45Te3 sample is used to verify the program and collected data are found to \nbe in good agreement wi th the reported data . \nINTERFACING OF Z MET ER \nHigh temperature Z meter setup requires power \nsupply to heat the sample and 4 -probe current for \nresistivity measurement for which dual channel \nKeithley 2604B sourcemeter (SMU) is used. \nMeasurement of all raw dat a is performed by using \nKeithley 2002 digital multimeter (DMM). This \nmultimeter contains a 10 channel scanner card, which \nenable this to measure the number of data. To \ncommunicate both hardware with computer, IEEE -\n488B GPIB interface along with GPIB -USB co nverter \nis used. \nA program is built on open source programming \nlanguage “Python”. PyVISA, NumP y, matplotlib \nlibraries are used for hardware communication, \nmathematical operations and real -time plotting of α, κ, \nρ, and ZT. First all control parameters like sample \ndimension, measurement temperature limit, step power \netc are defined. Based on these parameters, SMU \nsupplies current to the heater and wait to reach steady \nstate. It is ensured by monitoring hot side temperature \n(Th). An increase in T h with time is obtained. The \nscreenshot of the program used for steady state is \nshown in Fig. 1. T h is monitored continuously with an \ninterval of 5 second and its rate of change is obtained \nwhich is shown in Fig. 2. From this figure, it is clear \nFIGURE 1. Program to ensure steady state. \n \nF\nIGURE 2. Change in T h and its rate of change. \n \nthat the rate of change of T h decreases with time. A \nvery small rate of change (0.006 K per measurement) \nis defined as steady state criteria. To ensu re steady \nstate more accurate ly, program doesn’t allow to \nmeasure raw data until the condition is s atisfied \nsuccessively five times . Once steady state is ensured, \nthe measurement of raw data starts . The program used for it is shown in Fig. 3. First tempera ture at both ends \nare measured. Based vacuum chamber temperature and \nTh heat loss is calculated using interpolation. \nThermolectric voltage is measured at the negative ends \nof both thermocouples. After this, all required \nparameters are calculated. Real -time plotting of all \nthese parameters are implemented by using \nmatplotlib.pyplot. Along with all raw data, these \nparameters are exported to a .csv file. \nMeasurement Setup \nThe measurement setup contains a sample holder in \nwhich sample is to be fixed. There are two \nthermocouples to measure the temperature across the \nsample end. Thermoelectric voltage of sample is \nobtained by measuring voltage across negative legs of \nthermocouples. This sample holder assembly is placed \ninside the vacuum chamber. And electrical con nector \nis available to make electrical connections. Diffusion \npump with rotary backing is used to create a vacuum \nof level 10-5 mbar. During whole measurement process \nthe room temperature is mentioned at 300 K to \nmeasure heat loss accurately. \n \n \nFIGURE 3. Program to aquire raw data. \n \nRESULTS AND DISCUSSI ONS \nThis program developed to automize the \nmeasurement process of Z meter setup is validated by \nusing p -type Bi0.36Sb1.45Te3 sample of 1.4mm*1.4mm \ncross section and 1.7 mm of thickness. This sample is \nextra cted from a commercially available \nthermoelectric generator and composition is obtained \nby perform ing EDX analysis. The measured value of \nα, κ, ρ, and ZT are shown in Fig. 4. Measurement \nperformed from T h=320 K to T h=560 K. Temperature \ndifference across sample varies almost linearly from \n12 K to 90 K where T h changes from 320 K to 560 K. \nOur measured data are compared from reported data.3 \nAt T=315 K, the value of α and κ match closely withFIGURE 4. (a) Seebeck coefficient, (b) Thermal conductivity, (c) Electrical resistivity, and (d) ZT , at different \ntemperature of Bi0.36Sb1.45Te3 sample. \n \nthe reported data, but the measured value of ρ is \nhaving a deviation of 0.24 mΩ.cm . As the temperature \nincreases deviation in α and κ increases while \ndeviation in ρ is decreased . At T=500 K, the deviation \nin α and κ are 25 µV/K and 0.8 W/m.K, respectively. \nAt this temperature measured value of ρ matches \nclosely with reported data. As ZT is obtained by \ncombining all three α, κ and ρ, At T=315 K, deviation \nof 0.2 is observed in the measured value compared \nwith the repor ted value. This deviation decreases with \nincrease in temperature and at T=500 K it is about 0.1. \n \nCONCLUSIONS \nWe have interfaced a Z -meter setup using open source \nprogramming language 'Python'. A manual \nmeasurement process converted into a fully automated \nprocess, without any cost addition, due to the use of \nopen source platform . This program is validated by \nperforming measurement of Bi0.36Sb1.45Te3 sample and measured data were found in good agreement with t he \nreported data. \n \nACKNOWLEDGEMENTS \nThe author Shashank Sisodia is thankful to IIT Mandi \nfor the internship and financial support. \n \n2 Present address - Mechanical and Automation \nEngineering, Maharaja Agrasen Institute of \nTechnology, Delhi 110086, India \nREFERENCES \n1. J. Martin, T. Tritt, and C. Uher, J. Appl. Phys. 108, \n121101 (2010). \n2. D. Kraemer and G Chen, Rev. Sci. Instrum. 85, 045107 \n(2014 ). \n3. Y. Ma, Q. Hao, B. Poudel, Y. C. Lan, B. Yu, D. Z. \nWang, G. Chen, and Z. F. Ren, Nano Lett. 8, 2580 \n(2008 ).\n " }, { "title": "1710.11485v1.Analysis_of_the_measurements_of_anisotropic_a_c__vortex_resistivity_in_tilted_magnetic_fields.pdf", "content": "arXiv:1710.11485v1 [cond-mat.supr-con] 30 Oct 2017Analysis of the measurements of anisotropic a.c.\nvortex resistivity in tilted magnetic fields.\nNicola Pompeo, Enrico Silva∗\nSeptember 4, 2021\nAbstract\nMeasurements of the high–frequency complex resistivity in supercon-\nductors are a tool often used to obtain the vortex parameters , such as\nthe vortex viscosity, the pinning constant and the depinnin g frequency.\nIn anisotropic superconductors, the extraction of these qu antities from\nthe measurements faces new difficulties due to the tensor natu re of the\nelectromagnetic problem. The problem is specifically intri cate when the\nmagnetic field is tilted with respect to the crystallographi c axes. Partial\nsolutions exist in the free–flux–flow (no pinning) and Campbe ll (pinning\ndominated) regimes. In this paper we develop a full tensor mo del for\nthe vortex motion complex resistivity, including flux–flow, pinning, and\ncreep. We give explicit expressions for the tensors involve d. We obtain\nthat, despite the complexity of the physics, some parameter s remain scalar\nin nature. We show that under specific circumstances the dire ctly mea-\nsured quantities do not reflect the true vortex parameters, a nd we give\nprocedures to derive the true vortex parameters from measur ements taken\nwith arbitrary field orientations. Finally, we discuss the a pplicability of\nthe angular scaling properties to the measured and transfor med vortex pa-\nrameters and we exploit these properties as a tool to unveil t he existence\nof directional pinning.\nIndex terms— anisotropic flux pinning, vortex motion, resistivity tenso r,\nmicrowaves.\n1 Introduction\nMaterial anisotropy, which characterizes many supercondu ctors of wide interest\n[1, 11, 52], has a profound impact on the electrical transpor t properties and,\nin particular, on the vortex dynamics and on the related pinn ing phenomena.\nThe tailoring of superconductive materials in order to redu ce their apparent\n(effective ) anisotropy and the vortex-induced dissipation, avoiding detrimental\n∗N. Pompeo and E. Silva are with the Dipartimento di Ingegneri a, Università Roma Tre,\nVia V. Volterra 62, 00146 Roma, Italy.\n1effects on other superconducting properties, is an actively pursued research [22,\n39,55].\nHigh frequency measurements of the electric transport prop erties in the\nmixed state provide a powerful tool to investigate the vorte x motion dissipation,\nrelated to the vortex viscosity (or viscous drag), and vorte x pinning, related to\nthe Labusch parameter and the creep factor [21].\nWhen anisotropic properties are investigated, measuremen ts are performed\nby tilting the applied static magnetic field Hwith respect to the anisotropy\naxes and the current direction. Then, a complicated tensor m odel emerges, and\nthe analysis of the data is not straightforward.\nPrevious works addressed separately the flux–flow regime (pi nning neglected)\nand the pinned regime.\nThe d.c. flux flow regime has been thoroughly investigated, so that the flux\nflow resistivity tensor and the related vortex viscosity ten sor are well charac-\nterized [6,17,24,26,28]. In the a.c. low frequency regime, pinning is dominant.\nDespite the many existing theories [5,7,35], this regime ha s been addressed only\npartially [16,33,58].\nIn [40] a full description of the complex resistivity in the l ow frequency,\na.c. linear regime where pinning is dominant (Campbell regi me) was developed.\nThe model incorporated the material uniaxial anisotropy an d point pinning in\nthe vortex a.c. resistivity tensor with a magnetic field appl ied at an arbitrary\norientation.\nIn this paper we address another important issue: we develop a model for\nthe tensor complex resistivity in the high-frequency range (typically rf and mi-\ncrowaves), where both dissipation (flux–flow) and pinning gi ve comparable con-\ntributions and must be considered at the same time. We also in clude the effect\nof flux-creep, that can give a detectable effect [10,13,43,47 ,60,64].\nThe paper is organized as follows. In Section 2.1 we recall th e well–known\nscalar model for the a.c. vortex motion resistivity. Sectio n 2.2 concisely reports\nthe formulation of the tensor model in the Campbell regime [4 0] and introduces\nthe tensor notation. The full anisotropic tensor model, whi ch includes flux–flow,\npinning and flux creep, is developed and described in Section 3. In Section 4\nwe connect our model to the experiments, providing examples of experimental\ndata analysis. In Section 5 we summarize and present possibl e extensions of this\nwork. In the Appendixes, we provide (A) a short application o f the formalism\nto the commonly used setup with straight currents and (B) a su mmary list of\nthe most useful expressions for the analysis of vortex resis tivities.\n2 A.c. vortex motion resistivity tensor: the\nframework\nIn this Section we present the framework for the development of the full ten-\nsor model for the complex vortex resistivity ρv. To do so, in Section 2.1 we\nfirst shortly recall the scalar models for high–frequency vo rtex dynamics, useful\n2for the introduction of the vortex parameters. The complica tions of the tensor\nmodel for uniaxial superconductors with a field applied in an arbitrary orienta-\ntion are introduced in Section 2.2, limited to the pure (flux fl ow or Campbell)\nregimes. We devote particular care to the identification of t he experimentally\nobtainable parameters and to the comparison with theoretic al quantities.\n2.1 Short review of scalar models\nVortices nucleated from the magnetic induction Band subjected to a current\nfield Jexperience a force (per unit length), so that fluxon motion ar ises. The\nscalar force equation for the vortex velocity vin an isotropic superconductor\nwith isotropic (point) pinning and Bperpendicular to Jis, in the harmonic\nregime eiωt[20,21]:\nηv+kp\niωv=Φ0J+Ftherm (1)\nwhere ηis the drag coefficient (vortex viscosity) and kpis the pinning constant\n(Labusch parameter). Together, they define the (de)pinning angular frequency\nωp=kp/ηand the depinning characteristic time τp=ω−1\np.Ftherm is a stochas-\ntic thermal force causing thermal depinning (vortex creep) with an activation\ncharacteristic time τthdependent on the activation energy U. Different ap-\nproaches [10, 13, 14], with different ranges of applicabilit y [45], have been pro-\nposed to take into account creep effects. It is possible to dev elop a generalized\nmodel, independent on the specific choice for τth[45]. One then obtains the\nvortex motion complex resistivity as:\nρv=Φ0B\nηχ+ iω\nω0\n1 + iω\nω0=Φ0B\nηC(2)\nwhere the latter equality defines a complex viscosity ηC. In the generalized\nEquation (2), χ(U/K BT) is a creep factor, and the characteristic frequency\nω0→ωpforχ→0.\nIn the specific model developed by E. H. Brandt [10], which wil l prove useful\nlater on, thermal depinning is described in terms of the rela xation of the pinning\nconstant kp(t) =kpe−t/τ th, and one has:\nηC=η/parenleftBigg\n1−iωp\nω1\n1−i\nωτth/parenrightBigg\n(3)\nfor the complex viscosity, with the characteristic angular frequency ω0=τ−1\nth+\nτ−1\npand the creep factor χ= 1/(1 +eU/K BT). For U→ ∞ the creep is negligi-\nble,χ→0 and ω0→ωp, so that (2) becomes:\nρv=Φ0B\nη1\n1−iωp\nω=/parenleftBig\nρ−1\nff−iρ−1\nC/parenrightBig−1\n(4)\nwhere ρff=Φ0B/η andρC=ωΦ0B/k pare the flux–flow and the Campbell\nresistivity, respectively. This limit corresponds to the G ittleman–Rosenblum\n3(GR) model [20]. From (4) it can be seen that ωpmarks the transition between\na “low frequency” and a “high frequency” regime: for ω≪ωpthe pinning\nforce dominates over the viscous drag, yielding ρv→iρC, while for ω≫ωp,\nρv→ρffcorresponding to a purely dissipative flux flow regime analog ous to the\none observable in d.c. with no pinning.\nBefore concluding this review, it is worth recalling the oft en used dimension-\nless ratio r[23,42,61,64,68,70,71]:\nr=Im(ρv)\nRe(ρv)(5)\nwhich, if creep is negligible (GR limit), yields:\nr=ρC\nρff=ωp\nω(6)\nTherparameter can be directly computed from the complex resisti vityρvand\nit is unaffected by any systematic scale factor in the experim ents. Physically, it\nallows to easily evaluate whether the vortex dynamics is in t he pinning ( r≫1)\nor flux flow ( r≪1) dominated regime.\n2.2 The anisotropic model framework\nWe treat the case of a superconductor with uniaxial anisotro py along the c–axis.\nWe choose a reference frame such as x≡a,y≡bandz≡c. Vectors are denoted\nasA=uAA, where uAandAare the unit vector and the modulus, respectively.\nA tensor/matrix is denoted as A. Vector orientation can be identified by means\nof the polar θand azimuthal φangles. Figure 1 illustrates the reference frame\ntogether with the magnetic induction field vector B=BuBas an example.\nFigure 1: Frame of reference. The magnetic induction field Bis depicted,\napplied along a generic direction identified by the polar and azimuthal angles\nθ, φ.\nWe briefly recall the notation and the results of [40]. In the L ondon limit, the\nonly source of material anisotropy is given by the phenomeno logical electronic\n4mass tensor [32,34]: mabM= diag( mab, mab, mc), where mabandmcare the in-\nplane and out-of-plane effective mass of the charge carriers , respectively. Other\npossible sources of anisotropy, such as the scattering time of the normal carriers,\nare neglected, as well as “exotic” anisotropic properties s uch as the nonreciprocal\nmagnetochiral anisotropy in non-centrosymmetric superco nductors [56,73].\nVortices moving with a given velocity v(⊥B) induce (Faraday’s law) an\nelectric field E=B×vwhich determines a response transport current density\nJT=¯σE, where ¯σrepresents the “intrinsic”, material dependent conductiv ity\n[25,26]. However, in most experiments, vortices are set in m otion by the applied\ncurrent density Jby means of the Lorentz force. In this case the response of\nthe superconducting system to the applied current is the ele ctric field induced\nby vortex motion. Hence E=ρJ, where in this case the system “transfer\nfunction” is given by the resistivity tensor ρ. The experimentally measured ρ\nand the material property ¯ρ= (¯σ)−1are related by (we neglect Hall effect) [40]:\nρ=−B×/parenleftbigg|¯ρ|¯ρ−1\n(¯ρuB)·uB/parenrightbigg\nB× (7)\nwhereB×=ǫijkuB, andǫijkis the permutation (Levi-Civita) tensor [27]. The\ndifference between ρand¯ρstems from the Lorentz–Faraday origin of the force,\nand thus depends on the Borientation. Therefore the experimentally measured\nρexhibits a dependence on the Borientation of double origin: from the material\n“intrinsic” property ¯ρand from the “extrinsic” Lorentz-Faraday terms.\nAn important remark is that the actual expression of the mate rial dependent\n¯ρdepends on the force equation, and then on the particular vor tex motion\nregime considered. This feature is common to other vortex pa rameters, as we\nshow in the following.\nIn the pure flux flow regime, the force equation (in tensor form ) reads:\nηv=Φ0J×uB (8)\nwith\nη=−BׯηB × (9a)\n¯η=Φ0B¯σff (9b)\nwhere the full theoretical expression of ¯σff= (¯ρff)−1(the subscript “ ff” refers\nto flux flow regime related quantities) can be computed within the Time De-\npendent Ginzburg-Landau theory [17,24–26,28].\nIn the a.c., low frequency Campbell regime [12] the elastic r ecall by pinning\ncenters dominates the vortex motion. Assuming that no other preferential di-\nrections are introduced by the pinning centers, that is that pinning originates\nfrom point pins only, making reference to (1) and taking into account the vector\nform of the force equation one gets:\n1\niωkpv=Φ0J×uB. (10)\n5Expressions analogous to (9) can be obtained [40]:\nkp=−BׯkpB× (11a)\n¯kp=ωΦ0B¯σC (11b)\nwhere ¯σC= (¯ρC)−1are the Campbell conductivity and resistivity intrinsic\ntensors. The field and temperature dependent explicit expre ssions for the above\npinning tensors can be worked out within the theory for colle ctive point pinning\nin anisotropic superconductors [7,35].\nIt is possible to further elucidate the angular dependence o f the intrinsic\nquantities even without explicitly writing down the full th eoretical expressions.\nIndeed, the largely used Blatter-Geshkenbein-Larkin (BGL ) scaling theory [8,\n18, 72] states that ¯ρff,¯η,¯ρC,¯kpobey the following scaling laws in the point\npinning regime:\n¯ρff(B, θ) = ¯ρff,11(B, θ)M= ¯ρff,11(Bǫ(θ),0)M (12a)\n¯η(B, θ) = ¯η11(B, θ)M−1=¯η11(Bǫ(θ),0)\nǫ(θ)M−1(12b)\n¯ρC(B, θ) = ¯ρC,11(B, θ)M= ¯ρC,11(Bǫ(θ),0)M (13a)\n¯kp(B, θ) =¯kp,11(B, θ)M−1=¯kp,11(Bǫ(θ),0)\nǫ(θ)M−1(13b)\nwhere γ2=mc/mabis the mass anisotropy factor and\nǫ(θ) = (cos2θ+γ−2sin2θ)1/2(14)\nis the angular-dependent anisotropy parameter. Hence, the combination of (7)\nwith either (12) or (13) allows to fully describe the resisti vity angular depen-\ndence for BandJwith arbitrary orientations, provided that the pure flux flow\nor Campbell regime are considered. We now extend the model to more general\nregimes.\n3 The full a.c. vortex motion anisotropic model\nWe here extend the model to the case of simultaneous relevanc e of both flux–\nflow and elastic recall regimes. For the sake of clarity, we ne glect temporarily\nthe thermal effects (flux creep), that we reintroduce later on . The force equation\nin anisotropic superconductors including both viscous dra g and pinning is:\nηv+1\niωkpv=Φ0J×uB (15)\nBy defining a complex viscosity tensor as:\nηC=η−ikp\nω(16)\n6the above equation can be recast as:\nηCv=Φ0J×uB (17)\nThe force equation (17) is formally equivalent to force equa tions written for the\nflux flow (8) and Campbell regimes (10). Hence, the previous re sults can be\nstraightforwardly extended to write, as a first result:\nηC=−BׯηCB× (18)\nEquations (16) and (18) allow to write down:\n¯ηC=¯η−i¯kp\nω(19)\nSubstituting (12b) and (13b) into (19) yields:\n¯ηC(B, θ)=/parenleftbigg\n¯η11(B, θ)−i¯kp,11(B, θ))\nω/parenrightbigg\nM−1=\n=/parenleftbigg\n1−iωp(B, θ)\nω/parenrightbigg\n¯η(B, θ) (20)\nIt is evident that ¯ηCinherits the angular dependencies and anisotropic proper-\nties from ¯ηand¯kp, represented by Mand by the scaling law. Moreover, by\ncomputing (20), the first important result of this paper, par ticularly relevant in\nthe analysis of the experiment, emerges. Namely:\n¯kp,ii(B, θ)\n¯ηii(B, θ)=ωp(B, θ) =ωp(Bǫ(θ),0) (21)\nwhich holds for i= 1...3 (all the principal axes directions).\nThat is: contrary to the viscosity or the pinning constant, t he pinning fre-\nquency is a scalar quantity, and it is affected by the directio n of the magnetic\nfield with respect to the crystal axes only through the rescal ed field Bǫ(θ). This\nfact implies that, whichever orientation is set for BandJ, the vortex system\nwill always have the same pinning frequency at fixed B/B c2(θ).\nThe property exemplified by (21) suggests a straightforward method to check\nwhether directional defects influence vortex motion. In a pl ot of ωpvs the scaled\nfield B/B c2(θ), any deviation from a scaling curve would be a fingerprint fo r\nsome directional effects other than the material anisotropy . In fact, should ex-\ntended pins be present, (13b) would not hold. This method is a second outcome\nof this work. It is particularly useful to the analysis of the experiments once\nit is recognized that, within ample margins, a nonzero creep factor does not\nchange much the derived values of the pinning frequency [45] . Then, using the\nGR model to obtain ωpyields small uncertainties, and since in the GR model\nthe experimental parameter r=ωp/ωone has a direct, purely experimental\nquantity to reveal directional effects other than the mass an isotropy.\n7A third result is that, with point pinning, the vortex comple x resistivity ten-\nsor retains the same anisotropic behavior as the flux–flow or C ampbell resistivity\ntensors. In fact, the conductivity and resistivity tensors are:\n¯ρv= (¯σv)−1=Φ0B(¯ηC)−1(22)\nUsing (20), one derives the explicit expression for ¯ρv:\n¯ρv(B, θ) = ¯ρv,11(B, θ)M= ¯ρv,11(Bǫ(θ),0)M (23a)\n¯ρv,11(B, θ) = ¯ρff,11(B, θ)1\n1−iωp(B,θ)\nω(23b)\nIt can be noted that, thanks to the scalar nature of the pinnin g angular fre-\nquency ωp, the tensors ¯ρv(B, θ) and ¯ρff(B, θ) differ by a scalar quantity, (1 −\niωp(B, θ)/ω). Thus, similarly to ¯ηC,¯ρvretains the same anisotropy of the flux\nflow and pinning tensors, given by the mass anisotropy tensor Malone. More-\nover, it satisfies the same angular scaling law, shown in the l ast equality, as ¯ρff\nand¯ρC.\nIt is important to remember that ¯ρvof (23) is not directly measured, whereas\nthe actually measured tensor is computed through (7):\nρv(B, θ, φ ) = ¯ρv,11(B, θ)/bracketleftbiggMB(θ, φ)\nǫ2(θ)/bracketrightbigg\n(24)\nwhereMB(θ, φ) =−B×M−1B×has been introduced for the sake of compact-\nness. From (24) it can be noted that, contrary to ¯ρv, the measured tensor ρv\ndoes not obey the angular scaling law, since it incorporates additional angular\ndependencies through MB(we recall that such additional angular dependencies\nderive, ultimately, from the vector form of the Lorentz forc e).\nNow we include the effects of flux creep. The pinning energy Udepends\nonly on the magnetic field magnitude and direction and not on t he direction of\nvortex motion. Moreover, it obeys the usual scaling law with a constant scaling\nfactor γ−1[8]. Therefore the thermal depinning time τth(Section 2.1), being\ncomputed from scalar quantities Uandωp, is a scalar. The pinning constant\ntensor (13b) can thus be modified to include creep as:\n¯kp(B, θ)=¯kp,11(B, θ)/parenleftbigg\n1−i\nωτth(B, θ)/parenrightbigg\nM−1(25)\nConsequently, the scalar vortex motion resistivity with flu x creep (2) can be\ngeneralized to the anisotropic case in the same way as in the c reep-free case.\nOne obtains the same (23a) and (24) but with a different expres sion for the\nintrinsic vortex motion resistivity:\n¯ρv,11(B, θ) = ¯ρffχ+ iω\nω0\n1 + iω\nω0(26)\n8where ω0andχare scalar as in the isotropic case. Hence, the tensors ¯ρv(B, θ)\nand¯ρff(B, θ) differ by a scalar factor as in the zero creep case. This prope rty\nwill prove important in the interpretation of the experimen ts.\nIt is worth stressing that the choice of the pinning constant relaxation as a\nmodel for flux creep [10] is not a limiting factor to the result s obtained up to\nnow: in fact, any pure thermal creep process (independent on the angle between\nBandJ), possibly with a different definition of χandω0[45], would yield the\nsame results.\n4 Application to experiments: the measured com-\nplex vortex resistivity in common setups\nIn this Section we consider explicitly some typical experim ental configurations\nand we derive specific expressions relating measured and mat erial intrinsic quan-\ntities. We assume to be deep in the mixed state, but far from pa ir-breaking\neffect, so that the contribution of the coupled superconduct ing and normal mi-\ncrowave currents is negligible. In this case, the change in t he response due to\nthe application of the magnetic field is due to the vortex moti on: superfluid and\nquasiparticle contributions give a nearly field–independe nt contribution that\ncan be easily subtracted out. Moreover, we assume the superc onducting ma-\nterial to be a thin film, a sample geometry widely used in micro wave experi-\nments [3, 19, 31, 53, 54, 59]. In these conditions it can be sho wn1thatρv, being\nessentially proportional to the surface impedance tensor, can be taken as the\nactually measured quantity.\n4.1 Rotational symmetric planar currents\nThe frequency range where both flux–flow and Campbell contrib utions have\na similar weight is around the pinning frequency ωp/2π. For example, in\nYBa 2Cu3O7−δωp/2π= 1 ÷30 GHz [4, 21, 53, 66, 70, 74], depending on the\npurity of the material and on the temperature, while in low- Tcsuperconductors\nit ranges from a few MHz [20] to a few GHz, as measured in thin fil ms [2,31,47].\nThus, the microwave range is the frequency regime of interes t. The high sensitiv-\nity that can be achieved in microwave measurements due to the use of cylindrical\nresonators [38] made the rotational–symmetric current pat tern a very popular\nchoice for measurements in superconducting films [48,70]. I n this case, the mi-\ncrowave modes TE 0xyare used (more often, 0 xy= 011), and the microwave\ncurrent flows along circular paths in the isotropic planes.\nAnother technique, preferred for its broadband capability , is the so–called\nCorbino disk [9,47,57,60,62,65,67,75], where a planar sam ple short–circuits a\ntransmission line, giving rise to radial currents on the iso tropic plane.\n1The derivation in a scalar problem has been discussed since l ongtime [50, 51]. It can be\nproven that the same holds also for the anisotropic problem, but the lengthy derivation is\noutside of the scope of this paper and it will be reported else where.\n9(a) (b)\nFigure 2: Most common experimental configurations with rota tional–\nsymmetric currents. (a): typical configuration with the res onator end–plate–\nreplacement technique, in a TE 0xymode: Jflows along circular lines, in the\nisotropic plane. (b): current configuration in the Corbino d isk geometry: J\nflows radially, in the isotropic plane.\nThe two mentioned cases are sketched in Figure 2. In both case s the actually\nmeasured [41, 44, 46] resistivity comes out as an angular ave rage [15] over the\ncurrent pattern: the result has been presented in [40] for th e specific case of\nthe Campbell resistivity tensor ρC, but the derivations in Section 3 make the\nconsideration valid for the full vortex motion resistivity tensorρv. We can\nthen write the expression for the vortex resistivity averag ed over a rotational\nsymmetric current distribution:\nρ(◦)\nv(B, θ) = ¯ρv,11(B, θ)fL(θ) (27a)\nfL(θ) =1\n2γ−2sin2θ+ cos2θ\nγ−2sin2θ+ cos2θ(27b)\nIt can be seen that the effective, measured vortex motion resi stivity consists\nin the product of two terms: the first is the resistivity ¯ ρv,11only, which in\nparticular obeys the angular scaling law; the second one, de noted in the equation\nasfL(θ), is an additional angular dependence which arises from the Faraday and\nLorentz actions. Consequently, as already anticipated com menting the whole\ntensorρv, the experimentally measured quantity does not obey the sca ling law.\nTherefore care must be taken in separating the intrinsic mat erial property from\nthe contribution given by the setup geometry before proceed ing with the physical\ninterpretation of the data.\nThe most important results coming from (27) is that the evalu ation of\nthe anisotropy from a system where the currents have rotatio nal symmetry\nis affected by the Lorentz-originating angular contributio n, and the apparent\nanisotropy can be larger [41,63] than the intrinsic (mass te nsor) anisotropy.\nAnother commonly used current pattern consists in straight currents. The\nobvious advantage of probing individual spatial orientati ons is counterbalanced\nby the reduced sensitivity that can be achieved with resonat ors supporting the\nrequired electromagnetic field geometry. The full derivati on of the relevant\nexpressions, leading to results analogous to those of (27), is given in Appendix\nA.\n104.2 Angle-dependent effective quantities\nIt is interesting to note that in a typical GR (no-creep) mode l analysis for an\nisotropic superconductor the vortex parameters ρff,randkpare extracted from\nthe complex measured ρvfollowing (4), yielding:\nρff= Re( ρv)/bracketleftBigg\n1 +/parenleftbiggIm(ρv)\nRe(ρv)/parenrightbigg2/bracketrightBigg\n(28a)\nr=Im(ρv)\nRe(ρv)(28b)\nkp=r\nρffωBΦ 0=ωBΦ 0Im(ρv)\nRe2(ρv) + Im2(ρv)(28c)\nOn the other hand, when performing measurements on an anisot ropic supercon-\nductor probed with rotational symmetric current patterns l eading to (27), this\ncomputation would yield the following effective quantities (apart from an addi-\ntional φ-dependence, the same holds for the straight current setup d escribed by\n(30)):\nρff,eff(B, θ) = ¯ρff,11(B, θ)fL(θ) (29a)\nreff(B, θ) =r(B, θ) (29b)\nkp,eff(B, θ) =¯kp,11(B, θ)\nfL(θ)(29c)\nIt can be seen that the parameter r=ωp/ωis directly obtained from the mea-\nsured quantities: this is an interesting result, which allo ws a direct evaluation\nof the material anisotropy of the system without the need to d eal with Lorentz-\ndependent contribution fL(θ). On the other hand, both ρff,effandkp,effshow\nan additional angular dependence through fL(θ). Therefore, in the analysis of\nangular data care must be devoted in correctly extracting th e intrinsic quan-\ntities, as opposed to effective quantities. This requires to evaluate the fL(θ)\nfunction, which in turn requires the knowledge of the anisot ropy factor γ.\nFurther information can be gained through a discussion of th e quantities\n(29) in terms of angular scaling. First, we note that the expe rimental reff(B, θ)\ncoincides with the intrinsic material property, independe nt on the field–current–\ncrystal angle ( fL(θ)). Thus, in absence of directional effects other than the mas s\nanisotropy, it should scale as reff(B, θ) =reff(Bǫ(θ)). If it does not, directional\npinning is likely to exist. This is a direct test of the presen ce of directional\npinning in the flow+Campbell regime.\nA complete picture is obtained once the intrinsic ¯ ρff,11is obtained. If ¯ ρff,11\nis found to satisfy the scaling, and in the same time ror, equivalently ¯ ρC,11, is\nnot, this result would constitute evidence for the presence of directional pinning\ncontributions such as extended defects. This type of analys is was performed in\nreferences [41, 44, 46], where it enabled the accurate extra ction of the intrinsic\nanisotropy of BaZrO 3added YBa 2Cu3O7−δthin films, the unambiguous iden-\ntification in the angular dependent pinning constant of exte nded pinning acting\n11effectively at microwave regimes, and the identification of a Mott-insulator effect\nthrough a comparative study with d.c. Jcmeasurements.\nThus, the application of the results of this paper to angular measurements\nprovides useful tools to ascertain several issues linked to the anisotropy of su-\nperconducting materials: from the identification of direct ional pinning, to the\ndetermination of the mass–anisotropy γfactor and of geometry–independent\nquantities (such as rorωp).\n5 Summary\nIn this paper we have developed a tensor model for the full a.c . vortex motion\ncomplex resistivity, which includes free flux–flow, elastic recall from pinning\ncenters, and flux creep. The model takes into account the effec t of a magnetic\nfield applied to an arbitrary angle with respect to the crysta l directions of a\nuniaxially anisotropic superconductor and with respect to the applied current\ndensity. We have shown that intrinsic vortex parameters (“m aterial properties”)\nand directly measured vortex parameters may differ due to the geometry of the\nexperiment. We have developed a procedure to extract the int rinsic properties\nfrom experiments, and we have shown the main differences in se veral commonly\nused experimental geometries. Finally, we have shown that a combination of\nangular measurements and an analysis in terms of the present model joint to\nthe angular scaling prescription can give a large amount of r elevant information,\nincluding direct evidence of directional pinning. Future e xtensions of this work\nare (i) the derivation of the full surface impedance express ion in the mixed state\nincluding both the anisotropic complex vortex resistivity tensor here presented\nand the contribution of the quasi-particles and Cooper pair s; and (ii) the de-\ntermination of the pinning constant tensor when extended pi nning centers are\npresent, a matter of large interest within the present resea rch focused on the\naddition of artificial pinning centers in High- Tcsuperconductors.\nAcknowledgments\nThis work has been carried out within the framework of the EUR Ofusion Con-\nsortium and has received funding from the Euratom research a nd training pro-\ngramme 2014-2018 under grant agreement No 633053. The views and opinions\nexpressed herein do not necessarily reflect those of the Euro pean Commission.\nAppendix A Straight planar currents\nA straight a.c. current can be applied to flat thin films using, e.g., resonators\nwith rectangular geometries [49, 69], or placing a small por tion of the sample\nin the appropriate region of a resonant cavity. The vortex re sistivity measured\nalong the J/bardbluxdirection can be computed as ( ρvux)·uxusing the tensor\n12(24):\nρ(x)\nv(B, θ, φ ) = ¯ρv,11(B, θ)fLφ(θ, φ) (30a)\nfLφ(θ, φ) =γ−2sin2θsin2φ+ cos2θ\nγ−2sin2θ+ cos2θ(30b)\nIn practical cases, two particular configurations deserve s ome discussions.\nFirst, in the so–called “maximum Lorentz force configuratio n”, where the ap-\nplied field is always perpendicular to the current ( φ=π/2, see Fig. 3a), one has\nfLφ(θ, φ) = 1 and the rather expected result ρ(x)\nv(B, θ, φ ) = ¯ρv,11(B, θ). In this\ncase, one has direct, experimental access to the intrinsic v ortex resistivity ¯ ρv,11.\nOne would conclude that this configuration is the best geomet ry to directly\naccess the intrinsic vortex resistivity.\n(a) (b)\nFigure 3: Most common experimental configurations with stra ight currents.\n(a): “maximum Lorentz force configuration”; the applied fiel d is always perpen-\ndicular to the current, which flow in the isotropic plane. (b) : “variable Lorentz\nforce configuration”; the projection of the applied field to t he isotropic plane is\nalways along the current.\nA second geometry with φ= 0 has been used both in d.c. [29, 30] and at\nmicrowave frequencies [37]. Here, the plane determined by t he tilted field and\nJ(flowing in the ab–plane) is perpendicular to the abplane, see Fig. 3b. In\nthis case one has clearly an angle–dependent Lorentz–force contribution, and\nfL(θ) = 1 /(γ−2tan2θ+ 1). For θ=π/2 (no Lorentz force) one has fL= 0,\nrecovering the known results for scalar models. However, wh en the field is at an\narbitrary angle, the widespread habit is to consider the var iable Lorentz force as\nan additional cos2θterm [36]. This is true for isotropic materials ( γ= 1), but it\nis not correct for anisotropic superconductors. The discre pancy has its roots in\nthe nontrivial motion of vortices in an anisotropic medium: there, vortex motion\nis not in general perpendicular to the exerted Lorentz force , and the induced\nelectric field is not parallel to the applied current. Of cour se, the extent to\nwhich fL(θ)/negationslash= cos2θdepends on γ, and the influence on the measurements has\nto be evaluated depending on the material. Nevertheless, it is a factor to be\nconsidered in the analysis of the experiments.\n13Appendix B Useful expressions\nIn the analysis of the data one usually looks for the informat ion contained in\nthe “intrinsic” quantities ¯ ρff,¯η,¯kp, ωp, but experiments yield ρv. In addition,\nanisotropy and Lorentz force contributions lead to average d quantities over the\ncurrent paths, whence effective quantities. In Table 1 we col lect the expressions\nthat should be used in the analysis of experimental data to ex tract the intrinsic\nquantities from experimental values. We focussed on the GR m odel, hence\nneglecting creep. Rows 1 and 2 contain the first step of the ana lysis. Row 3 is\nthe definition (given for completeness) of the effective (cur rent-path averaged)\nflux-flow resistivity. Rows 4, 5, and 10 (6, 7, and 11) give the f urther steps for\nrotational (linear) symmetric currents. Row 8, 12, 13 repre sent the expectations\nof the BGL scaling, with the angular scaling factor given in R ow 9. In order\nto check for, e.g., directional pinning, one should look for discrepancies in the\nangular dependencies of ¯kp,11as given by Row 12 (theory) and the experimental\nvalues given by Row 10 or 11.\nExpression ref.\n1ρff,eff= Re( ρv,eff)/bracketleftbigg\n1 +/parenleftBig\nIm(ρv,eff)\nRe(ρv,eff)/parenrightBig2/bracketrightbigg\n(28a)\n2kp,eff=ωBΦ 0Im(ρv,eff)\nRe2(ρv,eff)+Im2(ρv,eff)(28c)\n3ρff,eff(B, θ, φ ) =1\nS/integraltext2π\n0(ρff(B, θ, φ )uJ(r))·uJ(r)dS [15]\n4ρff,eff(B, θ) = ¯ρff,11(B, θ)fL(θ) (29a)\n5fL(θ) =1\n2γ−2sin2θ+cos2θ\nγ−2sin2θ+cos2θ(27b)\n6ρ(x)\nff,eff(B, θ, φ ) = ¯ρff,11(B, θ)fLφ(θ, φ) (30a)\n7fLφ(θ, φ) =γ−2sin2θsin2φ+cos2θ\nγ−2sin2θ+cos2θ(30b)\n8 ¯ρff,11(B, θ) = ¯ρff,11(Bǫ(θ),0) (12a)\n9ǫ(θ) = (cos2θ+γ−2sin2θ)1/2(14)\n10 kp,eff(B, θ) =¯kp,11(B,θ)\nfL(θ)(29c)\n11 kp,eff(B, θ, φ ) =¯kp,11(B,θ)\nfLφ(θ,φ)\n12 ¯kp,11(B, θ) =¯kp,11(Bǫ(θ),0)\nǫ(θ)(13b)\n13¯kp,ii(B,θ)\n¯ηii(B,θ)=ωp(B, θ) =ωp(Bǫ(θ),0) (21)\nTable 1: Selected useful expressions, with the references t o their actual ap-\npearance in the body of the manuscript.\nReferences\n[1] P. M. Aswathy, J. B. Anooja, P. M. Sarun, and U. Syamaprasa d. An\noverview on iron based superconductors. Supercond. Sci. Technol. , 23(7),\n14July 2010. Art. ID 073001.\n[2] A. Awad, F. Aliev, G. Ataklti, A. Silhanek, V. Moshchalko v, Y. Galperin,\nand V. Vinokur. Flux avalanches triggered by microwave depi nning of\nmagnetic vortices in Pb superconducting films. Phys. Rev. B , 84(22), Dec.\n2011. Art. ID 224511.\n[3] T. Banerjee, V. Bagwe, J. John, S. Pai, R. Pinto, and D. Kan ji-\nlal. Vortex dynamics at subcritical currents at microwave f requencies in\nDyBa 2Cu3O7−dthin films. Phys. Rev. B , 69(10), Mar. 2004. Art. ID\n104533.\n[4] N. Belk, D. Oates, D. Feld, G. Dresselhaus, and M. Dressel haus. Linear\nand nonlinear microwave dynamics of vortices in YBa 2Cu3O7−δthin films.\nPhys. Rev. B , 56(18):11966–11978, Nov. 1997.\n[5] K. Bennemann and J. Ketterson, editors. Superconductivity: Conventional\nand Unconventional Superconductors . Springer, Germany, 2008.\n[6] A. A. Bespalov and A. S. Mel’nikov. Mismatch of conductiv ity anisotropy\nin the mixed and normal states of type-II superconductors. Phys. Rev. B ,\n85(17), 2012. Art. ID 174502.\n[7] G. Blatter, M. Feigel’man, V. Geshkenbein, A. Larkin, an d V. Vi-\nnokur. Vortices in high-temperature superconductors. Rev. Mod. Phys. ,\n66(4):1125–1388, 1994.\n[8] G. Blatter, V. Geshkenbein, and A. Larkin. From Isotropi c to Anisotropic\nSuperconductors: A Scaling Approach. Phys. Rev. Lett. , 68(6):875–878,\n1992.\n[9] J. C. Booth, D. H. Wu, and S. M. Anlage. A broadband method f or the\nmeasurement of the surface impedance of thin films at microwa ve frequen-\ncies. Rev. Sci. Instrum. , 65(6):2082–2090, 1994.\n[10] E. Brandt. Penetration of Magnetic ac Field into Type-I I Superconductors.\nPhys. Rev. Lett. , 67(16):2219–2222, 1991.\n[11] C. Buzea and T. Yamashita. Review of the superconductin g properties of\nMgB 2.Supercond. Sci. Technol. , 14:R115–R146, 2001.\n[12] A. Campbell. The response of pinned flux vortices to low- frequency fields.\nJ. Phys. C Solid State Phys. , 2(8):1492–1501, 1969.\n[13] M. W. Coffey and J. R. Clem. Unified Theory of Effects of Vort ex Pinning\nand Flux Creep upon the rf Surface impedance of Type-II Super conductors.\nPhys. Rev. Lett. , 67(3):386–389, 1991.\n[14] M. W. Coffey and J. R. Clem. Theory of rf magnetic field perm eabil-\nity of isotropic type-II superconductors in a parallel field .Phys. Rev. B ,\n45(17):9872–9881, 1992.\n15[15] R. E. Collin. Foundation for Microwave Engineering . Singapore: McGraw-\nHill International Editions, 2nd edition, 1992.\n[16] O. V. Dobrovolskiy, M. Hanefeld, M. Zörb, M. Huth, and V. A. Shklovskij.\nInterplay of flux guiding and Hall effect in Nb films with nanogr ooves.\nSupercond. Sci. Technol. , 29(6), 2016. Art. ID 065009.\n[17] V. M. Genkin and A. Mel’nikov. Motion of Abrikosov vorti ces in anisotropic\nsuperconductors. Sov. Phys. JETP , 68:1254–1256, 1989.\n[18] V. Geshkenbein and A. Larkin. Comment on \"Hall Effect of V ortices Par-\nallel to CuO 2Layers and the Origin of the Negative Hall Anomaly in\nYBa 2Cu3O7−δ\".Phys. Rev. Lett. , 73(4):609, 1994.\n[19] I. Ghosh, L. Cohen, and J. Gallop. The field dependence of the microwave\nvortex pinning parameters: evidence for collective pinnin g effects and un-\nconventional frictional damping. Supercond. Sci. Technol. , 10(12):936–943,\n1997.\n[20] J. I. Gittleman and B. Rosemblum. Radio-frequency resi stance in the mixed\nstate for subcritical currents. Phys. Rev. Lett. , 16(17):734–736, 1966.\n[21] M. Golosovsky, M. Tsindlekht, and D. Davidov. High-fre quency vortex\ndynamics in YBa 2Cu3O7.Supercond. Sci. Technol. , 9(1):1–15, 1996.\n[22] J. Gutiérrez, A. Llordés, J. Gázquez, M. Gibert, N. Romà , S. Ricart, A. Po-\nmar, F. Sandiumenge, N. Mestres, T. Puig, and X. Obradors. St rong\nisotropic flux pinning in solution-derived YBa 2Cu3O7−xnanocomposite su-\nperconductor films. Nat. Mater. , 6(5):367–373, May 2007.\n[23] J. Halbritter. Granular Superconductors and Their Int rinsic and Extrinsic\nSurface Impedance. J. Supercond. , 8(6):691–703, 1995.\n[24] Z. Hao and J. R. Clem. Viscous flux motion in anisotropic t ype-II su-\nperconductors in low fields. IEEE Trans. Magn. , 27(2):1086–1088, Mar.\n1991.\n[25] Z. Hao and C.-R. Hu. Flux motion in anisotropic type-II s uperconductors\nnear Hc2.Phys. Rev. B , 48(22):16818–16821, 1993.\n[26] Z. Hao and C.-R. Hu. Flux Motion in Anisotropic Type-II S uperconductors\nnear Hc2with Arbitrary Vortex Orientation. J. Low Temp. Phys. , 104(3-\n4):265–274, 1996.\n[27] M. Itskov. Tensor Algebra, Tensor Analysis for Engineers . Springer Berling\nHeidelberg, Berling Heiderlberg, 2nd edition, 2009.\n[28] B. Ivlev and N. Kopnin. Flux-Flow Conductivity in Aniso tropic and Lay-\nered High- TcSuperconductors. Europhys. Lett. , 15:349–354, 1991.\n16[29] Y. Iye, S. Nakamura, and T. Tamegai. Absence of current d irection depen-\ndence of the resistive state of high temperature supercondu ctors in magnetic\nfields. Physica C , 159(4):433–438, 1989.\n[30] G. Jakob, M. Schmitt, T. Kluge, C. Tome-Rosa, P. Wagner, T. Hahn, and\nH. Adrian. Scaling of the angular dependence of the critical current density\nin high- Tcsuperconductors. Phys. Rev. B , 47(18):12099–12103, 1993.\n[31] D. Janjušević, M. Grbić, M. Požek, A. Dulčić, D. Paar, B. Nebendahl, and\nT. Wagner. Microwave response of thin niobium films under per pendicular\nstatic magnetic fields. Phys. Rev. B , 74(10), 2006. Art. ID 104501.\n[32] R. Klemm and J. R. Clem. Lower critical field of an anisotr opic type-II\nsuperconductor. Phys. Rev. B , 21(5):1868–1875, 1980.\n[33] T. Klupsch. Conventional and torque magnetometry on di sc-shaped high-\nTcsuperconductor samples. Physica C Supercond. , 197(3-4):224–240, 1992.\n[34] V. G. Kogan. London approach to anisotropic type-II sup erconductors.\nPhys. Rev. B , 24(3):1572–1575, 1981.\n[35] A. I. Larkin and Y. N. Ovchinnikov. Pinning in type II sup erconductors.\nJ. Low Temp. Phys. , 34(3-4):409–428, Feb. 1979.\n[36] S. Lofland, S. Tyagi, S. Bhagat, M. Rajeswari, T. Venkate san, D. Kan-\njilal, and G. Mehta. Microwave magnetoabsorption in c-axis -oriented\nYBa 2Cu3O7films with columnar defects. Physica C , 267(1-2):79–86, Aug.\n1996.\n[37] S. E. Lofland, M. X. Huang, S. M. Bhagat, M. Rajeswari, T. V enkak-\nsan, D. Kanjilal, L. Senapati, and G. K. Mehta. Microwave Abs orption\nof YBa 2Cu3O7Thin Films with Columnar Defects. IEEE Trans. Appl.\nSupercond. , 5(2):1428–1431, 1995.\n[38] J. Mazierska and C. Wilker. Accuracy Issues in Surface R esistance Measure-\nments of High Temperature Superconductors Using Dielectri c Resonators\n(Corrected). IEEE Trans. Appl. Supercond. , 11(4):4140–4147, 2001.\n[39] X. Obradors and T. Puig. Coated conductors for power app lications: ma-\nterials challenges. Supercond. Sci. Technol. , 27(4), Apr. 2014. Art. ID\n044003.\n[40] N. Pompeo. Analysis of pinning in the linear AC response of anisotropic\nsuperconductors in oblique magnetic fields. J. Appl. Phys. , 117(10), 2015.\nArt. ID 103904.\n[41] N. Pompeo, A. Augieri, K. Torokhtii, V. Galluzzi, G. Cel entano, and\nE. Silva. Anisotropy and directional pinning in YBa 2Cu3O7−xwith BaZrO 3\nnanorods. Appl. Phys. Lett. , 103(2), 2013. Art. ID 022603.\n17[42] N. Pompeo, R. Rogai, A. Augieri, V. Galluzzi, G. Celenta no, and\nE. Silva. Reduction in the field-dependent microwave surfac e resistance\nin YBa 2Cu3O7−δwith submicrometric BaZrO 3inclusions as a function of\nBaZrO 3concentration. J. Appl. Phys. , 105(1), 2009. Art. ID 013927.\n[43] N. Pompeo, R. Rogai, E. Silva, A. Augieri, V. Galluzzi, a nd G. Celen-\ntano. Strong reduction of field-dependent microwave surfac e resistance in\nYBa 2Cu3O7−δwith submicrometric BaZrO 3inclusions. Appl. Phys. Lett. ,\n91(18), 2007. Art. ID 182507.\n[44] N. Pompeo, R. Rogai, K. Torokhtii, A. Augieri, G. Celent ano, V. Galluzzi,\nand E. Silva. Angular dependence of the high-frequency vort ex response in\nYBa 2Cu3O7−xthin film with self-assembled BaZrO 3nanorods. Physica C\nSupercond. , 479:160–163, Sept. 2012.\n[45] N. Pompeo and E. Silva. Reliable determination of vorte x parameters from\nmeasurements of the microwave complex resistivity. Phys. Rev. B , 78(9),\nSept. 2008. Art. ID 094503.\n[46] N. Pompeo, K. Torokhtii, A. Augieri, G. Celentano, V. Ga lluzzi,\nand E. Silva. Directional Vortex Pinning at Microwave Frequ ency in\nYBa 2Cu3O7−xThin Films with BaZrO 3Nanorods. J. Supercond. Nov.\nMagn. , 26(5):2093–2097, Dec. 2012.\n[47] N. Pompeo, K. Torokhtii, C. Meneghini, S. Mobilio, R. Lo ria, C. Cirillo,\nE. a. Ilyina, C. Attanasio, S. Sarti, and E. Silva. Supercond ucting and\nStructural Properties of Nb/PdNi/Nb Trilayers. J. Supercond. Nov. Magn. ,\n26(5):1939–1943, Dec. 2012.\n[48] N. Pompeo, K. Torokhtii, and E. Silva. Dielectric Reson ators for the Mea-\nsurements of the Surface Impedance of Superconducting Film s.Meas. Sci.\nRev., 14(3):164–170, 2014.\n[49] N. Pompeo, K. Torokhtii, and E. Silva. Design and test of a microwave res-\nonator for the measurement of resistivity anisotropy. Measurement , 98:414–\n420, 2017.\n[50] N. Pompeo, K. Torokhtii, and E. Silva. Substrate and fini te-thickness-\ninduced uncertainties in surface impedance measurements o f thin conduct-\ning film. 2017. submitted for publication.\n[51] N. Pompeo, K. Torokhtii, and E. Silva. Surface impedanc e measurements in\nthin conducting films: substrate and finite-thickness-indu ced uncertainties.\nInI2MTC 2017 - Int. Instrum. Meas. Technol. Conf. , number 3, pages 1–5,\n2017.\n[52] C. P. Poole Jr, H. A. Farach, R. J. Creswick, and R. Prozor ov.Supercon-\nductivity . Academic Press, Netherlands, 2nd edition, 2007.\n18[53] J. Powell, A. Porch, R. Humphreys, F. Wellhöfer, M. Lanc aster, and\nC. Gough. Field, temperature, and frequency dependence of t he surface\nimpedance of YBa 2Cu3O7thin films. Phys. Rev. B , 57(9):5474–5484, Mar.\n1998.\n[54] S. Revenaz, D. Oates, D. Labbé-Lavigne, G. Dresselhaus , and M. Dressel-\nhaus. Frequency dependence of the surface impedance of YBa 2Cu3O7−δ\nthin films in a dc magnetic field: Investigation of vortex dyna mics. Phys.\nRev. B , 50(2):1178–1189, 1994.\n[55] F. Rizzo, A. Augieri, A. A. Armenio, V. Galluzzi, A. Manc ini, V. Pinto,\nA. Rufoloni, A. Vannozzi, M. Bianchetti, A. Kursumovic, A. M eledin,\nG. V. Tendeloo, and G. Celentano. Enhanced 77 K vortex-pinni ng in\nYBa 2Cu3O7−xfilms with Ba 2YTaO 6and mixed Ba 2YTaO 6+ Ba 2YNbO 6\nnano-columnar inclusions with irreversibility field to 11 T .APL Mater. ,\n4(6), 2016. Art. ID 061101.\n[56] M. Sato and Y. Ando. Topological Superconductors: a Rev iew. Rep. Prog.\nPhys. , 80(7), 2017. Art. ID 076501.\n[57] M. Scheffler and M. Dressel. Broadband microwave spectro scopy in Corbino\ngeometry for temperatures down to 1.7 K. Rev. Sci. Instrum. , 76(7), 2005.\nArt. ID 074702.\n[58] V. Shklovskij and O. Dobrovolskiy. Influence of pointli ke disorder on the\nguiding of vortices and the Hall effect in a washboard planar p inning po-\ntential. Phys. Rev. B , 74(10), Sept. 2006. Art. ID 104511.\n[59] E. Silva, R. Marcon, S. Sarti, R. Fastampa, M. Giura, M. B offa, and a. M.\nCucolo. Microwave fluctuational conductivity in YBa 2Cu3O7−δ.Eur. Phys.\nJ. B - Condens. Matter , 37(3):277–284, Feb. 2004.\n[60] E. Silva, N. Pompeo, and S. Sarti. Wideband microwave me asurements in\nNb/Pd 84Ni16/Nb structures and comparison with thin Nb films. Supercond.\nSci. Technol. , 24(2), Feb. 2011. Art. ID 024018.\n[61] E. Silva, N. Pompeo, K. Torokhtii, A. Augieri, A. Mancin i, F. Rizzo,\nand G. Celentano. Measurement of Vortex Parameters and Pinn ing in\nYBa 2Cu3O7−xWith BaZrO 3Nanoinclusions. IEEE Trans. Appl. Super-\ncond. , 25(3), 2015. Art. ID 6601205.\n[62] E. Silva, N. Pompeo, K. Torokhtii, and S. Sarti. Wideban d Surface\nImpedance Measurements in Superconducting Films. IEEE Trans. In-\nstrum. Meas. , 65(5):1120–1129, 2016.\n[63] E. Silva, K. Torokhtii, and N. Pompeo. Superconductors in a DC Magnetic\nField: Parameters Derived from Microwave Measurements. In I2MTC 2015\n- Int. Instrum. Meas. Technol. Conf. , pages 1–6, 2015.\n19[64] C. Song, T. Heitmann, M. DeFeo, K. Yu, R. McDermott, M. Ne eley, J. M.\nMartinis, and B. Plourde. Microwave response of vortices in superconduct-\ning thin films of Re and Al. Phys. Rev. B , 79(17), May 2009. Art. ID\n174512.\n[65] K. Torokhtii, C. Attanasio, C. Cirillo, E. A. Ilyina, N. Pompeo, S. Sarti,\nand E. Silva. Vortex motion in Nb/PdNi/Nb trilayers: New asp ects in the\nflux flow state. Physica C Supercond. , 479:140–142, Sept. 2012.\n[66] K. Torokhtii, N. Pompeo, A. Frolova, V. Pinto, A. A. Arme nio, L. Piperno,\nG. Celentano, T. Petrisor, L. Ciontea, R. B. Mos, M. Nasui, G. Sotgiu, and\nE. Silva. Microwave Measurements of Pinning Properties in C hemically\nDeposited YBCO / BZO Films. IEEE Trans. Appl. Supercond. , 27(4),\n2017. Art. ID 8000405.\n[67] K. Torokhtii, N. Pompeo, C. Meneghini, C. Attanasio, C. Cirillo, E. a. Ily-\nina, S. Sarti, and E. Silva. Microwave Properties of Nb/PdNi /Nb Trilayers.\nJ. Supercond. Nov. Magn. , 26(3):571–574, Nov. 2012.\n[68] K. Torokhtii, N. Pompeo, F. Rizzo, A. Augieri, G. Celent ano, A. Mancini,\nand E. Silva. Measurement of Vortex Pinning in YBCO and YBCO /\nBZO Coated Conductors Using a Microwave Technique. IEEE Trans. Appl.\nSupercond. , 26(3), 2016. Art. ID 8001605.\n[69] K. Torokhtii, N. Pompeo, and E. Silva. A rectangular die lectric resonator\nfor measurements of the anisotropic microwave properties i n planar con-\nductors. Meas. Sci. Technol. , 25(2), Feb. 2014. Art. ID 025601.\n[70] Y. Tsuchiya, K. Iwaya, K. Kinoshita, T. Hanaguri, H. Kit ano, A. Maeda,\nK. Shibata, T. Nishizaki, and N. Kobayashi. Electronic stat e of vortices\nin YBa 2Cu3Oyinvestigated by complex surface impedance measurements.\nPhys. Rev. B , 63(18), Apr. 2001. Art. ID 184517.\n[71] A. Velichko, M. J. Lancaster, R. Chakalov, and F. Wellho fer. Anomalies in\nthe microwave power-dependent surface impedance of YBa 2Cu3O7−xthin\nfilms. Phys. Rev. B , 65(10), Mar. 2002. Art. ID 1045221.\n[72] V. Vinokur, V. Geshkenbein, M. Feigel’man, and G. Blatt er. Scaling of the\nHall Resistivity in High- TcSuperconductors. Phys. Rev. Lett. , 71(8):1242–\n1245, 1993.\n[73] R. Wakatsuki, Y. Saito, S. Hoshino, Y. M. Itahashi, T. Id eue, M. Ezawa,\nY. Iwasa, and N. Nagaosa. Nonreciprocal charge transport in noncen-\ntrosymmetric superconductors. Sci. Adv. , 3(4), 2017. Art. ID e1602390.\n[74] B. A. Willemsen, S. Sridhar, J. S. Derov, and J. H. Silva. Vortex dynamics\nat microwave frequencies in patterned YBa 2Cu3O7−δthin films. Appl.\nPhys. Lett. , 67(4):551–553, 1995.\n20[75] D.-H. Wu, J. Booth, and S. Anlage. Frequency and field Var iation of Vortex\nDynamics in YBa 2Cu3O7−δ.Phys. Rev. Lett. , 75(3):525–528, 1995.\n21" }, { "title": "1505.08002v2.Pressure_Induced_Superconductivity_in_BiS2_based_EuFBiS2.pdf", "content": "1 \n Pressure -Induced Superconductivity in BiS 2-based EuFBiS 2 \n \nKouji Suzuki1,2, Masashi Tanaka1*, Saleem J. Denholme1,†, Masaya Fujioka1,‡, \nTakahide Yamaguchi1,2, Hiroyuki Takeya1, and Y oshihiko Takano1,2 \n \n1National Institute for Materials Science, 1 -2-1 Sengen, Tsukuba , Ibaraki 305-0047, Japan \n2Graduate School of Pure and Applied Sciences, University of Tsukuba, 1 -1-1 Tennodai, \nTsukuba , Ibaraki 305-8577, Japan \n \nAbstract \n We measured t he electrical resistivity of the BiS 2-based compound EuFBiS 2 under \nhigh pressure . Polycrystalline EuFBiS 2 show s insulator -metal transition and pressure -induced \nsuperconduct ivity above 0.7 GPa. The superconducting transition temperature increase s with \nincreasing applied pressure and shows a maximum value around 8.6 K at 1.8 GPa. \n \n \n \n \n*E-mail: Tanaka.Masashi @nims.go.jp \n†Present address: Department of Applied Physics, Tokyo University of Science , 6-3-1 Niijuku, \nKatsushika, Tokyo 125 -8585 , Japan \n‡Present address: Research Institute for Electronic Science, Hokkaido University, N20W10, \nKita-ku, Sapporo, Hokkaido 001-0020 , Japan 2 \n Since the discovery of superconductivity in Bi4O4(SO 4)1−xBi2S4,1) much attention has \nbeen paid to developing BiS 2-based layered superconductors. BiS 2-based compounds have a \nlayered structure composed of an alternate stacking of super conducting and blocking layers , \nwhich is a common feature of cuprate or Fe-based superconductors.2,3) The t ypical BiS 2-based \ncompound LaOBiS 2 shows superconductivity by O substitution with F in the blocking layer ,4) \nwhich supplies electron carriers into the BiS 2 superconducting layer. This led to considerable \nadvances in the BiS 2-based superconduct ing family within a few years.5-18) Interestingly, \nmany BiS 2-based superconductors are sensitive to external pressure.19-22) The Tc enhancement \nhas been attributed to the local structure sensitivity of the BiS 2-based superconducting \nmaterials.23) \n Recently, it has been report ed that the BiS 2-based compound EuFBiS 2 shows \nsuperconductivity even without any chemical doping.24-26) A charge -density -wave (CDW) -like \nbehavior was simultaneously observed in its electrical resistivity.24) It is necessary to have \nmore information about its physical properties by using other probes. In this study , we \ndemonstrate the electrical resistivity measurements of EuFBiS 2 under high pressure . It is \nfound th at the semiconduct ing sample show s insulator -metal transition and superconducti vity \nis induced by only applying pressure. \nPolycrystalline sample s of EuFBiS 2 were prepared by a solid -state reaction. Powders \nof EuS, BiF 3, and Bi2S3 were weighed with the nominal composition of Eu FBiS 2. The mixture \nwas well-ground and pressed into pellet s. The pellet s were sealed in an evacuated quartz tube \nand then heated at 700 °C for 20 h. X-ray diffraction (XRD) measurement with Cu K \nradiation was carried out using Mini Flex 600 (RIGAKU) . The compositional ratio was \nanalyzed by energy dispersive X-ray spectroscopy (EDX) using JSM -6010LA (JEOL) . The \nelectric al resistivity measurement was performed in the temperature range from 2 to 300 K \nusing a physical propert y measurement system (PPMS, Quantum Design) by a fou r-probe \nmethod . A piston -cylinder -type high -pressure cell was used for applying hydrostatic p ressure 3 \n to the sample. Fluorinert 70/77 was employed as a pressure -transmitting medium . All the \npressure values were estimated from the Tc of a Pb manometer, a lthough t he actual pressure \naround room temperature includes under estimation . \n The obtained sample is the single phase of EuFBiS 2, and the diffraction peaks can be \nindexed on the basis of a tetragonal unit cell with the lattice parameters a = 4.0478(7) Å and c \n= 13.520(3) Å, as shown in Fig. 1 . The compositional r atio is estimate d to be Eu: F: Bi: S = 1: \n0.9(4) : 0.9(5) : 1.7(7) from EDX analysis , which is in good agreement with the nominal \ncomposition of EuFBiS 2 within the error. \n The electrical resistivity at ambient pressure shows semiconduct ing behavior with a \nbroad hump at around 230 K [Fig. 2(a)]. The resistivity increases with decreasing temperature, \nand is insulating in the temperature range below 180 K . The behavior drastically change s \nupon compression . The insulating behavior turns to metallic with applying pressure . This \ninsulator -metal transition occurred at the pressure between 0.3 and 0.7 GPa. And also , the \nsuperconducting transition clearly appear s at the pressure of 0.7 GPa as shown in Fig. 2(b) . \nThe onset superconducting transition temperature ( Tconset) and zero-resistivity temperature \n(Tczero) are 5.2 and 2.1 K, respectively, at a pressure of 0.7 GPa. As shown in the lower panel \nof Fig. 2(c), both Tconset and Tczero exhibit a bell -shaped curve with the maximum of 8.6 K at \n1.8 GPa against the applied pressure . \nThe broad hump at around 230 K at ambient pressure was gradually suppressed upon \ncompression, and the peak temperature of the hump (Thump) decreased with increasing \npressure , as shown in the upper panel of Fig. 2(c). It is interesting to note that there is a \ncorrelation between the hump suppression and superconducting appearance. When the hump \nis suppressed completely, Tc reaches its highest value at 1.8 GPa. \nFigure 3(a) shows the electrical resistivity at a pressure of 1.8 GPa under magnetic \nfields up to 3.5 T. The superconducting transition wa s suppre ssed with increasing magnetic \nfield. The magnetic field dependence s of Tconset and Tczero are shown in Fig . 3(b). The upper 4 \n critical field ( Hc2) and irreversible field (Hirr) were estimated to be 3.0 and 1. 5 T, respectively. \nIt has been reported that the Tc of RE(O,F)BiS 2 (RE = La, Ce, Pr, Nd, Yb) series \nsuddenly increase s with increasing pressure.19-22) In the case of EuFBiS 2, however, Tc \nincrease s gradually up to its maximum with increasing pressure . The gradual increases in Tc \nmay evoke a correlation between Tc and its electron density of states at the Fermi level . There \nis a possibility that the europium valence changes with applied pressure. If the europium \nvalence changes from +2 to +3 with applied pressure, m ore carriers are doped into BiS 2 layers. \nThe superconducting mechanism of EuFBiS 2 still attracts considerable interest. Further \ninvestigations are required to understand the intrinsic properties of superconductivity of \nEuFBi S2, for example , structural analysis, magnetic propert y and specific heat measurements \nunder pressure . \n In conclusion , the superconducting transition in EuFBiS 2 was induced by only \napplying pressure. The electrical resistivity at ambient pressure showed semiconduct ing \nbehavior with a broad hump at around 230 K. EuFBiS 2 showed insulator -metal transition and \nthe superconductivity was observed at a pressure of 0.7 GPa. Tc increased gradual ly with \nincreasing pressure and showed a maximum of 8.6 K at a pressure of 1.8 GPa. \n \nAcknowledgement \nThis work was part ially supported by the Advanced Low Carbon Technology R&D \nProgram (ALCA) of the Japan Science and Technology Agency. \n \nReference s \n1) Y. Mizuguchi , H. Fujihisa, Y . Gotoh , K. Suzuki, H . Usui, K . Kuroki, S. Demura, Y . Takano, \nH. Izawa , and O. Miura , Phys. Rev. B 86, 220510(R) (2012). \n2) J. G. Bednorz and K. A. Müller, Z. Phys. B 64, 189 (1986). \n3) Y . K amihara, T. Watanabe, M. Hirano , and H. Hosono, J. Am. Chem. Soc. 130, 3296 5 \n (2008). \n4) Y . Mizuguchi, S. Demura, K. Deguchi, Y . Takano, H. Fujihisa, Y . Gotoh, H. Izaw a, and O. \nMiura, J. Phys. Soc. Jpn. 81, 114725 (2012). \n5) A. Miura, M . Nagao, T . Takei, S . Watauchi, I . Tanaka, and N. Kumada , J. Solid State Chem. \n212, 213 (2014). \n6) M. Nagao, S . Demura, K . Deguchi, A . Miura, S . Watauchi, T . Takei, Y . Takano, N . Kumada , \nand I. Tanaka , J. Phys. Soc. Jpn. 82, 113701 (2013). \n7) M. Nagao, A . Miura, S . Demura, K . Deguchi, S . Watauchi, T . Takei, Y . Takano, N . Kumada , \nand I. Tanaka , Solid State C ommun . 178, 33 (2014). \n8) J. Xing, S. Li, X. Ding, H. Yang , and H. -H. Wen, Phys. Rev. B 86, 214518 (2012). \n9) R. Jha , A. Kumar , S. K. Singh, and V . P. S. Awana, J. Supercond. Nov. Magn. 26, 499 \n(2013). \n10) S. Demura, Y . Mizuguchi, K. Deguchi, H. Okazaki, H. Hara, T. Watanabe, S. J. Denholme , \nM. Fujioka , T. Ozaki, H. Fujihisa , Y . Gotoh , O. Miura , T. Yamaguchi, H. Takeya, and Y . \nTakano, J. Phys. Soc. Jpn. 82, 033708 (2013). \n11) D. Yazici, K. Huang , B. D. White , A. H. Chang , A. J. Friedman, and M. B. Maple, Philos. \nMag. 93, 673 (2013). \n12) D. Yazici, K. Huang, B. White, I. Jeon, V . Burnett, A. Friedman, I. Lum, I. Nallaiyan, S. \nSpagna, and M. B. Maple, Phys. Rev. B. 87, 174512 (2013). \n13) J. Kajitani, T . Hiroi, A . Omachi, O . Miura, and Y . Mizuguchi , J. Phys. Soc. Jpn. 84, \n044712 (2015). \n14) X. Lin , X. Ni , B. Chen , X. Xu , X. Yang , J. Dai , Y . Li, X. Yang, Y . Luo, Q. Tao, G. Cao, \nand Z. Xu, Phys. Rev. B 87, 020504 (2013). \n15) Y . Mizuguchi, J. Phys. Chem. Solids 84, 34 (2015). \n16) M. Tanaka, M. Nagao, Y . Matsushita, M. Fujioka, S. J. Denholme, T. Yamaguchi, H. \nTakeya, and Y . Takano, J. Solid State Chem. 219, 168 (2014). 6 \n 17) A. Miura, M. Nagao, T. Takei, S. Watauchi, Y . Mizuguchi , Y . Takano, I. Tanaka, and N. \nKumada, Cryst. Growth Des. 15, 39 (2015). \n18) M. Tanaka, T. Yamaki, Y . Matsushita, M. Fujioka, S. J. Denholme, T. Yamaguchi, H. \nTakeya, and Y . Takano, Appl. Phys. Lett. 106, 112601 (2015). \n19) H. Kotegawa, Y . Tomita, H. Tou, H. Izawa, Y . Mizuguchi, O. Miura, S. Demura, K. \nDeguchi, and Y. Takano , J. Phys. Soc. Jpn. 81, 103702 (2012). \n20) C. T. Wolowiec, B. D. White, I. Jeon, D. Yazici, K. Huang, and M. B. Maple , J. Phys.: \nCondens. Matter 25, 422 201 (2013). \n21) M. Fujioka , M. Nagao, S . J. Denholme, M . Tanaka, H . Takeya, T . Yamaguchi , and Y . \nTakano , Appl. Phys. Lett. 105, 052601 (2014) . \n22) M. Fujioka, M . Tanaka , S. J. Denholme, T . Yamaki , H. Takeya, T . Yamaguchi , and Y . \nTakano , Europhys. Lett. 108, 47007 (2014). \n23) T. Tomita, M. Ebata, H. Soeda, H. Takahashi, H. Fujihisa, Y . Gotoh, Y . Mizuguchi, H. \nIzawa, O. Miura, S. Demura, K. Deguchi, and Y. Takano , J. Phys. Soc. Jpn. 83, 063704 \n(2014). \n24) H.-F. Zhai, Z.-T. Tang , H. Jiang, K . Xu, K . Zhang, P . Zhang, J .-K. Bao, Y .-L. Sun, W .-H. \nJiao, I. Nowik, I. Felner, Y.-K. Li, X .-F. Xu, Q . Tao, C.-M. Feng, Z .-A. Xu, and G .-H. Cao, \nPhys. Rev. B 90, 064518 (2014). \n25) G. S. Thakur, R . Jha, Z . Haque , V. P. S. Awana, L . C. Gupta , and A. K. Ganguli , \narXiv:1504.0 8088. \n26) H.-F. Zhai, P. Zhang, Z .-T. Tang, J .-K. Bao, H . Jiang, C .-M. Feng, Z .-A. Xu, and G.-H. \nCao, arXiv: 1505.06447 . 7 \n Figure captions \nFig. 1 (Color online) XRD pattern of the polycrystalline sample of EuFBiS 2. Schematic \nillustration of the i nset shows the crystal structure of EuFBiS 2. \n \nFig. 2 (Color online) (a) Temperature dependence of electrical resistivity for EuFBiS 2 under \nvarious pressures. The arrows indicate the peak temperature of the hump structure ( Thump). (b) \nEnlargeme nt scale of the superconducting transition. Tconset was determined to the temperature \nat 95% of the resistivity in its normal conduction state. (c) Pressure dependences of Thump, \nTconset and Tczero. \n \nFig. 3 (Color online) (a) Temperatur e dependence of electrical resistivity under various \nmagnetic fields at a pressure of 1.8 GPa. (b) Magnetic field dependences of Tconset and Tczero. \nThe dotted line in Hc2 corresponds to the estimation from the Werthamer –Helfand–Hohenberg \n(WHH) approximation for the type -II superconductor in a dirty limit. The dashed line in Hirr is \nthe line ar extrapolation of Tczero. 8 \n Figure s \n \n \nFigure 1 \n \n9 \n \n \n \n \n \n \nFigure 2 \n \n0.00.20.40.60.81.01.2\n0 5 10 15\nTemperature [K]Resistivity [m cm]0.3 GPa\n0.7 GPa 0.9 GPa\n1.1 GPa\n1.3 GPa\n1.4 GPa\n2.2 GPa\n2.6 GPa1.8 GPa(b)\n0.00.51.01.52.0\n0 50 100 150 200 250 300\nTemperature [K]Resistivity [m cm]\n0.3 GPa\n0.7 GPa\n0.9 GPa\n1.1 GPa\n1.3 GPaAmbient\n1.4 GPa2.2 GPa\n2.6 GPa1.8 GPa(a)\npressure\n180200220240Temperature [K]\nPressure [GPa](c) Thump\n246810\n0 1 2 3Tconset\nTconset10 \n \nFigure 3 \n0.00.20.40.6\n0 2 4 6 8 10\nTemperature [K]Resistivity [m cm](a) 3.5 T\n0 T\n01234\n0 2 4 6 8 10\nTemperature [K]Magnetic Field [T](b)\n3.0 T\n1.5 T" }, { "title": "2109.02910v2.A_Novel_Manufacturing_Process_for_Glass_THGEMs_and_First_Characterisation_in_an_Optical_Gaseous_Argon_TPC.pdf", "content": "Article\nA Novel Manufacturing Process for Glass THGEMs and\nFirst Characterisation in an Optical Gaseous Argon TPC\nAdam Lowe, Krishanu Majumdar, Konstantinos Mavrokoridis *, Barney Philippou *, Adam Roberts *\nand Christos Touramanis\nUniversity of Liverpool, Department of Physics, Oliver Lodge Bld, Oxford Street, Liverpool, L69 7ZE, UK\n*Correspondence: k.mavrokoridis@liverpool.ac.uk (K.Mv.); sgbphili@liverpool.ac.uk (B.P);\naroberts@hep.ph.liv.ac.uk (A.R.)\nAbstract: This paper details a novel, patent pending, abrasive machining manufacturing process for the\nformation of sub-millimetre holes in THGEMs, with the intended application in gaseous and dual-phase\nTPCs. Abrasive machining favours a non-ductile substrate such as glasses or ceramics. This innovative\nmanufacturing process allows for unprecedented versatility in THGEM substrates, electrodes, and hole\ngeometry and pattern. Consequently, THGEMs produced via abrasive machining can be tailored for\nspecific properties, for example: high stiffness, low total thickness variation, radiopurity, moisture\nabsorption/outgassing and/or carbonisation resistance. This paper specifically focuses on three glass\nsubstrate THGEMs (G-THGEMs) made from Schott Borofloat 33 and Fused Silica. Circular and hexagonal\nhole shapes are also investigated. The G-THGEM electrodes are made from Indium Tin Oxide (ITO),\nwith a resistivity of 150 W/Sq. All G-THGEMs were characterised in an optical (EMCCD) readout\nGArTPC, and compared to a traditionally manufactured FR4 THGEM, with their charging and secondary\nscintillation (S2) light production behaviour analysed.\nKeywords: Glass Thick Gaseous Electron Multipliers (G-THGEMs); Thick Gaseous Electron Multipliers\n(THGEM); Large Electron Multiplier (LEM); Micropattern gaseous detectors; Time Projection Chambers\n(TPC); Noble liquid detectors; Photon Detectors for UV , Visible and IR Photons (Solid-state)\nARIADNE\n1. Introduction\nRecent years have seen significant advancements in Micro-Pattern Gaseous Detectors (MPGDs).\nGaseous Electron Multipliers (GEMs) [ 1] and THick Gaseous Electron Multipliers (THGEMs) (also know\nas Large Electron Multipliers (LEMs)) [ 2] are some of the most recent developments within the field of\nMPGDs, and have proved important for their simplicity and effectiveness. GEMs and THGEMs both\nhave similar basic arrangements: an insulating substrate, sandwiched between two electrically conductive\nelectrodes, with holes perforating through all three layers. Compared to GEMs, THGEMs typically have\nan order of magnitude increased thickness. Traditionally, THGEMs are manufactured from an epoxy\nlaminate/FR4 substrate and have copper plated electrodes. Sub-millimetre through-holes are mechanically\ndrilled through the device to form field amplification regions. A dielectric rim may be etched around eacharXiv:2109.02910v2 [physics.ins-det] 10 Nov 20212 of 19\nhole. It has been reported that dielectric rims reduce the probability of discharges, ultimately resulting in\nten-fold higher gain compared to designs without rims [3].\nThe robustness and simplicity of THGEMs, both in terms of their use and manufacturing, has\nresulted in their adoption in a wide variety of applications. This paper will concentrate on their use in\ndual-phase and gaseous Time Project Chambers (TPCs). Typically, TPCs can either be filled completely\nwith a scintillating gas or, in the dual-phase case, filled with both the liquid and gaseous state of a single\nscintillator (typically argon or xenon). When an ionising particle passes through the TPC volume, both\nscintillation light and free electrons are produced. By means of an applied electric field, the free electrons\nare drifted to the surface of the TPC, which is typically covered by devices capable of detecting these\nelectrons. By detecting both the scintillation light and the ionised electron signal, event reconstruction\nwithin the TPC is possible. In the case of gaseous TPCs, both initial scintillation/ionisation and detection\nare performed in the gas. In dual-phase TPCs, initial scintillation/ionisation occurs in the liquid, affording\nthe benefit of increased target density. The ionised signal is drifted and extracted into the gaseous phase\nfor detection. The benefit of extraction into a gaseous phase is retaining the ability to amplify the ionised\nsignal using MPGDs, which typically offer favourable performance when operating in gas compared to\nliquid. The effectiveness of THGEMs has resulted in widespread use within the contemporary TPC field,\nand much development has been made including the production of Liquid Hole Multipliers and FAT\nGEMs [ 4]. TPCs are now integral within the Neutrino and Dark Matter sectors, with the proposed kiloton\nscale DUNE modules [5–11], CYGNO [12], DarkSide-20k [13], ArDM [14] and LZ [15].\nDespite the many attractive features of THGEMs, there are limitations in terms of their design and\nmanufacture. Conventionally, THGEMs may be manufactured using standard printed circuit board\n(PCB) techniques. This typically results in THGEMs produced from epoxy laminate/FR4 substrates.\nEpoxy laminate/FR4 typically contains radioactive contaminants, precluding use within the dark matter\ncommunity. Additionally, epoxy laminate/FR4 is a porous material, hence contamination, moisture\nabsorption and outgassing issues may occur. Large area THGEMs/LEMs typically require mechanical\nsupport within the active area to limit deformations caused by sagging under gravity. Variations in epoxy\nlaminate/FR4 thickness across the substrate may also result in variations in field (and therefore gain) across\nthe THGEM. The use of substrates with higher stiffness and stricter thickness tolerances may alleviate both\nof these issues. Repeated sparking and discharging of THGEMs during operation may eventually lead to\ncarbonisation of the epoxy laminate/FR4 substrates. Carbonisation forms a conductive pathway between\nelectrodes, leading to degraded THGEM performance over time, potentially resulting in device failure.\nSince THGEM holes are traditionally formed via mechanical drilling, they maybe subject to variations in\nhole size across the THGEM due to drill bit wear. This may lead to further gain non-uniformities.\nThis paper details the manufacture and characterisation of Glass THGEMs (G-THGEMs), created\nusing a novel masked abrasive machining process (patent pending [ 16]), within the ARIADNE R&D\nframework [ 17]. Performance of the G-THGEMs is compared to a conventionally manufactured THGEM.\nThe conventional THGEM discussed in this paper has a 1 mm thick FR4 substrate and copper-coated\nelectrodes, with 500 mm diameter holes formed via mechanical drilling on an 800 mm pitch hexagonal\narray, with 50 mm etched rims.\nGlass GEMs (G-GEMs), produced from photosensitive glass, have already been fabricated (and\ntested), via a photolithography technique [ 18,19]. The new manufacturing technique presented in this\npaper is not limited to photosensitive glass and is suitable for a wide range of substrates. Both substrate\nand electrode materials can be tailored depending on specific application requirements - for example,\nhigh stiffness, low outgassing and/or high radiopurity. Abrasive machining allows for unprecedented\nversatility in THGEM patterning in terms of hole shape and layout. In addition, the abrasive machining\nprocess is no longer subject to drill bit wear, potentially improving hole diameter consistency across the3 of 19\nTHGEM. The abrasive machining manufacturing process could have far reaching consequences within the\nNeutrino and Dark Matter sectors [12–15,20], as well as in Medical Imaging [21].\n2. Novel G-THGEMs Manufacturing Process\nThe manufacturing process for G-THGEMs is composed of several distinct steps. A visual\nrepresentation is shown in Figure 1. First, a substrate is selected. The substrate must generally be\nnonductile, favoring materials such as glass or ceramics. In this work, Fused Silica and Schott Borofloat 33\nsubstrates were tested. The exact substrate can be tailored according the requirements of the application.\nFor example, synthetic Fused Silica can be made especially radiopure [ 22] and would therefore be ideally\nsuited for experiments requiring low backgrounds. Schott Borofloat 33 is relatively lower cost and may be\nwell suited for applications requiring high resistance to thermal shock. For this investigation, all substrates\nwere selected to be 1 mm thick, identical to that of the traditional FR4 THGEM.\nThe next step in the manufacturing process is the formation of electrodes. In this work, a vacuum\ndeposited 150 W/Sq Indium Tin Oxide (ITO) coating is applied on both sides of the substrate. A simple\nmask, as shown in orange on Figure 1, is used during the coating process to define the shape of the\nelectrode - in this case, the electrode area is a 163 mm diameter circle on the 200 mm diameter substrates.\nA photograph of the substrate with ITO coating is shown in Figure 2. ITO was selected predominantly for\nits relatively low cost and good availability, although many different electrode materials could be applied.\nThe controllable sheet resistance of the ITO coating may provide additional benefits when compared to\nhighly conductive coatings - this is discussed further in Section 3.1. More intricate electrode geometries\nmay also be possible via laser etching of the electrode coating [23].\nThe substrate, now with electrodes on both sides, is ready for the through hole machining process.\nThe position and shape of the through holes is defined using a 2D CAD drawing, such as Drawing\nExchange Format (DXF) file. For best results during the machining process, the diameter of the holes\nshould typically be at least half of the substrate thickness. For example, for a 1 mm thick substrate, hole\ndiameters \u00150.5mm are preferred. Figure 3 shows a schematic of two hole layouts which were tested in this\nwork. One design uses the conventional THGEM dimensions: 0.5 mm diameter holes on a hexagonal array\nwith a hole-to-hole pitch of 0.8 mm. The second design resembles a honeycomb structure, with hexagonal\nholes on a hexagonal array. This design highlights new capabilities provided by the manufacturing process\n- no longer being limited to geometries which can be produced by drilling.\nThe resulting mask, shown in purple on Figure 1, which defines location and shape of the through\nholes, is applied to both sides of the substrate. This mask is patterned via a photolithography technique,\nselectively producing areas which resist the abrasive machining process. Then, a series of abrasive delivery\nnozzles traverse the substrate, selectively abrading the substrate in the regions which are not masked.\nTypical abrasive materials includes aluminium oxide or silicon carbide, with a particle size of around\n20-25 mm. When performed from one side, the abrasion process produces holes which taper inwards with\nincreasing depth into the substrate. The taper angle (controllable between 6 - 35 degrees) was measured to\nbe approximately 12 degrees in this work. Once the process is completed on one side of the substrate, the\nsubstrate is flipped and the process is repeated on the other side. By abrading the substrate from both sides,\nbi-conical through holes are produced. The outer perimeter of the substrate can also be shaped by this\nprocess. In this work, the diameter was reduced to 179 mm. A schematic comparing holes of the G-THGEM\nto those of a traditional FR4 THGEMs is shown in Figure 4. A photograph comparing the G-THGEM and\nTHGEM holes can be seen in Figure 5. Small edge chipping (less than 10 mm) occasionally occurs around\nthe G-THGEM holes from the abrasive machining process. No discernible affects on the behaviour of\nthe G-THGEMs were identified, including discharge behaviour around these holes. Optimisation of the\nproduction technique is ongoing including varying the abrasive media, particulate size and the delivery4 of 19\nSubstrate selection\nTypically nonductile substrate \ne.g. glass, ceramic\nElectrode masking\nDefines electrode shape on \ntop/bottom surfaces\nElectrode deposition\n150 Ohms/Sq ITO coating \nin this work\nMachining masking\nDefines shape and location \nof THGEM holes\nAbrasive Machining \nAbraded from both sides forming \nbiconical through holes\nFigure 1. A visual representation of the novel, patent pending, abrasive machining technique for the\nproduction of G-THGEMs.\npressure. The bi-conical shape of G-THGEM holes produces distinctive dielectric charging behaviour\nduring operation - this is discussed further in Section 4.\nFollowing the abrasive blasting process, the mask is removed and the final G-THGEM is realised. A\nphotograph of a complete G-THGEM is shown in Figure 6. For these G-THGEM prototypes no special\ncleaning processes were afforded before use. However, multiple types of cleaning could be permitted, for\nexample, the use of an ultrasonic bath. In this work, electrical connection is made to the top and bottom\nITO electrodes using spring loaded contacts connected to the the outer perimeter of the electrode.5 of 19\nFigure 2. A 1 mm thick, 200 mm diameter glass wafer, coated with a 163 mm diameter Indium Tin Oxide\n(ITO) electrode on both the top and bottom faces.\nFigure 3. The hole topographies for circular and hexagonal masks, with the repeat unit overlayed. These\nmasks dictate the hole structure of the G-THGEMs, formed via abrasive machining. The hole sizes and\npattern dimensions are given in Table 1.6 of 19\n500μm275μm12ᵒ\n1mm\n1mm\n50μm500μm\nFigure 4. A cutaway schematic of the hole geometry for the G-THGEM (left), formed by abrasive machining,\nand the mechanically drilled holes of a conventional THGEM (right). The G-THGEM/THGEM substrate\nare shown in grey, with electrodes represented in black. The G-THGEMs are rimless, with a bi-conical\nshaped hole, while the THGEM has a 50 mm rim and straight walled holes.\nFigure 5. A comparison between the abrasively machined holes in a Borofloat 33 G-THGEM (left) and the\ndrilled holes in the FR4 THGEM (right). Both G-THGEM/THGEM holes are 500 mm in diameter.\n3. Experimental Setup\n3.1. G-THGEMs\nTable 1 summarises the four THGEMs, with their respective combinations of substrate material,\nelectrode coating and hole shape and size. Both the G-THGEMs and FR4 THGEM have a 179 mm diameter,\nwith a 163 mm diameter active area.\nTwo substrate materials were tested for the G-THGEMs: SCHOTT Borofloat 33 [ 24–27] and Fused\nsilica [ 27–29]. Borofloat 33 is relatively low cost, enabling potential large scale production of relatively\ninexpensive G-THGEMs, whereas Fused Silica is radiopure, potentially allowing use in experiments which\nare very sensitive to background radiation (for example, dark matter TPCs).\nThe abrasive machining process allows for more complex THGEM hole topography than conventional\nmanufacturing methods. To highlight this capability, a G-THGEM with hexagonal holes was also tested.\nHexagonal holes can be packed more densely (shown in Figure 3), offering higher open areas and possibly\nincreased electron transparency. The open area of the hexagonal G-THGEM is 62 %, compared to 35 %of\nthe circular holes.\nThere are several mechanical advantages afforded by G-THGEMs which makes them suitable for\nlarge-scale LArTPC experiments. Firstly, both Borofloat 33 and Fused Silica are stiffer than FR4 and can be7 of 19\nFigure 6. A Borofloat 33 substrate G-THGEM, coated on the top and bottom face with an ITO resistive\ncoating, with micro-patterned hole formation created via a masked abrasive machining process.\nTHGEM Type Substrate Electrode Hole Shape Hole Size ( mm)Hole Pitch ( mm)Rim Size ( mm)\nFR4 circ FR4 Cu Circle 500 800 50\nFS circ FS ITO Circle 500 800 None\nBF circ BF ITO Circle 500 800 None\nBF hex BF ITO Hexagonal 870 1100 None\nTable 1. A summary of the G-THGEM/THGEM types investigated in this paper, including substrates,\nelectrodes, hole pattern, size, shape and rims. The short-hand naming convention represents the\nG-THGEM/THGEM’s substrate and hole shape, where \"FS\" and \"BF\" refers to Fused Silica and Schott\nBorofloat 33, respectively.\nproduced in large flat surfaces, with thickness variation tolerances typically superior than what is possible\nfor FR4. Large-scale experiments, for example DUNE, utilise PCBs substrate LEMs with reported thickness\nvariations approaching 5 %, with a rejection rate of less than 1 LEM per Charge Readout Plane (CRP)\n(18 LEMs) [ 11]. Borofloat 33 sheets are available with thickness variations of \u001415mmfor 1 mm thick\nsubstrates [ 30], resulting in a thickness variation of less than 1.5% . This is an important consideration in\nachieving high THGEM gain uniformity. Differential surface flatness and THGEM bowing both contribute\nto THGEM field distortions. SCHOTT Borofloat 33 and Fused Silica are reported with flexural moduli\nof 64 GPa [ 24,25] and 72 GPa [ 28,29] respectively. The flexural strength of epoxy laminate/FR4 used to\nproduce THGEMs does not typically exceed 23 GPa (crosswise) [31,32].\nGlass is less porous than FR4, making it less susceptible to contamination. This presents obvious\nadvantages to detectors with high purity requirements. A common type of G10/FR4 is Textolite G 10\nFR4, manufactured by General Electric, and this has been reported to have a Total Mass Loss (TML) and\nCollected Volatile Condensable Material (CVCM) outgassing rate of 0.44% and 0.01% , respectively [ 33].8 of 19\nSilica based glasses/ceramics such as Borosilicate (Borofloat), Fused Silica or Aluminium Silicate typically\nhave relatively lower outgassing rates [33].\n3.2. The ARIADNE prototype TPC\nEach of the four G-THGEMs/THGEM were characterised using the ARIADNE prototype detector.\nThe ARIADNE prototype [ 35], shown in Figure 7, is an optical readout, 40 L cylindrical TPC, with both\ndual-phase cryogenic and gas capabilities. Characterisation of all THGEMs was undertaken in GAr\nconditions.\nThe TPC field cage, comprised of 22 stainless steel rings, has a 178mm diameter with a 20 cm drift\nlength. The field cage is bounded by a cathode grid at the bottom of the TPC and capped at the top with the\nbottom electrode of the THGEM. The drift field is established between the cathode and bottom THGEM\nelectrode. Outside the TPC active region, mounted on a rotatory feedthrough, is an Americium-241 alpha\nsource, with an initial 30 kBq rate. This is collimated to around 500 Bq. The rotary feedthrough allows the\nsource to be rotated in/out of the TPC volume during operation.\nA sheet of Tetraphenyl Butadiene (TPB) coated wavelength shifting (WLS) acrylic is mounted directly\nabove the THGEM. This WLS plate shifts the VUV S2 scintillation light from 128 nm [ 37] to 420 nm\n[38,39]. This wavelength is in the high quantum efficiency range of the externally mounted Andor iXon\nUltra 888 EMCCD camera [ 40] (further discussed in [ 17]). The Andor iXon Ultra 888 EMCCD camera\nis positioned externally to the detector, at a distance of approximately 600 mm from the THGEM. The\nEMCCD is combined with a Spacecom VF50095M lens, with a speed of f/0.95, and a focal length of 50 mm,\ngiving a field of view of approximately 160x160 mm2. The S2 scintillation light is imaged through a 90 mm\ndiameter Borosilicate glass viewport. The transparency of the viewport is >90 % [41] at 420 nm (the peak\nof the TPB emission spectrum). The camera is mounted on an adjustable tripod frame which sits on the\noptical viewport. It was important to maintain consistent field of view and focusing between studies.\nThis was achieved through reinforcement of the legs with locking nuts and retaining EMCCD orientation\nduring reassembly.\nAn 8-inch, TPB coated, Hamamatsu R5912-20-MOD Photomultipler Tube (PMT) is mounted below\nthe TPC. The spectral response range of the PMT lies between 300 and 650 nm, with a quantum efficiency\nof approximately 30 % at 420 nm [36].\n3.3. TPC Operation Principle\nThe operating principle of the optical readout and ARIADNE prototype TPC in GAr conditions is\nshown on the left of Figure 7. All G-THGEM/THGEM behaviours were characterised by monitoring the\nintensity of the S2 light produced in the THGEM holes. The primary scintillation/ionisation was induced\nby alpha particle/GAr interactions. The emitted S2 light was imaged with the EMCCD.\nAn emitted alpha particle enters the TPC active region and interacts with GAr, resulting in emission\nof prompt scintillation light (S1), and the creation of free electrons by ionisation. The S1 light is detected by\nthe PMT at the bottom of the detector and is used for t2purity monitoring, which is further discussed in\nSection 3.5.\nFree ionisation electrons drift upwards in response to a uniform electric field applied between\nthe cathode and the grounded G-THGEM/THGEM bottom electrode. When electrons reach the top\nof the field cage, they enter the G-THGEM/THGEM holes. Each electron is then accelerated by the\nhigh electric field applied across the THGEM, between bottom and top electrodes. At sufficiently high\nfields, this acceleration causes the electron to further ionise the GAr resulting in a Townsend discharge.\nThis exponentially increases the number of electrons. In addition, this process also produces secondary\nscintillation light - the S2 light signal [ 42,43], through excitation of GAr atoms. Depending on applied field,9 of 19\nFigure 7. The ARIADNE Prototype (right) and operating principle (left). As a single phase, optical readout\nGArTPC, a wavelength shifter (TPB) is used to shift the 128 nm VUV S2 scintillation light to 420 nm visible\nlight. This is then imaged with an EMCCD.10 of 19\n(a)Borofloat G-THGEM.\n (b)FR4 THGEM.\nFigure 8. A Borofloat 33 G-THGEM and conventional copper-coated FR4 PCB THGEM mounted on the\nARIADNE prototype TPC.\non the order of 100s of photons are produced per accelerated electron [ 44]. The EMCCD camera images\nthis S2 light, which has been wavelength shifted using TPB to the high quantum efficiency range of the\ncamera.\n3.4. Experimental Procedure\nThe TPC chamber was evacuated over 24 hours, down to rough vacuum of 10\u00003mbar. The chamber\nwas then filled to 1100 mbar with N6.0 GAr ( 99.9999 % pure). GAr was continuously flushed through the\ndetector volume, at flow rate of approximately 5 L/min for the duration of the THGEM studies, mitigating\nany outgassing effects that would otherwise degrade purity. Purity, measured using the slow scintillation\ncomponent - t2lifetime, was monitored throughout, as described in Section 3.5.\nEMCCD camera settings were kept consistent throughout the duration of the experiment - operating\nwith 4x4 binning, in full frame mode, with an exposure time of 50 ms. The EMCCD gain value was set its\nmaximum value of 1000. In this configuration, the EMCCDs had a readout rate of 15 Hz. This rate resulted\nin approximately 30 alpha GAr interactions recorded in a single image. The cameras were air cooled to\nless than -60 Celsius before recording to minimise sensor noise.\nThe TPC drift field was established between the cathode, operated at a bias of -2.5 kV , and the\nG-THGEM/THGEM bottom electrode, which was grounded. All field shaping rings were connected with\na 100 M Wresistor chain. The THGEMs were left unbiased and grounded for a 24 hour period (in order\nto be fully discharged) before measurements were taken. Characterisation began with a study into the\ndielectric charging behaviour of the G-THGEMs/THGEM. During measurements, biases were applied to\nthe detector in a consistant manner. First, the cathode bias was applied, establishing the drift field. Next,\nthe EMCCD was set to record data. Once the EMCCD has began recording data, the THGEMs top electrode\nis biased to near their pre-established breakdown voltage, see Table 2. This pre-established breakdown\nvoltage was determined experimentally before the 24 hour discharge period, by slowing increasing the top\nelectrode bias until THGEM discharges occured.11 of 19\nCharging was investigated, through imaging alpha GAr interactions, over a 30 minute window. Care\nwas taken to ensure that the position of the alpha source within the TPC was consistent between runs. By\nstudying S2 light intensity variation over time, the dielectric charging behaviour of the THGEM can be\ninferred. After this 30 minute charging period, a THGEM bias scan characterisation study was undertaken.\nThe THGEM bias was reduced from near its predetermined breakdown voltage in 50 V intervals\ndown to 1000 V (at this point the alpha tracks are barely discernible from the EMCCD sensor noise). For\neach THGEM bias, 2000 EMCCD images were recorded. Investigation of THGEM charging behaviour\nbefore the characterisation was a deliberate choice in order to maximally charge each THGEM before bias\ncharacterisation. The results of both experiments can be seen in Section 4.\nTHGEM Type Charging Study Bias (kV) Breakdown Voltage (kV)\nFR4 circ 1.60 1.80\nFS circ 1.60 1.90\nBF circ 1.60 1.85\nBF hex 1.70 1.80\nTable 2. A Table of the THGEM bias during the charging test and the measured breakdown voltage, in\n1100 mbar N6.0, flowing at a rate of 5L/min. The naming convention in the THGEM type is consistent with\nTable 1.\n3.5. GAr Purity Monitoring\nPurity was monitored using the well established t2lifetime method [ 45]. Argon S1 VUV scintillation\noccurs through two decay paths: (singlet excimer) t1and (triplet excimer) t2, the fast and slow component,\nrespectively. As the t2decay time increases with argon purity, this value can be used as a relative purity\nmonitor. It was important to ensure similar purity conditions for each G-THGEM/THGEM study as\nelectronegative impurities, within GAr, could potentially vary the charge gain and S2 scintillation light\nproduction.\nThe highest values of t2lifetime reported for GAr is 3200 \u0006300 ns [ 46] (with variation depending\non fitting models) and more recently 3480 \u000665 ns [ 47]. The PMT at the base of the TPC was used for all\npurity measurements. Purity measurements were taken after the initial fill of N6.0 GAr and subsequent\nmeasurements were taken while argon is continually flushed through the detector. Data collection began\nonly once t2exceeded 2000 ns (which approximately corresponds to N6.0 argon gas [ 45]). A final t2lifetime\nmeasurement was taken at the end of each THGEM study, to validate that purity had been maintained\nthroughout.\n4. THGEM Characteristics\nFigure 9 shows an example of alpha particle interactions with GAr, as captured by the EMCCD.\nThis image has been processed, with a background subtraction removing intrinsic sensor noise. The\nbackground image was generated from data taken when the THGEM was fully discharged and unbiased.\nSubsequently, a Region Of Interest (ROI) cut was made which contains all of the alpha source S2 tracks -\nthis ROI is highlighted in red on Figure 9.\n4.1. Dielectric Charging Behaviour\nFor each image, as detailed in Figure 9, the pixel intensities inside the ROI were summed. These\nsummations were then binned into 1 second intervals shown in Figure 10a and also 20 seconds intervals\nshown in Figure 10b. The shorter 1 second intervals better show gain fluctuations throughout the THGEM12 of 19\n0\n50100150 200 250 Horizontal Pixel\n050100150200250Vertical Pixel0\n50001000015000200002500030000350004000045000CCD (ZEROED)\nFigure 9. An EMCCD image of approximately 30 alpha particle GAr interactions, induced by a 30 kBq\n241Am alpha source, collimated to 500 Bq. The EMCCD was configured in 4x4 binning, with a 50 ms\nexposure, and a gain of 1000. This was a direct image taken of the WLS S2 light produced within a Fused\nSilica substrate G-THGEM holes, at a field of 1600 V/mm. This image has been background subtracted.\nThe red overlay is a ROI cut for analysis.\ncharging process whereas the longer 20 seconds intervals provide improved clarity for charging behaviour\nand shape.\n0\n5\n10\n15\n20\n25\n30\nTime (mins)\n0\n50\n100\n150\n200\n250\n300\n350\n400\n450\n6\n10\n�\nTotal Light Output (ADU) Per 1s\n(a)1s binning.\n0\n5\n10\n15\n20\n25\n30\nTime (mins)\n1000\n2000\n3000\n4000\n5000\n6000\n7000\n8000\n6\n10\n�\nTotal Light Output (ADU) Per 20s(b)20s binning with fit.\nFigure 10. The charging behaviour, manifesting as variation in S2 light intensity, of the various types of\nbi-conical hole G-THGEMs and cylindrical hole FR4 THGEM. Figures 10a and 10b represent time binnings\nof 1 second and 20 seconds, respectively. All functions in Figure 10b have been fitted using Equation 1, the\nparameters of which can be found in Table 3. The data colour is consistent with the legend on Figure 11.13 of 19\nThe most apparent difference in charging behaviour between the G-THGEMs and the traditional FR4\nTHGEM is that the S2 light intensity of G-THGEMs increases over time, whereas the S2 light intensity\nof the conventional THGEM decreases over time. The distinct charging behaviours may be explained\nby differences in hole geometry. As a consequence of the abrasive machining process, G-THGEMs have\nbi-conical holes. The effect of bi-conical holes on dielectric charging behaviour is well understood for\nGEMs [ 50,51], and the theory can be extended to G-THGEMs. The insulating glass substrate provides a\nsurface on which electrons may accumulate over time. Consequently, this accumulated charge will distort\nthe electric field within the G-THGEM hole. In the case of bi-conical holes, this accumulated charge causes\nan increase in electric field within the exit side of the hole (closer to the top electrode). This results in an\nincrease in gain, and therefore increased light production. In contrast, THGEM holes with straight walls\nexhibit dielectric charging effects which result in the decrease of THGEM gain (and S2 light intensity) over\ntime. This is primarily explained by a differential charge distribution along the walls of the holes [52].\nAll datasets shown on Figure 10b are fitted with Equation 1 [ 48,49], where G is the total light intensity\nper 20 seconds. The parameters of Equation 1 can be found in Table 3. The parameter p0is the initial gain,\nat time T0. The direction and amplitude of charging is governed by p1, where a negative (positive) p1\nvalue represents charging up (down), or more specifically, greater (less) S2 light production over time. The\nrate of charging is dictated by parameter p2.\nG=p0+p1e\u0000t/p2 (1)\nTHGEM Type p0 p1 p2\nFR4 circ 1.30\u0002109\u00061.26\u00021066.60\u0002108\u00061.42\u00021070.752\u00060.0210\nFS circ 1.02\u00021010\u00062.36\u0002107\u00007.48\u0002109\u00064.12\u00021070.04808 \u00060.00560\nBF circ 2.07\u0002109\u00066.63\u0002106\u00001.30\u0002109\u00061.23\u00021070.149\u00060.00346\nBF hex 1.49\u0002109\u00063.05\u0002106\u00007.42\u0002108\u00066.81\u00021060.162\u00060.00312\nTable 3. A Table of the fit parameters for Equation 1, describing the fits in Figure 10b.\nDespite similar bi-conical hole geometries, clear differences in dielectric charging behaviours are\ndiscernible between all G-THGEMs. The Borofloat 33 substrate G-THGEMs, with both circular and\nhexagonal hole geometries, had similar S2 light production at T0. Additionally, both hole layouts resulted\nin maximal charging time, after around 10 mins. This is quantitatively reinforced by their p0and p2\nparameters of 2.07\u0002109and 0.149 (circular) and 1.49\u0002109and 0.162 (hexagonal), respectively. However,\nthe larger hexagonal holes result in around half the light production in a maximally charged state than\nfor the circular holes. The ratio of p1values dictates the relative total S2 light production differences at\nmaximal charging. Between circular and hexagonal hole layout, Borofloat 33 G-THGEMs, the p1ratio is\n1.8. The reduction in S2 light intensity of the hexagonal hole G-THGEM can be attributed to a reduced\nfield for larger holes at the same bias.\nAlthough both the Fused Silica and Borofloat 33 G-THGEMs have the same circular bi-conical hole\ngeometries, a significant difference of the dielectric charging behaviour can be noticed in Figure 10. The\nratio of the charging rate parameters, described by p2, between Fused Silica and Borofloat 33 is 0.32,\nleading to the approximately observed charging rate of a third. The ratio of p1amplitude parameters is\n5.8, describing the approximately six fold increase in amplitude after 30 mins of charging when comparing\nFused silica to Borofloat 33. One possible explanation for these dielectric charging behaviour differences\nis that Borofloat 33 will typically contain many more impurities than Fused Silica. It is conceivable that\nthese impurities may create a pathway by which electrons on the surface of the holes can move, thereby\nreducing the dielectric charging effect.14 of 19\n4.2. S2 Light Production THGEM Bias Scan\nA THGEM bias scan study was also performed, detailing the S2 light intensity as a function of THGEM\nfield. Similarly to the method described for the dielectric charging behaviour, a ROI cut was taken around\nthe alpha particles. This was done for all of the 2000 (background subtracted) EMCCD images collected\nfor each THGEM type at a given bias. For each event, within this ROI, the pixel intensities were summed\nand a distribution produced of the total light intensity, in ADU, for the respective G-THGEMs/THGEM\nfields. The results of the study are shown in Figure 11.\nNominal THGEM behaviour can be seen for both the G-THGEMs and the FR4 THGEM. At low field\n(below approximately 1000V), the THGEMs are in the linear gain regime, the S2 light production is purely\nvia electroluminescence. As the field increases, the THGEM enters the electron multiplication regime,\nand the S2 light intensity is dominated by exponential effects. The overall THGEM S2 light production\nbehaviour can be described by Equation 2 (a convolution of both linear and exponential regimes). The fit\nparameters for Equation 2, describing the data sets in Figure 11, can be seen in Table 4.\nFigure 11. The FR4 THGEM and G-THGEM characterisation plots showing the total light intensity, at a\nvariety of THGEM fields, for 2000 EMCCD images, each containing approximately 30 alpha tracks. All\nfunctions have been fitted with Equation 2. The parameters of the fits can be seen in Table 4.\nI=p0x(1+p1ep2x) +p3 (2)\nTHGEM Type p0 p1 p2 p3\nFR4 circ 29.7\u00068.0 2.30\u000210\u00002\u00066.3\u000210\u000035.36\u000210\u00003\u00062.1\u000210\u00004\u00007.68\u0002104\u00061.831\u0002105\nFS circ 117\u000657 5.50\u000210\u00004\u00062.47\u000210\u000047.78\u000210\u00003\u00063.5\u000210\u000042.97\u0002104\u00068.32\u0002104\nBF circ 7.15\u000210\u00003\u00063.59\u000210\u000031.85\u00063\u000210\u000028.05\u000210\u00003\u00063.0\u000210\u000049.30\u0002104\u00062.21\u0002104\nBF hex 8.37\u00065.97 2.35\u000210\u00002\u00061.69\u000210\u000025.76\u000210\u00003\u00064.0\u000210\u000043.40\u0002105\u00061.39\u0002105\nTable 4. A table of fit parameters for Equation 2, describing the fits in Figure 11.\nBy far, the greatest light intensity comes from the Fused Silica G-THGEM. Both Borofloat 33 and\nFused Silica G-THGEMs, which have hole sizes comparable to the FR4, produce increased S2 light intensity\nrelative to the FR4 THGEM. This can be attributed to the distinct charging characteristics of the G-THGEMs.15 of 19\nThe Borofloat 33 G-THGEM with a hexagonal hole pattern produces the least S2 light intensity. This can\nbe attributed to a larger hole, meaning that for the same bias the electric field within the hole is lower,\ntherefore resulting in relatively less gain and thus reduced S2 light intensity.\nBoth Fused Silica and Borofloat 33 G-THGEMs were found to be more resilient to damage than FR4,\nwhere irreparable carbonisation can occur after repeated discharges. As noted previously, carbonisation\nhas the potential to severely degrade the performance of the THGEM. Nominally, the highly conducting\ncopper electrode of classical THGEMs can allow a large current flow during discharges. The resistive\nnature of the ITO electrode coating of the G-THGEMs may possibly be quenching sparks by limiting\ncurrent flow [34].\nWith regards to G-THGEM applications within TPCs, the benefits of increased S2 light intensity are\nobvious. Firstly, for the same applied bias, increased light intensity could possibly result in a lowered\nenergy threshold of a TPC. Functioning at a lower bias, while giving comparable light intensity, reduces\nthe possibility of discharges, both directly on the THGEM and on connectors, feedthroughs or cabling.\nDetector stability is an important consideration for long-term, large-scale experiments.\n5. Outlook and Future Developments\nThe overarching aim of this investigation was the assessment of a new manufacturing process for\nG-THGEMs, in the context for applications within large-scale LArTPCs, and potentially beyond into\ndark matter and medical research. Within this paper, three G-THGEMs were produced using a novel,\npatent pending, masked abrasive machining technique. This manufacturing method gives unprecedented\nversatility to the substrates and electrodes materials, together with hole shape and pattern. For first\ncharacterisation (and comparison with an FR4 THGEM), a selection of G-THGEMs were produced with\nunique behaviours. Variations in glass substrate (either Borofloat 33 or Fused Silica) were studied, as\nwell as two distinct hole patterns (circular or hexagonal). A transparent ITO electrode was used for all\nG-THGEMs.\nGeneral reported issues with current THGEM technologies in LArTPC applications, can be\nsummarised as the following: material bowing, limited stiffness and substrate thickness variations all\nresulting in field non-uniformities, contamination due to the porous nature of FR4, and discharges affecting\nlong term stability. In addition, secondary problems arise when considering quality assurance for the\nscale of production required for a kiloton scale experiment, such as DUNE - in particular, selection of\nFR4 substrates with suitable thickness variations and consistent hole formation from mechanical drilling.\nFurthermore, current FR4 THGEMs are unsuitable for use within the dark matter community due to\ninadequate substrate radiopurity. G-THGEMs have the potential to alleviate many of these concerns, while\nmaintaining quality control and quality assurance manufacturing required for large-scale experiments.\nCharacterisation of the G-THGEMs (compared to a conventionally manufactured FR4 THGEM)\ndetermined that for the same hole configuration, at the same bias, G-THGEMs have increased S2 light\nproduction. Furthermore, the bi-conical shape of the G-THGEM holes produced by the abrasive machining\nprocess leads to an increasing S2 light intensity over time as the G-THGEMs charge up. Cylindrical holes,\ntypical of conventional THGEMs, result in the decrease of light intensity over time.\nThe masked abrasive machining process allows for unprecedented customisation of G-THGEMs.\nThe substrate, electrode, hole shape, size and pattern can all be varied, selecting for certain desirable\nmechanical and behavioural properties. For example, within this experiment, Borofloat 33 and Fused Silica\nglass were both investigated. Borofloat 33 is relatively low-cost, whereas Fused Silica is radiopure and may\nhave the potential for use within dark matter TPCs. Both of these glasses boast flexural moduli of between\n64 and 72 GPa, making them almost 3 times stiffer than FR4 (23 GPa). In addition, glass substrates are\navailable with thickness variations better than <1.5% , a marked improvement on the typically achieved16 of 19\n5%for FR4 substrate THGEMs. Finally, the observed discharge behaviour of G-THGEMs was different to\ntypical THGEMs. As ITO is resistive, discharges are localised to the region and the amount of current flow\nis limited. This has an effect of quenching sparks, potentially reducing damage to the device. Additionally,\ncarbonisation, a known problem with FR4, does not occur with glass substrates.\nThe manufacturing technique and results discussed within this paper represents an encouraging\nfirst demonstration of the feasibility of masked abrasively machined G-THGEMs. While still in their\ninfancy G-THGEMs, are a promising avenue for exploration. The abrasive machining technique allows for\nunprecedented versatility in THGEM design, allowing configurations suitable for a range of applications.\nFurther development is ongoing, including the production of a larger G-THGEMs for incorporation into\ndual-phase LArTPCs. Specifically, production will include larger 54\u000254cm2G-THGEMs for use in the\nARIADNE detector, as well as sixteen 50\u000250cm2G-THGEMs for large scale demonstrations at the CERN\nNeutrino Platform [53].\n6. Patents\nK. Mavrokoridis, A. Roberts and The University of Liverpool, 2020, Gas Electron Multiplier, Patent\nPending, GB2019563.2\nAuthor Contributions: Conceptualization, K.Mv., B.P ., A.R. and C.T.; Funding acquisition, K.Mv. and C.T.;\nInvestigation, A.L., K.Mj., K.Mv., B.P . and A.R.; Writing, A.L., K.Mj., K.Mv., B.P ., A.R. and C.T. All authors have read\nand agreed to the published version of the manuscript.\nFunding: The ARIADNE program is funded by the European Research Council Grant No. 677927.\nAcknowledgments: The authors would like to thank the members of the Mechanical Workshop of the University of\nLiverpool’s Physics Department, for their contributions and invaluable expertise.\nConflicts of Interest: The authors declare no conflict of interest. The funders had no role in the design of the study; in\nthe collection, analyses, or interpretation of data; in the writing of the manuscript, or in the decision to publish the\nresults.\nReferences\n1. Sauli F., GEM: A new concept for electron amplification in gas detectors, Nuclear Instruments and Methods in\nPhysics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, Volume 386,\nIssues 2–3, 1997, Pages 531-534.\n2. Chechik, R.; Breskin, A.; Shalem, C.; Mormann, D., Thick GEM-like hole multipliers: properties and possible\napplications, Nucl. Instrum. Meth. A, Volume 535, Issue 1-2 (2004), Pages 303-308\n3. Breskin, A.; Alon, R.; Cortesi, M.; Chechik, R.; Miyamoto, J.; Dangendorf, V .; Maia, J.; Dos Santos, J. M. F., A\nconcise review on THGEM detectors, Nucl. Instrum. Meth. A, Volume 598, (2009), Pages 107-111\n4. Buzulutskov, A. Electroluminescence and Electron Avalanching in Two-Phase Detectors. Instruments 2020, 4, 16.\nhttps://doi.org/10.3390/instruments4020016\n5. DUNE Collaboration, Long-Baseline Neutrino Facility (LBNF) and Deep Underground Neutrino Experiment\n(DUNE) Conceptual Design Report Volume 1: The LBNF and DUNE Projects [arXiv:1601.05471]\n6. DUNE Collaboration, Long-Baseline Neutrino Facility (LBNF) and Deep Underground Neutrino Experiment\n(DUNE) Conceptual Design Report Volume 2: The Physics Program for DUNE at LBNF [arXiv:1512.06148]\n7. Strait, J.; McCluskey, E.; Lundin, T.; Willhite, J.; Hamernik, T.; Papadimitriou, V .; Marchionni, A. ; Kim, M. J.;\nNessi, M.; Montanari, D.; Heavey, A., Long-Baseline Neutrino Facility (LBNF) and Deep Underground Neutrino\nExperiment (DUNE) Conceptual Design Report Volume 3: Long-Baseline Neutrino Facility for DUNE June 24,\n2015 [arXiv:1601.05823]\n8. DUNE Collaboration, Long-Baseline Neutrino Facility (LBNF) and Deep Underground Neutrino Experiment\n(DUNE) Conceptual Design Report, Volume 4 The DUNE Detectors at LBNF [arXiv:1601.02984]17 of 19\n9. DUNE Collaboration, The DUNE Far Detector Interim Design Report Volume 1: Physics, Technology and\nStrategies, [arXiv:1807.10334]\n10. DUNE Collaboration, The DUNE Far Detector Interim Design Report, Volume 2: Single-Phase Module,\n[arXiv:1807.10327]\n11. DUNE Collaboration, The DUNE Far Detector Interim Design Report, Volume 3: Dual-Phase Module,\n[arXiv:1807.10340]\n12. Baracchini, E.; Benussi, L.; Bianco, S.; Capoccia, C.; Caponero, M.; Cavoto, G.; Cortez, A.; Costa, I. A.; Di Marco,\nE.; D’Imperio, G.; et al., CYGNO: a gaseous TPC with optical readout for dark matter directional search, Journal\nof Instrumentation, Volume 15, Issue 7 (2020), Pages C07036\n13. Aalseth, C. E.; Acerbi, F.; Agnes, P .; Albuquerque, I. F. M.; Alexander, T.; Alici, A.; Alton, A. K.; Antonioli, P .;\nArcelli, S.; Ardito, R.; et al., DarkSide-20k: A 20 Tonne Two-Phase LAr TPC for Direct Dark Matter Detection at\nLNGS, Eur. Phys. J. Plus, Volume 133, (2018), Pages 131\n14. Marchionni, A.; Amsler, C.; Badertscher, A.; Boccone, V .; Bueno, A.; Carmona-Benitez, M. C.; Coleman, J.; Creus,\nW.; Curioni, A.; Daniel, M.; et al., ArDM: a ton-scale LAr detector for direct Dark Matter searches, 2011 J. Phys.:\nConf. Ser. 308 012006\n15. Mount, B. J.; Hans, S.; Rosero, R.; Yeh, M.; Chan, C.; Gaitskell, R. J.; Huang, D. Q.; Makkinje, J.; Malling, D. C.;\nPangilinan, M.; et al., LUX-ZEPLIN (LZ) Technical Design Report, 2017 [arXiv:1703.09144]\n16. Mavrokoridis, K., Roberts, A., and The University of Liverpool, 2020, Gas Electron Multiplier, Patent Pending,\nGB2019563.2.\n17. Hollywood, D.; Majumdar, K.; Mavrokoridis, K.; McCormick, K. J.; Philippou, B.; Powell, S.; Roberts, A.;\nSmith, N. A.; Stavrakis, G.; Touramanis, C.; Vann, J., ARIADNE - A Novel Optical LArTPC: Technical Design\nReport and Initial Characterisation using a Secondary Beam from the CERN PS and Cosmic Muons, Journal of\nInstrumentation, Volume 15, Issue 3 (2020), Page P03003\n18. Takahashi, H.; Mitsuya, Y.; Fujiwara, T.; Fushie, T., Development of a glass GEM, Nucl. Instrum. Meth. A,\nVolume 724, Issue 1 (2013), Pages 1-4\n19. Fujiwara, T.; Mitsuya, Y.; Fushie, T.; Aoki, T., Demonstration of soft X-ray 3D scanning and modeling with a glass\ngas electron multiplier, Journal of Instrumentation, Volume 14, (2019), Page P11022\n20. Gai, M.; Alon, R.; Breskin, A.; Cortesi, M.; McKinsey, D. N.; Miyamoto, J.; Ni, K.; Rubin, D. A. R.; Wongjirad,\nT., Toward Application of a Thick Gas Electron Multiplier (THGEM) Readout for a Dark Matter Detector\n[arXiv:0706.1106]\n21. Tsyganov, E.; Antich, P .; Parkey. R.; Seliounine, S.; Golovatyuk, V .; Lobastov, S.; Zhezher, V .; Buzulutskov, A.; Gas\nElectron Multiplying Detectors for medical applications Nucl. Instrum. Meth. A, Volume 597, Issue 2-3, (2008),\nPage 257-265\n22. Abgrall, N.; Arnquist, I. J.; Avignone, F. T.; Back, H. O.; Barabash, A. S.; Bertrand, F. E.; Boswell, M.; Bradley,\nA. W.; Brudanin, V .; Busch, M.; et al., The Majorana Demonstrator radioassay program, Nuclear Instruments\nand Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment,\nVolume 828, 2016, Pages 22-36\n23. Tanaka, R.; Takaoka, T.; Mizukami, H.; Arai, T.; Iwai, Y., Laser etching of indium tin oxide thin films by\nultra-short pulsed laser, Proc. SPIE 5063, Fourth International Symposium on Laser Precision Microfabrication,\n(18 November 2003); https://doi.org/10.1117/12.540533\n24. SCHOTT BOROFLOAT 33 – Mechanical Properties, https://www.schott.com/d/borofloat/723d30c8-cca0-4159-\nad40-31e658dbf588/1.8/borofloat33_mech_eng_web_09_2020.pdf (Accessed: 2021/02/21)\n25. Borofloat 33 Data Sheet, https://abrisatechnologies.com/products-services/glass-products/borosilicate/schott-\nborofloat-33/ (Accesssed: 2020/09/11)\n26. UQG Optics SCHOTT Borofloat 33, https://www.uqgoptics.com/wp-content/uploads/2019/07/Schott-\nBorofloat-33.pdf (Accessed: 2021/07/21)\n27. SCHOTT Technical Glasses, https://www.schott.com/d/uk/76a1e227-63ac-4f88-b111-efd65c41c95e/1.0/\nschott_techn_glaeser_e_2007.pdf (Accessed: 2021/02/21)\n28. SCHOTT Synthetic Fused Silica, http://www.jmcglass.com/down/fused_silica_us.pdf (Accessed: 2021/02/21)18 of 19\n29. Fused Silica Data Sheet, https://abrisatechnologies.com/products-services/glass-products/quartz-fused-silica/\ncorning-7980/ (Accesssed: 2020/09/11)\n30. SCHOTT Glass Wafers Specifications https://www.schott.com/d/advanced_optics/7af2454e-555a-4071-9590-\nbb7b32e75fec/schott-glass-wafer-specification-english-26062018.pdf (Accessed: 2021/09/03)\n31. Eltos Material Portfolio, http://www.eltos.com/en/documents/ (Accessed: 2021/07/21)\n32. Isola Standard DE104 FR4, https://www.isola-group.com/pcb-laminates-prepreg/de104/ (Accessed:\n2021/05/05)\n33. European Space Agency ESMAT, http://esmat.esa.int/index.html (Accessed:2021/07/28)\n34. Song, G.; Zhou, Y.; Shao, M.; Shang, L.; Lv, Y.; Wang, X.; Liu, J.; Zhang, Z., Development of THGEM-like detectors\nwith diamond-like carbon resistive electrodes, Journal of Instrumentation, Volume 15, November 2020, P11013\n35. Mavrokoridis, K.; Carroll, J.; McCormick, K. J.; Paudyal, P .; Roberts, A.; Smith, N. A.; Touramanis, C., First\nDemonstration of Imaging Cosmic Muons in a Two-Phase Liquid Argon TPC using an EMCCD Camera and a\nTHGEM, Journal of Instrumentation, Volume 10, 2015, P10004\n36. Hamamatsu R5912-20 PhotoMultipler Tube Data Sheet. https://www.hamamatsu.com/resources/pdf/etd/\nLARGE_AREA_PMT_TPMH1376E.pdf (Accesssed: 2020/01/04)\n37. Heindl, T.; Dandl, T.; Hofmann, M.; Krucken, R.; Oberauer, L.; Potzel, W.; Wieser, J.; Ulrich, A., The scintillation\nof liquid argon, Europhysics Letters, Volume 91, Issue 6, 2010, Pages 62002\n38. Gehman, V . M.; Seibert, S. R.; Rielage, K.; Hime, A.; Sun, Y.; Mei, D. M.; Maassen, J.; Moore, D., Fluorescence\nefficiency and visible re-emission spectrum of tetraphenyl butadiene films at extreme ultraviolet wavelengths,\nNucl. Instrum. Meth. A, Volume 654, Issue 1 (2011), Pages 116-121\n39. Benson, C. P .; Orebi Gann, G. D.; Gehman, V . M., Measurements of the intrinsic quantum efficiency and absorption\nlength of tetraphenyl butadiene thin films in the vacuum ultraviolet regime, Eur.Phys.J.C 78 (2018) 4, 329\n40. Andor - iXon Ultra 888 Specifications, http://www.andor.com/pdfs/specifications/iXon_Ultra_888_EMCCD_\nSpecifications.pdf (Accessed: 2020/01/04)\n41. Standard 7056 Kodial (Borosilicate) Glass Viewports https://www.lewvac.co.uk/product/standard-7056-kodial-\nborosilicate-glass/ (Accessed: 2021/05/10)\n42. Lightfoot, P . K.; Barker, G. J.; Mavrokoridis, K.; Ramachers, Y. A.; Spooner, N. J. C., Optical readout tracking\ndetector concept using secondary scintillation from liquid argon generated by a thick gas electron multiplier,\nJournal of Instrumentation, Volume 4, Issue 4 (2009), Page P04002\n43. Monteiro, C. M. B.; Fernandes, L. M. P .; Veloso, J. F. C. A.; Oliveira, C. A. B.; dos Santos, J. M. F., Secondary\nscintillation yield from GEM and THGEM gaseous electron multipliers for direct dark matter search, Physics\nLetters B, Volume 714, Issue 1 (2012), Pages 18-23\n44. Buzulutskov, A., Electroluminescence and Electron Avalanching in Two-Phase Detectors, MDPI Instruments,\nVolume 4, Issue 2 (2020), Article Number 16\n45. Mavrokoridis, K.; Calland, R. G.; Coleman, J.; Lightfoot, P . K.; McCauley, N.; McCormick, K. J.; Touramanis, C.,\nArgon Purification Studies and a Novel Liquid Argon Re-circulation System, Journal of Instrumentation, Volume\n6, 2011, P08003\n46. Keto, J. W.; Gleason, R. E.; Walters, G. K., Production Mechanisms and Radiative Lifetimes of Argon and Xenon\nMolecules Emitting in the Ultraviolet, Phy. Rev. Lett. 33 (1974) 1365\n47. Akashi-Ronquest, M.; Bacon, A.; Benson, C.; Bhattacharya, K.; Caldwell, T.; Formaggio, J. A. ; Gastler, D.;\nGrado-White, B.; Griego, J.; Gold, M.; et al., Triplet lifetime in gaseous argon. Eur. Phys. J. A 55, 176 (2019).\nhttps://doi.org/10.1140/epja/i2019-12867-2\n48. Pitt, M.; Correia, P . M. M.; Bressler, S.; Coimbra, A. E. C.; Renous, D. S.; Azevedo, C. D. R.; Veloso, J. F.\nC. A.; Breskin, A., Measurements of charging-up processes in THGEM-based particle detectors, Journal of\nInstrumentation, Volume 13, 2018, P03009\n49. Chatterjee, S.; Sen, A.; Roy, S.; G, K. Nivedita; Paul, A.; Das, S.; Biswas, S., Study of charging up effect in a triple\nGEM detector, Journal of Instrumentation, Volume 15, 2020, Pages T0901119 of 19\n50. Alfonsi, M.; Croci, G.; Duarte Pinto, S.; Rocco, E.; Ropelewski, L.; Sauli, F.; Veenhof, R.; Villa, M., Simulation of\nthe dielectric charging-up effect in a GEM detector, Nucl. Instrum. Meth. A, Volume 671, 11 April 2012, Pages\n6-9.\n51. Correia, P . M. M.; Oliveira, C. A. B.; Azevedo, C. D. R.; Silva, A. L. M.; Veenhof, R.; Varun Nemallapudi, M.;\nVeloso, J. F. C. A., A dynamic method for charging-up calculations: the case of GEM, Journal of Instrumentation,\nVolume 9, 2014, P07025\n52. Correia, P . M. M.; Pitt, M.; Azevedo, C. D. R.; Breskin, A.; Bressler, S.; Oliveira, C. A. B.; Silva, A. L. M.;\nVeenhof, R.; Veloso, J. F. C. A., Simulation of gain stability of THGEM gas-avalanche particle detectors, Journal of\nInstrumentation, Volume 13, 2018, P01015\n53. Amedo, P .; Gonzalez-Dıaz, D.; Lowe, A.; Majumdar, K.; Mavrokoridis, K.; Nessi, M.; Philippou, B.; Pietropaolo,\nF.; Resnati, F.; Roberts, A.; Saa, A.; Touramanis, C.; Vann, J., Letter of Intent: Large-scale demonstration of the\nARIADNE LArTPC optical readout system at the CERN Neutrino Platform, CERN, Geneva, Tech. Rep., Oct\n2020.[Online], CERN-SPSC-2020-026, SPSC-I-255. Available: http://cds.cern.ch/record/2739360" }, { "title": "1601.07135v1.Observation_of_An_Anisotropic_Wigner_Crystal.pdf", "content": "Observation of an Anisotropic Wigner Crystal\nYang Liu, S. Hasdemir, L.N. Pfei\u000ber, K.W. West, K.W. Baldwin, and M. Shayegan\nDepartment of Electrical Engineering, Princeton University, Princeton, New Jersey 08544\n(Dated: October 2, 2018)\nWe report a new correlated phase of two-dimensional charged carriers in high magnetic \felds,\nmanifested by an anisotropic insulating behavior at low temperatures. It appears near Landau level\n\flling factor \u0017= 1=2 in hole systems con\fned to wide GaAs quantum wells when the sample is\ntilted in magnetic \feld to an intermediate angle. The parallel \feld component ( Bjj) leads to a\ncrossing of the lowest two Landau levels, and an elongated hole wavefunction in the direction of Bjj.\nUnder these conditions, the in-plane resistance exhibits an insulating behavior, with the resistance\nalongBjjmore than 10 times smaller than the resistance perpendicular to Bjj. We interpret this\nanisotropic insulating phase as a two-component, striped Wigner crystal.\nLow-disorder, two-dimensional (2D) systems of\ncharged carriers, cooled to low temperatures and sub-\njected to a strong perpendicular magnetic \feld ( B?) are\nhost to a plethora of exotic, quantum many-body states\n[1{3]. At odd-denominator fractional \fllings of the lowest\nLandau level (LL), they exhibit fractional quantum Hall\nstates (FQHSs), uniform-density, incompressible liquid\nphases for which the resistance vanishes as temperature\nTapproaches absolute zero [1{3]. On the other hand,\nwhen the \flling factor becomes very small ( \u0017<\u00181=5), the\nsystem condenses into an ordered array of electrons, the\nso-called Wigner crystal, which is insulating because it\nis pinned by the ubiquitous disorder potential [2{8]. Yet\nanother set of states are the anisotropic phases observed\nat large even-denominator \fllings (e.g., \u0017= 9=2) which\nare believed to be nematic liquid states [9{12]. The new\ncorrelated phase we report here is distinct from these\nstates as it shows an anisotropic insulating behavior. It\nis manifest at low \fllings (near \u0017= 1=2) in 2D hole\nsystems (2DHSs) with a bilayer charge distribution and\ntilted in magnetic \feld to introduce a \feld component\n(Bjj) parallel to the 2D plane. Curiously, the anisotropic\nphase forms in a relatively narrow range of tilt angles near\n\u0012'35\u000ewhen the two lowest energy LLs are very close\nin energy. Outside this range, the 2DHS is not insulating\nand exhibits FQHSs at numerous \fllings. The condi-\ntions under which the new insulating phase appears sug-\ngest that it is an anisotropic (striped), two-component,\npinned Wigner crystal (Fig. 1(a)).\nOur 2DHSs are con\fned to 40- and 50-nm-wide GaAs\nquantum wells (QWs) \ranked by undoped Al 0:3Ga0:7As\nspacer and C \u000e-doped layers, and have as grown densi-\nties'1:2\u00021011cm\u00002. The structures were grown by\nmolecular beam epitaxy on GaAs (001) wafers and have\nvery high low-temperature mobilities, \u0016>\u0018100 m2/Vs.\nEach sample has a 4 \u00024 mm2van der Pauw geome-\ntry with alloyed In:Zn contacts at its four corners. We\nthen \ft it with an evaporated Ti/Au front-gate and an In\nback-gate to control the 2DHS density ( p) and keep the\nQW symmetric. The holes in the QW have a bilayer-like\ncharge distribution (Fig. 1(b)). The transport measure-\nments were carried out in a dilution refrigerator with abase temperature of T'30 mK, and an in-situ rotat-\nable sample platform to induce Bjj. As illustrated in\nFig. 1(c), we use \u0012to express the angle between the \feld\nand the sample plane normal, and denote the longitudi-\nnal resistances measured along and perpendicular to the\ndirection of BjjbyRxxandRyy, respectively. We used\nlow-frequency (\u001830 Hz) lock-in technique to measure\nthe transport coe\u000ecients.\nFigure 1 (e) highlights our main \fnding. It shows\nthe longitudinal ( RxxandRyy) and Hall ( Rxy) magneto-\nresistance traces, measured for a 2DHS con\fned to a 40-\nnm-wide GaAs QW at p= 1:28\u00021011cm\u00002and\u0012'35\u000e.\nStarting at B'8 T, bothRxxandRyyrapidly increase;\nnote the 50 times change of scale for RxxandRyyabove\n8 T [13{15]. Most remarkably, near \u0017= 1=2,Rxxis\u001825\nk\n whileRyy'10Rxxand, as we will show shortly, both\nRxxandRyyexhibit an insulating behavior. To probe\nthe origin of this anisotropic insulating phase (IP), we\npresent several experimental observations.\nData of Fig. 2, which were taken at \u0012= 0 and 50\u000e,\ndemonstrate that the anisotropic IP seen in Fig. 1(e)\noccurs near a crossing of the lowest two LLs (Fig. 1(d)).\nThe crossing is signaled by a profound weakening of the\n\u0017= 1 integer QHS at intermediate \u0012[16, 17]. As is\nevident in Fig. 2, traces taken at both \u0012= 0 and 50\u000e\nshow a strong integer QHS at \u0017= 1, with a very wide\nresistance plateau and large excitation gap \u0001 >\u001810 K. In\ncontrast, at intermediate angle \u0012'35\u000e(Fig. 1(e)), the\n\u0017= 1 QHS becomes much weaker (\u0001 '0:22 K) and has\na very narrow plateau.\nThe evolution of the FQHSs in Figs. 1(e) and 2 are\nalso consistent with a LL crossing occurring near \u0017= 1\nwhen\u0012'35\u000e. In Fig. 2 traces there are numerous strong\nFQHSs at the well-known, \\standard\" \u0017=i=(2i\u00061) \fll-\nings (i>0 is an integer) [3]. In Fig. 1(e) data, however,\nnear\u0017= 1 there are uncharacteristically strong FQHSs\nat the even-numerator \fllings\u0017= 4=3, 6/5, 6/7, and\n4/5. This is similar to what is seen in bilayer 2D elec-\ntron systems (2DESs) with extremely small energy sepa-\nration between the lowest two LLs [18], and implies that\nthese are two-component FQHSs, each component hav-\ning half of the total \flling. In Fig. 1(e) we also observearXiv:1601.07135v1 [cond-mat.mes-hall] 26 Jan 20162\n01\n4 6 82\n0÷50\n3\n1(e) θ ≈ 35º\n2\n3\n2ν = 14\n3\n19 \n15 6\n54\n5\n29 \n35 6\n7\nB (T)5\n3\n8\n5Rxx & Ryy (k Ω)\n10 12 14 16 3\n5\n4\n75\n91\n23\n7\n4\n92\n5\n÷504\nT ≈ 30 mK\nRxy (h/e 2)\n(d) θ ≈ 35°\nB(b)B|| \nRxx Ryy B┴B\nθ\nEnergy \nW = 40 nmν = 1(c) (a)\nB|| \nFIG. 1. (color online) (a) Conceptual plot of an anisotropic (striped) Wigner crystal. (b) The charge distribution (red) and\npotential (black), from calculating the Schroedinger and Poisson's equations self-consistently at B= 0. (c) Experimental\ngeometry:RxxandRyydenote the longitudinal magneto-resistance measured along and perpendicular to the parallel magnetic\n\feld (Bjj), respectively. (d) Schematic diagram, showing the crossing of the lowest two Landau levels at \u0012'35\u000enear\u0017= 1.\n(e) Magneto-resistance traces measured at tilt angle \u0012'35\u000efor a 2DHS with density p= 1:28\u00021011cm\u00002and con\fned to a\n40-nm-wide GaAs QW. Note the factor of 50 change in the scale for RxxandRyyforB> 8 T.\nFQHSs at very unusual \fllings such as \u0017= 19=15 and\n29/35. Such states were seen in Ref. [18] when the lowest\ntwo LLs are nearly degenerate, and were interpreted as\n\\imbalanced\" two-component FQHSs: for example, the\n\u0017= 19=15 FQHS has \fllings 2/3 and 3/5 for its two com-\nponents. In Fig. 2, we also observe strong FQHSs at the\neven-denominator \flling \u0017= 1=2. This FQHS is seen in\n2DESs and 2DHSs con\fned to wide GaAs QWs [19{22].\nIt is likely the \t 331state, a two-component FQHS stabi-\nlized by strong and comparable interlayer and intralayer\ninteractions which are prevalent at \u0017= 1=2 [23].\nNext we focus on the anisotropic IP seen at \u0012'35\u000e.\nFigure 3 captures the insulating behavior of this phase\nnear\u0017= 1=2. BothRxxandRyyincrease as temperature\nis decreased but, as can be best seen in Fig. 3(b), Ryy\nis about 10 times larger than Rxx. Before discussing\nthis anisotropic behavior, it is instructive to \frst brie\ry\nreview the IPs seen in 2D systems at low \fllings.\nIt is well established that in very clean 2D systems of\ncharged carriers, at very small \u0017(\u0017<\u00181=5 for electrons\nand\u0017<\u00181=3 for holes) the FQHSs give way to IPs which\nare believed to be Wigner crystal (WC) phases that are\npinned by the small but ubiquitous disorder [4{7, 24{27].\nFor both 2D electron and hole systems in wide, symmet-\nric GaAs QWs, the charge distribution becomes more\nbilayer-like with increasing density and the IP sets in at\nprogressively larger \u0017[22, 28, 29]. These IPs are believed\nto be bilayer WC states which, thanks to the additional\nlayer/subband degree of freedom, are stabilized at rela-\ntively large \u0017compared to the single-layer systems. For\nexample, in 2DHSs con\fned to a 40-nm-wide QW with\np>\u00181:7\u00021011cm\u00002[22], an IP is observed near \u0017= 1=2.\nAll the IPs described above are isotropic , and were ob-\nserved in the absence of Bjj[30, 31].\nRxy (h/e 2)\n012\n4 8 12 \nB (T)(a) θ = 0º\n(b) θ ≈ 50º2\n1\n0\nRxy (h/e 2)\n02\n1\n8 12 16 B (T)ν = 14\n5\n2\n33\n54\n75\n34\n32\n5\n3\n7\n4\n92\n33\n5\n4\n7\nν = 14\n33\n22\n1\n0Rxx & Ryy (k Ω)\n7\n58\n51\n24\n5\n1\n2\n18 6 10 14 \nT ≈ 30 mKT ≈ 30 mKRxx & Ryy (k Ω)FIG. 2. (color online) Magneto-resistance traces for the sam-\nple of Fig. 1, measured at \u0012= 0\u000eand 50\u000e. BothRxxandRyy\nare much smaller near \u0017= 1=2 than in Fig. 1(e) data.3\nRxx & Ryy (k Ω)\n10 1\n10 8 12 16 \nB (T)14 3/5ν = 1/23/74/92/5\n2/34/7(a) θ ≈ 35º\n10 20 \n1/T (K -1 )(b) \nν = 1/2 \nν = 2/5 ν = 1/2 \nΔ ≈ 0.4 K 10 3\n10 -1 30 mK\n110 mK \n200 mK10 2\n10 0\nFIG. 3. (color online) (a) RxxandRyymeasured at three\ntemperatures in the 40-nm-wide QW near \u0017= 1=2 at\u0012'35\u000e.\n(b)T-dependence of RxxandRyyat\u0017= 1=2. To avoid the\nin\ruence of the relatively sharp \u0017= 1=2 resistance minima\nseen in some of the traces, here we are plotting the background\nresistances by interpolating between the shoulders \ranking\nthe\u0017= 1=2 minima. Also plotted is the T-dependence of the\nRxxminimum for the \u0017= 2=5 FQHS.\nTo discuss the likely origin of the anisotropic IP we\nobserve near \u0017= 1=2, we focus on its key attributes:\n(i) It is a collective state. There are numerous FQHSs\nnear\u0017= 1=2 in Fig. 1(e), e.g., at \u0017= 2/5, 3/7, 4/9, 3/5,\n4/7, 5/9. These correlated states are much weaker than\nthe FQHSs seen at the same \fllings in Fig. 2 traces, but\ntheir mere presence in Fig. 1(e) strongly suggests that\ncorrelations are prevalent near \u0017= 1=2 where the IP\nreigns. Also worth emphasizing is that in Fig. 2 traces\nthere are very strong FQHSs near and even at\u0017= 1=2.\nIt is very unlikely that at the intermediate tilt angle of\nFig. 1(e) interactions would disappear and the ground\nstate become of single-particle origin.\n(ii) It is a two-component state. It is clear that the\nanisotropic IP is observed near a LL crossing, and Fig. 2\ntraces, which were taken far from the LL crossing, do not\nshow insulating behavior near \u0017= 1=2. This implies that\nthe presence of two nearly degenerate LLs plays a crucial\nrole for its stability. Also, theoretical calculations rule\nout any single-component WC near \u0017= 1=2 [32]. The\nanisotropic IP we observe at \u0012'35\u000eis thus likely to\nhave a two-component origin. In Fig. 3(a), the existence\nof minima at \u0017= 2=5, 3/7, 3/5, and their deepening (rel-\native to the insulating background resistance) at lower\ntemperatures, signal a close competition between a reen-\ntrant two-component WC phase and the FQHSs. We add\nthat, whether isotropic or anisotropic, one-component or\ntwo-component, the observation of an IP near the cross-\ning of two LLs is by itself unprecedented.\n(iii) It is not a nematic liquid state. One might naively\nconclude that the anisotropy we report resembles the one\nobserved at higher half-\flled LLs, and believed to signal\nnematic electron phases [9{12, 33]. But this is incorrect,\nas there are two major, qualitative di\u000berences. First,\nRxx & Ryy (k Ω)\n8 10 12 14 16 \nB (T)10 3\n10 1\n10 02/311/15 1/3ν = 1/2W = 50 nm\nθ ≈ 0°37°55°65°\n4 8 10 068\n÷ 50\nB┴ (T)Ryy (k Ω)ν = 1\n5\n34\n3\n19 \n15 2\n3\n2 629 \n35 (a) p = 0.95 x 1011 cm-2 \n3\n73\n5\n4\n22\n5T ≈ 30 mK\n(b) p = 1.12 x 1011 cm-2 \nθ ≈ 35°\n30 mK\n110 mK \n200 mK2/5 3/7\n10 2ν = 1/2\n1FIG. 4. (color online) (a) RyyvsB?traces measured for a\n2DHS con\fned to a 50-nm-wide GaAs QW at density p=\n0:95\u00021011cm\u00002and at di\u000berent \u0012. The 2DHS exhibits an\ninsulating behavior near \u0017= 1=2 at\u0012'37\u000eand at\u0012= 65\u000e,\nbut not at\u0012= 25\u000eor\u0012= 55\u000e. The inset shows the calculated\ncharge distribution and potential at B= 0. (b)RxxandRyy\ntraces are shown at \u0012'35\u000eand at a slighlty larger density\n(p= 1:12\u00021011cm\u00002) for di\u000berent temperatures. Similar to\nthe data of Fig. 3, both RxxRyyexhibit insulating behavior\nand the system is anisotropic near \u0017= 1=2.\nnematic phases are liquid states: while in-plane trans-\nport becomes anisotropic, RxxandRyydo not diverge at\nlow temperatures; instead they remain \fnite and in fact\nthe resistance along the \\easy axis\" direction decreases\nas temperature is lowered and attains extremely small\nvalues [9, 10]. This is very di\u000berent from the insulat-\ningbehavior and the large values we measure for both\nRxxandRyy. Second, in the case of Bjj-induced nematic\nphases, the \\hard axis\" is typically along Bjj[34, 35]. We\nobserve the opposite behavior: the resistance along Bjj\n(Rxx) issmaller than in the perpendicular direction [36].\nBased on the above observations, we associate the IP\nobserved in Fig. 1(e) with a pinned, anisotropic WC. We\nsuggest that the anisotropy originates from the strongly\ndistorted shape of the hole charge distribution induced4\nbyBjj, as schematically depicted in Fig. 1(a). Because\nof the \fnite thickness of the hole layer in our sample, Bjj\ncouples to the out-of-plane (orbital) motion of the carri-\ners, and squeezes the charge distribution in the direction\nperpendicular to Bjj(see Fig. 1(a)). Such distortions\nhave been recently documented for carriers near B?= 0,\nand also for composite fermions at high B?[37{39]. An\nelongated charge distribution can lead to anisotropic in-\nteraction, and provides a natural explanation for the\nanisotropic IP we observe in terms of a pinned, striped\nWC as shown in Fig. 1(a) [40{42]. Moreover, it is consis-\ntent with the experimental observation that the transport\n\\easy axis\" is along Bjj(i.e.,Rxx< R yy), as intuitively\nthe excited quasi-particles (at \fnite temperatures) should\nhave a higher hopping rate in the direction of charge dis-\ntribution elongation.\nData taken on the 50-nm-wide QW (Fig. 4) corrobo-\nrate Figs. 1-3 data and our above conclusions, and reveal\nnew information. In Fig. 4(a) we show Ryytraces at\ndensityp= 0:95\u00021011cm\u00002at di\u000berent angles. Quali-\ntatively similar to the data of Figs. 1 and 2, the traces at\n\u0012= 0\u000eand 55\u000eappear normal and exhibit a very strong\n\u0017= 1 integer QHS and numerous FQHSs at standard\n\fllings as well as at \u0017= 1=2. The\u0012'37\u000etrace, how-\never, shows an IP near \u0017= 1=2. The same trace also\nindicates a weak minimum near \u0017= 1 and other fea-\ntures, e.g. FQHSs at \u0017= 19=15 and 29/35, indicating\nthat the two lowest LLs are near a coincidence. Traces\ntaken at\u0012'35\u000eand slightly higher density, presented\nin Fig. 4(b), reveal that Ryy>>R xxand that both Rxx\nandRyyshow insulating behavior near \u0017= 1=2, similar\nto the 40-nm-wide QW data of Fig. 3.\nThe smaller density in the 50-nm QW sample allows\nus to make measurements at higher tilt angles. As seen\nin the top trace of Fig. 4(a), taken at \u0012'65\u000e, an IP\nreappears at high B?, past\u0017= 3=5. We believe this\nIP signals the onset of the 2DHS splitting into a bilayer\nsystem, similar to what is seen in 2DESs con\fned to wide\nQWs at very large tilt angles [43].\nThe results we report here attest to the extremely rich\nphysics of 2DHSs con\fned to wide GaAs QWs. In these\nsystems one can cause a crossing of the lowest two LLs\nby either changing the density [44] or titling the sample\nin magnetic \feld [16, 17, 44]. Depending on the sample\nparameters, the crossing can destroy the ordinary QHSs,\nboth at integer and fractional \fllings, and bring to life\nunusual phases such as a FQHS at \u0017= 1=2 [44] or, as\nwe have shown here, an anisotropic IP signaling a two-\ncomponent, striped Wigner crystal.\nWe acknowledge support by the DOE BES (DE-FG02-\n00-ER45841) grant for measurements, and the NSF\n(Grants DMR-1305691 and MRSEC DMR-1420541),\nthe Gordon and Betty Moore Foundation (Grant\nGBMF4420), and Keck Foundation for sample fabrica-\ntion and characterization. We thank R.N. Bhatt, E.\nFradkin, J.K. Jain, and S.A. Kivelson for illuminatingdiscussions, and R. Winkler for providing the charge dis-\ntribution and potential calculations shown in Figs. 1(b)\nand 4(a). A portion of this work was performed at the\nNHMFL, which is supported by the NSF Cooperative\nAgreement No. DMR-1157490, the State of Florida, and\nthe DOE. We thank S. Hannahs, G. E. Jones, T. P. Mur-\nphy, E. Palm, A. Suslov, and J. H. Park for technical\nassistance.\n[1] D. C. Tsui, H. L. Stormer, and A. C. Gossard, Phys.\nRev. Lett. 48, 1559 (1982).\n[2] M. Shayegan, in High Magnetic Fields: Science and Tech-\nnology , Vol. 3, edited by F. Herlach and N. Miura (World\nScienti\fc, Singapore, 2006) pp. 31{60.\n[3] J. K. Jain, Composite Fermions (Cambridge University\nPress, Cambridge, UK, 2007).\n[4] E. Y. Andrei, G. Deville, D. C. Glattli, F. I. B. Williams,\nE. Paris, and B. Etienne, Phys. Rev. Lett. 60, 2765\n(1988).\n[5] H. W. Jiang, R. L. Willett, H. L. Stormer, D. C. Tsui,\nL. N. Pfei\u000ber, and K. W. West, Phys. Rev. Lett. 65, 633\n(1990).\n[6] V. J. Goldman, M. Santos, M. Shayegan, and J. E. Cun-\nningham, Phys. Rev. Lett. 65, 2189 (1990).\n[7] M. Shayegan, in Perspectives in Quantum Hall E\u000bects ,\nedited by S. D. Sarma and A. Pinczuk (Wiley, New York,\n1998) pp. 343{383.\n[8] Y. Liu, H. Deng, M. Shayegan, L. N. Pfei\u000ber, K. W.\nWest, and K. W. Baldwin, arXiv:1410.3435 (2014).\n[9] M. P. Lilly, K. B. Cooper, J. P. Eisenstein, L. N. Pfei\u000ber,\nand K. W. West, Phys. Rev. Lett. 82, 394 (1999).\n[10] R. R. Du, D. C. Tsui, H. L. Stormer, L. N. Pfei\u000ber, K. W.\nBaldwin, and K. W. West, Solid State Communications\n109, 389 (1999).\n[11] M. Shayegan, H. C. Manoharan, S. J. Papadakis, and\nE. P. D. Poortere, Physica E: Low-dimensional Systems\nand Nanostructures 6, 40 (2000).\n[12] E. Fradkin, S. A. Kivelson, M. J. Lawler, J. P. Eisenstein,\nand A. P. Mackenzie, Annu. Rev. Condens. Matter Phys.\n1, 153 (2010).\n[13] In Fig. 1(e), the anomalous drop in Rxyfor 8< B < 9\nT is an artifact due to the mixing of the longitudinal\nresistances which attain very high values [14, 15].\n[14] V. J. Goldman, J. K. Wang, B. Su, and M. Shayegan,\nPhys. Rev. Lett. 70, 647 (1993).\n[15] T. Sajoto, Y. P. Li, L. W. Engel, D. C. Tsui, and\nM. Shayegan, Phys. Rev. Lett. 70, 2321 (1993).\n[16] A. L. Graninger, D. Kamburov, M. Shayegan, L. N. Pfeif-\nfer, K. W. West, K. W. Baldwin, and R. Winkler, Phys.\nRev. Lett. 107, 176810 (2011).\n[17] Y. Liu, S. Hasdemir, M. Shayegan, L. N. Pfei\u000ber, K. W.\nWest, and K. W. Baldwin, Phys. Rev. B 92, 195156\n(2015).\n[18] H. C. Manoharan, Y. W. Suen, T. S. Lay, M. B. Santos,\nand M. Shayegan, Phys. Rev. Lett. 79, 2722 (1997).\n[19] Y. W. Suen, L. W. Engel, M. B. Santos, M. Shayegan,\nand D. C. Tsui, Phys. Rev. Lett. 68, 1379 (1992).\n[20] Y. W. Suen, H. C. Manoharan, X. Ying, M. B. Santos,\nand M. Shayegan, Phys. Rev. Lett. 72, 3405 (1994).5\n[21] J. Shabani, Y. Liu, M. Shayegan, L. N. Pfei\u000ber, K. W.\nWest, and K. W. Baldwin, Phys. Rev. B 88, 245413\n(2013).\n[22] Y. Liu, A. L. Graninger, S. Hasdemir, M. Shayegan, L. N.\nPfei\u000ber, K. W. West, K. W. Baldwin, and R. Winkler,\nPhys. Rev. Lett. 112, 046804 (2014).\n[23] In Fig. 2(a) we also see a developing FQHS at \u0017= 3=2,\nwhich can be interpreted as the particle-hole counterpart\nof the\u0017= 1=2 FQHS. Also, the weak QHS at \u0017= 1 in\nFig. 1(e) is likely the two-component \t 111state [17].\n[24] Y. Lozovik and V. Yudson, JETP Lett. 22, 11 (1975).\n[25] M. B. Santos, Y. W. Suen, M. Shayegan, Y. P. Li, L. W.\nEngel, and D. C. Tsui, Phys. Rev. Lett. 68, 1188 (1992).\n[26] M. B. Santos, J. Jo, Y. W. Suen, L. W. Engel, and\nM. Shayegan, Phys. Rev. B 46, 13639 (1992).\n[27] C.-C. Li, L. W. Engel, D. Shahar, D. C. Tsui, and\nM. Shayegan, Phys. Rev. Lett. 79, 1353 (1997).\n[28] H. C. Manoharan, Y. W. Suen, M. B. Santos, and\nM. Shayegan, Phys. Rev. Lett. 77, 1813 (1996).\n[29] A. T. Hatke, Y. Liu, L. W. Engel, M. Shayegan, L. N.\nPfei\u000ber, K. W. West, and K. W. Baldwin, Nature Com-\nmunications 6, 7071 (2015).\n[30] Termination of the FQHSs by IPs at low \fllings has also\nbeen reported in \fxed-density 2DESs con\fned to wide\nGaAs QWs when a large Bjjis applied [31]. In this case,\nBjjcouples to the orbital (out-of-plane) motion of elec-\ntrons and renders the system progressively more bilayer-\nlike with increasing Bjj[31].\n[31] S. Hasdemir, Y. Liu, H. Deng, M. Shayegan, L. N. Pfeif-\nfer, K. W. West, K. W. Baldwin, and R. Winkler, Phys.\nRev. B 91, 045113 (2015).\n[32] A. C. Archer, K. Park, and J. K. Jain, Phys. Rev. Lett.\n111, 146804 (2013).\n[33] E. Fradkin and S. A. Kivelson, Phys. Rev. B 59, 8065(1999).\n[34] W. Pan, J.-S. Xia, V. Shvarts, D. E. Adams, H. L.\nStormer, D. C. Tsui, L. N. Pfei\u000ber, K. W. Baldwin, and\nK. W. West, Phys. Rev. Lett. 83, 3530 (1999).\n[35] M. P. Lilly, K. B. Cooper, J. P. Eisenstein, L. N. Pfei\u000ber,\nand K. W. West, Phys. Rev. Lett. 83, 824 (1999).\n[36] Some anisotropy is also seen in Fig. 2 traces. The rather\nsmall (less than a factor of two) anisotropy observed in\nFig. 2(a) (\u0012= 0\u000e) near\u0017= 1=2 possibly comes from\nthe sample's van der Pauw geometry and the contacts'\nmisalignment. The anisotropy becomes larger, about a\nfactor of four, in Fig. 2(b) ( \u0012= 50\u000e). The origin of this in-\ncreased anisotropy is likely the deformation (elongation)\nof the hole charge distribution along Bjj(Fig. 1(a)), as\nwe discuss later in the manuscript.\n[37] D. Kamburov, M. Shayegan, R. Winkler, L. N. Pfei\u000ber,\nK. W. West, and K. W. Baldwin, Phys. Rev. B 86,\n241302 (2012).\n[38] D. Kamburov, Y. Liu, M. Shayegan, L. N. Pfei\u000ber, K. W.\nWest, and K. W. Baldwin, Phys. Rev. Lett. 110, 206801\n(2013).\n[39] D. Kamburov, M. A. Mueed, M. Shayegan, L. N. Pfei\u000ber,\nK. W. West, K. W. Baldwin, J. J. D. Lee, and R. Win-\nkler, Phys. Rev. B 89, 085304 (2014).\n[40] An \\insulating stripe-crystal\" phase has indeed been dis-\ncussed theoretically for an interacting 2D system in the\nexcited (N= 2) LL [33].\n[41] The role of e\u000bective mass anisotropy for 2D WC states\nhas also been discussed in Ref. [42].\n[42] X. Wan and R. N. Bhatt, Phys. Rev. B 65, 233209 (2002).\n[43] See, e.g., the \u0012= 40\u000etrace in Fig. 1 of Ref. [31].\n[44] Y. Liu, S. Hasdemir, D. Kamburov, A. L. Graninger,\nM. Shayegan, L. N. Pfei\u000ber, K. W. West, K. W. Baldwin,\nand R. Winkler, Phys. Rev. B 89, 165313 (2014)." }, { "title": "1911.02340v2.Low_frequency_imaginary_impedance_at_the_superconducting_transition_of_2H_NbSe__2_.pdf", "content": "Low frequency imaginary impedance at the superconducting transition of 2H-NbSe 2\nDavid Perconte,1Samuel Ma~ nas-Valero,2Eugenio Coronado,2Isabel Guillam\u0013 on,1, 3and Hermann Suderow1, 3\n1Laboratorio de Bajas Temperaturas y Altos Campos Magn\u0013 eticos,\nDepartamento de F\u0013 \u0010sica de la Materia Condensada,\nInstituto Nicol\u0013 as Cabrera and Condensed Matter Physics Center (IFIMAC),\nUniversidad Aut\u0013 onoma de Madrid, E-28049 Madrid, Spain\n2Instituto de Ciencia Molecular (ICMol), Universidad de Valencia,\nCatedr\u0013 atico Jos\u0013 e Beltr\u0013 an 2, 46980 Paterna, Spain\n3Unidad Asociada de Bajas Temperaturas y Altos Campos Magn\u0013 eticos,\nUAM, CSIC, Cantoblanco, E-28049 Madrid, Spain\nThe superconducting transition leads to a sharp resistance drop in a temperature interval that\ncan be a small fraction of the critical temperature T c. A superconductor exactly at T cis thus very\nsensitive to all kinds of thermal perturbations, including the heat dissipated by the measurement\ncurrent. We show that the interaction between electrical and thermal currents leads to a sizeable\nimaginary impedance at frequencies of order of tens of Hz at the resistive transition of single crystals\nof the layered material 2H-NbSe 2. We explain the result using models developed for transition edge\nsensors. By measuring under magnetic \felds and at high currents, we \fnd that the imaginary\nimpedance is strongly in\ruenced by the heat associated with vortex motion and out-of-equilibrium\nquasiparticles.\nINTRODUCTION\nSince the discovery of superconductivity by H.\nKamerling-Onnes over a century ago, the resistive tran-\nsition continues to fascinate researchers, in spite of be-\ning now a routine measurement in many laboratories all\nover the world. The transition is often very sharp, which\nallows to build extremely sensitive thermometers from\nsuperconductors stabilized at T c. These are called tran-\nsition edge sensors (TES) and are used in X-ray and \r-ray\ndetection[1{3].\nHowever, this implies that heat dissipation has to be\nconsidered very carefully at the transition. There is usu-\nally no imaginary component in the impedance of a su-\nperconductor at low frequencies. But an AC signal in-\nevitably produces a time varying temperature in the su-\nperconductor when its resistance is \fnite close to T c.\nThis leads to an imaginary component in the impedance\nwhich depends on thermal circuit describing the con-\nnection of the superconductor with its environment[1].\nWhile this has been known since long and is routinely\nused to characterize TES[1, 4{6], it has not been re-\nmarked nor used (to our knowledge) in studies of the\nresistive transition in superconducting compounds[7, 8].\nTechniques to study the resistive transition in a su-\nperconductor are numerous, but are mostly restricted to\nelectrical measurements[7, 8]. There are however rele-\nvant open questions, which require an additional tool\nproviding access to thermal properties. Close to the tran-\nsition, Cooper pairs coexist with normal quasiparticles\nin an out-of-equilibrium quantum liquid whose thermal\nbehavior is still largely unknown[9{12]. Usual speci\fc\nheat measurements are made with zero applied current\nthrough the sample and an external heater and ther-\nmometer. When applying a current through the sampleclose to T c, the sample dissipates heat itself and it can be\nquite di\u000ecult to measure the temperature by an external\nthermometer. But at the resistive transition, the sample\nis itself heater and thermometer. We hereafter show that\nimpedance measurements can be used as a thermal probe\nof the superconducting transition.\nWe make detailed real and imaginary impedance mea-\nsurements of a 2H-NbSe 2single crystal. We study a large\nimaginary component in the impedance at the transition\nand measure the temperature dependence of the imagi-\nnary component as a function of frequency, magnetic \feld\nand applied current. We take expressions for heat and\ncurrent \row developed for TES and use these successfully\nto reproduce our result. We show that He exchange gas\nmodi\fes the thermal connection and characterize it using\nthe imaginary component. We also obtain the tempera-\nture dependence of the speci\fc heat close to the transi-\ntion. For small applied currents, we \fnd a result com-\npatible with macroscopic speci\fc heat experiments, with\na peak at T cof order of the electronic contribution to\nthe speci\fc heat. Under magnetic \felds and with large\ncurrents, we \fnd an increased peak, suggesting that vor-\ntex motion and out-of-equilibrium quasiparticles in\ru-\nence the heat balance.\nEXPERIMENT AND METHODS\n2H-NbSe 2crystals were grown by iodine vapor trans-\nport, the crystals display the usual 2H-NbSe 2properties:\na feature at the charge density wave transition in the re-\nsistivity, a T cof about 7.2 K and a residual resistance\nratio above 30, which gives an electronic mean free path\nabove 100 nm (well above the superconducting coherence\nlength of\u001910 nm)[13]. We thinned down a 2H-NbSe 2\nsingle crystal by exfoliation to about 14 \u0016m thickness andarXiv:1911.02340v2 [cond-mat.supr-con] 6 Apr 20202\n(a) (b)\nFIG. 1. We show in (a) the electrical scheme of our setup. A\ncurrent source (I source ) is connected to the 2H-NbSe 2sample\nwith impedance Zthrough wiring which has a \fnite resistance\nRcircand an inductance Lcirc.I(t) follows eqn.1 in the text.\nIn (b) we show the thermal scheme. An electrical power Pelec\nis introduced as an electrical current in the 2H-NbSe 2sample\n(box). This produces heat that \rows to the thermal bath\n(Pth) through the thermal connection G.T(t) follows eqn.2\nand is connected to I(t) throughPelec=RI(t)2.\nglued the sample onto a Copper sample holder. The sam-\nple was 1.6 mm long and 0.8 mm wide and we used a thin\nlayer of Kapton to separate it electrically from the sam-\nple holder. We used carefully thermalized twisted pair\nwires on a pumped helium bath cryostat equipped with\na superconducting coil and a temperature controller. We\nmeasured the impedance using a lock-in ampli\fer and\ncarefully monitored the phase shift and its temperature\ndependence. The highest applied currents correspond to\na current density of about 2 \u0002106A=m2, which is \fve\norders of magnitude below the depairing current density\nJd\u00191011A=m2[14]. The power used is of about 50 \u0016W\nat the largest currents.\nWe describe schematically our electrical and thermal\ncircuits in Fig 1. The current \rows through the resistance\nand the wires (with resistance Rcirand inductance Lcir,\nFig 1(a)). The inductance Lciris of the order of a few\n\u0016H andRciris much smaller than the samples' resistance.\nThe voltage induced by the oscillatory current is given by\nLdI\ndt(Lis the sum of the inductance of the wiring and\nof the kinetic inductance LKof the sample). This equals\nthe voltage drop at the sample, ZIplus the voltage drop\nat the resistances of the circuit[1{3, 15, 16]:\n\u0000LdI\ndt= (RSource +Rcir)I+ZI; (1)\nA time dependent current in the sample produces a\ntime dependent temperature in the sample too. The\nJoule power Pelec=RI2released in the sample, minus\nthe power leaking through the thermal link to the bath,\nPth(Fig. 1(b)), is equal to the power that the sample ab-\nsorbs, which is the heat capacity Cof the sample times\ndT\ndt[1{3, 15, 16]:\nCdT\ndt=RI2\u0000Pth; (2)We can introduce the parameters \u000b=T\nR@R\n@Tand\f=\nI\nR@R\n@I, which are the logarithmic derivatives of R=Re(Z)\nwith temperature and current [1{3, 15, 16], and perform\na local linearization to write the impedance versus fre-\nquencyZ(!):\nZ(!) =i!L+Rcir+R(1 +\f)+\n2 +\f\n1 +i!CT\nGT\u0000I2R\u000bR2I2\u000b=(GT)\n1\u0000I2R\u000b\nGT:(3)\nThe reactance is the imaginary part of Z,Im(Z):\nIm(Z)(!) =!L\u0000!CT(2 +\f)\n1 +\f\f\f!CT\nGT\u0000I2R\u000b\f\f\f2R2I2\u000b=(GT)2\n\u0000\n1\u0000I2R\u000b\nGT\u00012:\n(4)\nThe parameters T,R,Iand\u000bare measured, whereas\n\f,GandCare determined by comparing the measured\nIm(Z)(!) to this expression. The reactance has a max-\nimum at a frequency ofGT\u0000I2R\u000b\nCT=G\nC\u0000I2dR\nCdT, which is\nthe di\u000berence between the inverse of the thermal time\nconstant of the systemG\nCand the ratio between the dif-\nferential Joule power Pelec=I2dRand the di\u000berential\npower admitted by the sample CdT.\nRESULTS AND DISCUSSION\nIn Fig. 2(a,b) we show the real and imaginary\nimpedance. At zero magnetic \feld (blue curve) we ob-\nserve a really sharp transition in the real impedance. We\nobserve, at the same time, a strong and sharp peak in\nthe imaginary impedance. The magnetic \feld reduces\nthe superconducting critical temperature and broadens\nthe resitive transition (Fig. 2(a)). The decay of the real\nimpedance with temperature is exponential for low values\nof the impedance. The imaginary impedance decreases\nand is broader than at zero \feld.\nIn Fig. 2(d,e) we show the e\u000bect of increasing the cur-\nrent at zero \feld. We observe that the transition in the\nreal impedance broadens. The peak in the imaginary\nimpedance, however, strongly increases in size, reaching\napproximately 30% of the value of the real impedance.\nThe temperature range with a high real impedance re-\nsults in a broad peak in the imaginary impedance. The\nimaginary impedance vanishes exponentially at low tem-\nperatures, following the real impedance.\nIn Fig. 2(g,h), we show the e\u000bect of modifying the fre-\nquency at a \fxed current and for zero magnetic \feld.\nThe real impedance remains una\u000bected, but the imag-\ninary impedance \frst increases up to about 70 Hz and\nthen decreases when approaching 1 kHz. Thus, there is a\nfrequency range, of the order of a few tens of Hz, where\nthe imaginary impedance is largest. In the Appendix A3\n(g) (i) (h)(a) (c) (b)\n(d) (f) (e)Sim.\nSim.Sim.\nB = 0 Oe \nI = 20 mAI = 20 mA\nf = 70 Hz\nB = 0 Oe \nf = 70 Hz\nFIG. 2. In (a) we show the real impedance vs temperature for di\u000berent magnetic \felds (lines from blue to red: 0 Oe, 152\nOe, 304 Oe, 759 Oe, 1519 Oe, 2278 Oe, and 3038 Oe). In (b) we show the imaginary impedance vs temperature for the same\nmagnetic \felds. Data in (a,b) are taken at 20 mA and a frequency of 70 Hz. In (d) we show the real impedance for di\u000berent\nvalues of the current (from blue to red: 5 mA, 10 mA, 15 mA, 20 mA, 25 mA, 30 mA, 40 mA and 50 mA). In (e) we show\nthe imaginary impedance vs temperature for the same current values. Data in (d,e) are taken at zero magnetic \feld and a\nfrequency of 70 Hz. In (g) we show the real impedance vs temperature for di\u000berent frequencies (from blue to red: 7 Hz, 32\nHz, 64 Hz, 89 Hz, 289 Hz, 689 Hz, 989 Hz). In (h) we show the imaginary impedance for the same frequencies. Data in (g,h)\nare taken at zero magnetic \feld and a current of 20 mA. In (c,f,i) we show the imaginary impedance using Eqn.4 and the\napproximations described in the text. For clarity, we show the color scale in each set of \fgures as bars on the right.\nwe provide the imaginary part of the impedance at a \fxed\npoint at the transition as a function of frequency.\nLet us start by discussing the usual electrodynamic\nfrequency response of superconductors. The kinetic in-\nductanceLKprovides a \fnite reactance in the supercon-\nducting phase[17]. We can estimate the kinetic induc-\ntanceLKusingLK= (4\u0019\u00152)=d= 3:6\u000210\u00008H with\n\u0015= 200 nm the penetration depth of 2H-NbSe 2[18] and\ndthe thickness of the sample. This provides a contri-\nbution to the reactance three orders of magnitude below\nour observations of 3 :6\u000210\u00003m\n at 100 Hz. Further-\nmore, the maximum of the kinetic impedance occurs at\nfrequencies in the GHz range, whereas we work here at\nfrequencies well below a kHz and the maximum in the\nreactance occurs at merely 70 Hz (Fig. 2(h,i)). Thus, the\nkinetic inductance does not explain the observed behav-\nior.\nWe can also calculate the thermal di\u000busion length scaleLthermal =\u0014\nC\u001c\u001a(where\u0014is the samples' thermal conduc-\ntivity,\u001cthe time scale for the variations in the current\nand\u001athe density) and see that it is much larger than\nthe sample size (about 3 mm at 70 Hz and a cm at 1\nHz). Thus, there are no temperature induced gradients\ninduced within the sample by the applied current.\nWe now consider the coupling between electronic and\nheat transport, using the model described previously and\nthe Eqn.4. We start with a temperature independent\nheat capacity of C\u00191:7\u000210\u00007J/K, a temperature\nindependent thermal conductance of G\u00191:5\u000210\u00005\nJ/K, we assume a temperature independent parameter\n\f\u00191:5. In most of the temperature and current range\nwe are considering, the I-V characteristics of NbSe 2is\nin the vortex liquid or \rux-\row regimes, which leads to\na smooth, non-exponential behavior. Furthermore, we\nstart by taking fordRe (Z)\ndTvs temperature a Gaussian\nform centered at the midpoint of the transition. We re-4\n(a)\n(b) (c)\nFIG. 3. (a) We show the thermal conductance vs exchange\ngas pressure curve deduced from Eqn.4. In inset (b) we show\nthe imaginary impedance as a function at frequency at about\n7.2K. The exchange gas pressure goes from 0.01 mbar to 5\nmbar. The lines color in (b) and (c) correspond to the values\nshown in the insets (a). In inset (c) we show the result of the\ncalculation described in the text that uses Eqn.4.\nplace R in Eqn.4 with the measured impedance Re(Z).\nWe can then calculate the reactance using Eqn.4. We\nobtain the results shown in Fig. 2(c,f,i). The order of\nmagnitude of the imaginary impedance and of its tem-\nperature dependence is similar than the ones observed in\nthe experiment Fig. 2(b,e,h).\nWe thus see that the broadening of the transition ob-\ntained as a function of the magnetic \feld in the real\nimpedance Re(Z) (Fig. 2(a)) results in a strong decrease\nof the imaginary impedance Im(Z) (Fig. 2(b)) at the\ntransition. On the other hand, the increase of the cur-\nrent I, with the concomitant broadening of the transi-\ntion in the real impedance Re(Z), produces the opposite\nin the imaginary impedance Im(Z).Im(Z) (Fig. 2(e))\nstrongly increases with current. Finally, as a function of\nfrequency, we \fnd that the imaginary impedance Im(Z)\nshows a peak at about 70 Hz and that it vanishes for low\nand high frequencies, as also discussed in more detail in\nAppendix A. Thus, the order of magnitude of the e\u000bect\nis very well captured by the thermal model, in spite of\nthe approximations used.\nLet us now discuss the dependence as a function of the\nexchange gas pressure, shown in Fig. 3. Usually, exchangegas improves the coupling of the sample to its thermal\nenvironment. It thus primarily increases the thermal\nconductivity G. Interestingly, the imaginary component\nIm(Z)(!) provides a rather accurate account of the ex-\nchange gas present in the experiment. To see this, we\nhave measured Im(Z)(!) as a function of the frequency\nfor di\u000berent exchange gas residual pressures. The fre-\nquency dependence for vanishing exchange gas pressure\nfollows the one observed in Fig. 2(g,h) and discussed in\nAppendix A. We use our model to obtain Gfor each ex-\nchange gas pressure.\nFig. 3(a) displays the obtained thermal conductance G\nvs the He exchange gas pressure. In Fig. 3(b) we show\nIm(Z)(!) for di\u000berent exchange gas pressures. We ob-\nserve thatIm(Z)(!) strongly decreases with increasing\nexchange gas pressure. It is thus a good measurement\nof the residual exchange gas present in the experiment.\nThe frequency dependence Im(Z)(!) calculated using\nour model, changing the value of G, is shown in Fig. 3(c).\nWe see that the calculations provide a good account of\nthe observed overall decrease in Im(Z)(!). There are\nsome aspects, like the dependence of the position of the\nmaximum with frequency, which are not precisely cap-\ntured by the model. Nevertheless, it is quite remarkable\nthat the values of Gas well as its dependence on the\nresidual exchange gas pressure are in agreement with di-\nrect measurements of the thermal conductance of He ex-\nchange gas[19]. Thus, the improved heat transport with\nthe thermal bath through convection by the exchange gas\nclearly leads to a thermal behavior of the sample which\nis less in\ruenced by small oscillations in temperature.\nWe also see that there are slight di\u000berences between\nthe calculated (Fig. 2(c,f,i)) and measured (Fig. 2(b,e,h))\nimaginary impedances as a function of the magnetic \feld\nand current, for vanishing residual exchange gas. Assum-\ning that these di\u000berences are just due to the temperature\nvariation of Cin Eqn.4, we calculate Cas a function of\ntemperature. For this, we use the temperature depen-\ndence ofRe(Z) obtained from the experiment to numeri-\ncally calculatedRe (Z)\ndT. We compute Im(Z) starting with\nan uniform Ansatz curve for C(T) and vary it numeri-\ncally until we obtain the measured temperature depen-\ndence ofIm(Z). In Fig. 4 we show the result for a three\ncharacteristic situations.\nIt is useful to discuss the obtained C(T) together with\nthe temperature dependence of the resistance and of its\nderivative. At zero magnetic \feld and with a small cur-\nrent (Fig. 4(a)), we observe that the resistance drops con-\ntinuously with decreasing temperature. ButdRe (Z)\ndTdoes\nnot increase smoothly until it diverges at the transition,\nit shows a peak at approximately 7.15 K. This leads to a\nsmall peak in C(T). The value we \fnd for Cis compa-\nrable to the estimated heat capacity of our sample. Its\ntemperature dependence is similar as the one observed in\nthe heat capacity of 2H-NbSe 2using macroscopic mea-5\nsurements. The heat capacity of the sample increases\nby the same amount in the temperature range shown in\nFig. 4(a) [20, 21]. The peak in Ccan be related to the\npeak inCat the superconducting transition and is of\nroughly the same order.\nWhen applying a magnetic \feld, the temperature\nrange with a \fnitedRe (Z)\ndTinside the superconducting\nphase becomes considerably larger (Fig. 4(b)). The peak\ninCalso becomes larger.\nWhen applying a current at zero magnetic \feld,\n(Fig. 4(c)), the peak in Cbecomes even larger and there\nis a small but \fnite Cover a substantial temperature\nrange.\nThis temperature independent Cwell within the super-\nconducting phase in presence of a large current (at low\ntemperatures in Fig. 4(c)) is quite remarkable. It corre-\nsponds roughly to the temperature range where Im(Z)\nshows a broad maximum. Thus, there is a mechanism\nfor heat production that develops in presence of large\ncurrents well within the superconducting phase. This is\nrelated to vortex motion and the associated generation\nof quasiparticles.\nFirst we should realize that in Fig. 4(c) the temper-\nature is close to T cand the current above the critical\ncurrent for the onset of vortex motion. In this range, the\ntransition is very broad and parameters such as \u000band\f\nhave a small and smooth temperature dependence. When\napplying a current, vortices enter the sample. Vortices\nare pinned at defects and are mobile in between pinning\ncenters in presence of a current[22]. In this temperature\nrange, and in presence of such large currents, vortices are\nmobile. During vortex motion, the Lorentz force is com-\npensated by a drag force which is dissipative[9, 23{27].\nMoving vortex cores requires transforming normal quasi-\nparticles into Cooper pairs and produces out of equilib-\nrium quasiparticles along their path[28]. At large driv-\ning currents, vortices move at very high velocities, even\nhigher than the speed of sound[29{31]. They can be un-\nstable at high driving velocities, leading to additional\nquasiparticles[29, 32{36]. The observed increase in the\nimaginary impedance under magnetic \felds shows the\ncontribution from \ructuating vortices to the supercon-\nducting transition.\nThe value of Cwe \fnd is of order of the electronic con-\ntribution to the speci\fc heat at zero current. Thus, the\namount of excitations created by the current remains ap-\nproximately constant in the temperature range when the\nreal component of the impedance is \fnite, in spite of a\nstrongly decreasing real impedance. The real impedance\nmeasures the voltag induced by current \row, which re-\nsults from vortex motion between pinning centers. The\nimaginary impedance measures instead the heat created\nin this process.\n10 mA\n2300 Oe\n40 mA\n0 Oe10 mA\n0 Oe(a)\n(b)\n(c)FIG. 4. In the top panels of each \fgure we show the tem-\nperature dependence of the resistance (left y-axis, red color)\nand ofdR\ndT(right y-axis, blue color). In the bottom panel we\nshow the value of Cobtained as discussed in the text (black\nline). Notice that the temperature range shown in each \fgure\ncorresponds to the range where the reactance is \fnite. In (a)\nwe show results obtained at 10 mA and zero magnetic \feld\n(blue curve in Fig.2(a,b)), in (b) at 10 mA and 2300 Oe (or-\nange curve in Fig.2(a,b)) and in (c) results at 40 mA and zero\nmagnetic \feld (orange curve in in Fig.2(d,e)). Green shaded\ntemperature range corresponds to the temperature region cor-\nresponds to the temperature region where at least part of the\nsample is superconducting and rosa shading to the normal\nregion dominated by \ructuation and dissipation.6\nCONCLUSION AND OUTLOOK\nIn conclusion, we observe a strong mutual in\ruence\nbetween heat and electronic transport in the supercon-\nducting transition of 2H-NbSe 2. The frequency for the\nappearance of the coupling is in the tens of Hz regime.\nWe use a model which traces back the behavior of the re-\nactance from the resistance, taking into account heating\ne\u000bects.\nOur results suggest that both real and imaginary\nimpedance measurements are fundamental to determine\nthe e\u000bect of temperature on a sharp superconducting\ntransition. As we discuss in more detail in Appendix B,\nthe measurement of the imaginary impedance should be\nvery useful to characterize superconducting transitions,\nbecause it highlights overheating e\u000bects or the presence\nof residual exchange gas. More than that, as we show\nwith the data as a function of current, it also provides\nprecise information for the heat capacity of the sample.\nFor example, a fundamental aspect in two-dimensional\nsystems is the presence of out of equilibrium dissipation\nand coherence at the same time, as a consequence of\na continous, Kosterlitz-Thouless type of transition into\nthe normal state[12]. Very recent measurements suggest\nthermally driven vortex blockade in ultra thin devices\nof 2H-NbSe 2[37]. Measurements of the critical current\nin 2H-NbSe 2contacted with graphene show strongly re-\nduced values with respect to a metallic electrode, suggest-\ning that electron \row in graphene generates heat that is\ntransferred to 2H-NbSe 2[38]. These measurements con-\nsider only the real impedance, which just shows electronic\ntransport. The imaginary impedance should be much\nlarger in thin \flms in the limit of small currents than we\nobserve here and can serve as a new method to charac-\nterize the thermal behavior in these and similar systems.\nOur results also show the highly non-linear e\u000bect of\nthe exchange gas (Fig. 3). The measured dependence of\nthe thermal conductance Gdue to mass \row (convection)\nof gas in vacuum as a function of the pressure shows a\nsimilar increase as we observe here[39, 40]. The order of\nmagnitude of Gcorresponds to a distance of the order of\na cm, which is comparable to the size of our set-up. Re-\ncent measurements of the dissipation in quantum systems\nhave been made by connecting the temperature sensor to\nthe sample through exchange gas[41, 42]. The measure-\nment of real and imaginary components of the resistive\nsuperconducting transition can be used to independently\ncharacterize this link.\nOne might expect at \frst sight that thermal e\u000bects are\njust a consequence of having a sharp transition. Our work\nshows that the broadening of the transition by the appli-\ncation of current does not lead to a vanishing imaginary\ncomponent. We reveal a strong increase of the imaginary\nimpedance when applying large currents. This increase\nis due to an additional contribution to the speci\fc heatfrom the quasiparticles generated during vortex motion.\nACKNOWLEDGMENTS\nThis work was supported by the Spanish Research\nState Agency (FIS2017-84330-R, MDM-2014-0377,\nMAT2017-89993-R and MDM-2015-0538), by the Comu-\nnidad de Madrid through program NANOFRONTMAG-\nCM (S2013/MIT-2850), by the European Research\nCouncil PNICTEYES grant agreement 679080 and by\nEU program Cost CA16218 (Nanocohybri). We also\nacknowledge SEGAINVEX at UAM. We thank R.\n\u0013Alvarez Montoya for technical support, A. Garc\u0013 \u0010a and\nD. Caldevilla for support at the beginning of the project.\nWe also thank enlightening discussions with Andrey\nVarlamov, A.I. Buzdin and J.C. Cuevas.\nAPPENDIX A: IMAGINARY IMPEDANCE VS\nFREQUENCY\nWe present here measurement of the impedance at a\n\fxed temperature while varying the frequency. To ob-\ntain the green line in Fig. 5 we use C= 4:09\u000210\u00008\nJ/K,G= 1:5\u000210\u00005W/K,\u000b= 65,\f= 15 which are\nthe values obtained by \ftting the imaginary part of the\nimpedance with Eqn.4. The heat capacity Cof our sam-\nple can be estimated through the sample size (1.6 mm \u0002\n0.8 mm\u000214\u0016m) and the molar heat capacity of 2H-\nNbSe 2400 mJ/(mol K) [20], we obtain C\u00191:7\u000210\u00007\nJ/K. With the value of Gused we can estimate the dT\nproduced by the Joule power in the sample and obtain\nabout 20 mK. Using \u000b, we can again estimate dTand\nobtain approximately the same value. The obtained \u000b\nand\fare compatible with usual values at the transi-\ntion in 2H-NbSe 2. The agreement between calculations\nand experiment (Fig. 5) and the values obtained for the\ndi\u000berent parameters show that the linearized equations\naccount well for the behavior obtained in a large part of\nthe superconducting transition.\nAPPENDIX B: COMMENTS ON THE\nMEASUREMENT OF THE RESISTIVE\nTRANSITION\nOur result implies that the resistive transition cannot\nbe understood solely on the basis of measurements of the\nreal impedance. The resistive transition of superconduc-\ntors has been studied in depth in the limit of vanishing\ncurrent, or when heat dissipation in the sample can be\nneglected[7, 8, 43, 44]. The discussion has focused on the\nin\ruence of \ructuations on the conductivity. When ap-\nproaching the transition from higher temperatures, \ruc-\ntuations modify the conductance gradually from the nor-7\n0 100 200 300 400\n/uni00000029/uni00000055/uni00000048/uni00000054/uni00000058/uni00000048/uni00000051/uni00000046/uni0000005c/uni00000003/uni0000000b/uni0000002b/uni0000005d/uni0000000c0123/uni0000002c/uni00000050/uni0000000b/uni0000003d/uni0000000c(m)\nFIG. 5. We show (blue points) the reactance vs frequency,\nthe \fts to the model described in the text is shown in green.\nThe measurement temperature is 7.2 K and the exchange gas\nresidual pressure is below 0.01 mbar.\nmal state value until it diverges at some point. The tem-\nperature range for in\ruence of \ructuations in thermody-\nnamic properties is approximately given byT\u0000Tc\nTc> Gi,\nwhich in 2H-NbSe 2is practically negligible[45]. How-\never, in the conductivity, \ructuations appear much ear-\nlier due to nonlinear e\u000bects, at aboutT\u0000Tc\nTc>pGi, which\nleads to a temperature range that can cover a few tens\nof mK[46]. Indeed, at the smallest currents we observe\nthat the resistance starts to drop a few tens of mK before\nthe actual transition. Di\u000berent contributions might mod-\nify the conductivity at zero magnetic \feld and zero fre-\nquency around the superconducting transition in a super-\nconductor. First, strongly time dependent \ructuations\nof the superconducting order parameter, that lead, aver-\naged over time, to bubbles with higher conductance due\nto time \ructuating preformed Cooper pairs and is termed\nthe Aslamazov-Larkin contribution[8]. Second, the nor-\nmal state density of states might show a dip already\naboveTc[8]. Third, the Maki-Thompson contribution,\ndue to the formation of Cooper pairs at self-interfering\ntrajectories caused by scattering at impurities[47{49]. In\nthe clean limit (as we mention above, ` >> \u0018 in 2H-\nNbSe 2), only the Aslamazov-Larkin contribution is rele-\nvant and leads to the observed decrease in the resistance\nabove Tc. Our results show that there is an imaginary\nimpedance which remained unnoticed in the \ructuation\nrange. The result at low currents and zero magnetic \feld\nseems to follow well the speci\fc heat of the sample, sug-\ngesting that these mechanisms have a minor contribution\nto the heat balance in the sample. However, this changes\nwhen applying a magnetic \feld or a current, as we discuss\nin the main text.\nOn more experimental grounds, we should note that\nthe imaginary impedance appears at low frequencies andthus in transport experiments that are not made exactly\nin DC conditions. All kinds of electronic measurements\nimply a change of the parameters with time, either to\nremove thermoelectric voltages in a usual four-wire mea-\nsurement [50, 51] or simply to vary the temperature in\nregular steps. Through the power used to measure, there\nis a connection between the resistance and temperature,\nwhich induces a reactance when the resistance is strongly\ntemperature dependent.\n[1] K. Irwin and E. b. C. E. G.C. Hilton, \"Transition\nedge sensors\" in \"Cryogenic particle detection\" , 1st ed.,\nTopics Appl. Phys., Vol. 99 (Springer - Verlag, Berlin-\nHeidelberg-New York, 2005).\n[2] J. N. Ullom and D. A. Bennett, Review of supercon-\nducting transition-edge sensors for X-ray and \r-ray spec-\ntroscopy , Superconductor Science and Technology 28,\n084003 (2015).\n[3] D. Redfern, J. Nicolosi, J. H ohne, R. Weiland, B. Simm-\nnacher, and C. Hollerich, The microcalorimeter for in-\ndustrial applications , Journal of research of the National\nInstitute of Standards and Technology 107, 621 (2002).\n[4] R. C. Jones, The general theory of bolometer perfor-\nmance , J. Opt. Soc. Am. 43, 1 (1953).\n[5] J. E. Vaillancourt, Complex impedance as a diagnostic\ntool for characterizing thermal detectors , Review of Sci-\nenti\fc Instruments 76, 043107 (2005).\n[6] M. Galeazzi and D. McCammon, Microcalorimeter and\nbolometer model , Journal of Applied Physics 93, 4856\n(2003).\n[7] A. V. Anatoly Larkin, Theory of \ructuations in supercon-\nductors , International Series on Monographs in Physics,\nVol. 127 (Oxford University Press, Oxford, UK, 2009).\n[8] A. A. Varlamov, A. Galda, and A. Glatz, Fluctuation\nspectroscopy: From Rayleigh-Jeans waves to Abrikosov\nvortex clusters , Rev. Mod. Phys. 90, 015009 (2018).\n[9] A. Schmid, A time dependent Ginzburg-Landau equation\nand its application to the problem of resistivity in the\nmixed state , Physik der kondensierten Materie 5, 302\n(1966).\n[10] A. Schmid and G. Schon, Linearized kinetic equations and\nrelaxation processes of a superconductor near T c, Journal\nof Low Temperature Physics 20, 207 (1975).\n[11] J. Clarke, Experimental observation of pair-quasiparticle\npotential di\u000berence in nonequilibrium superconductors ,\nPhys. Rev. Lett. 28, 1363 (1972).\n[12] Y. Chen, Y.-H. Lin, S. D. Snyder, A. M. Goldman,\nand A. Kamenev, Dissipative superconducting state of\nnon-equilibrium nanowires , Nature Physics 10, 567 EP\n(2014).\n[13] M. Naito and S. Tanaka, Electrical transport properties\nin 2H-NbS 2, -NbSe 2, -TaS 2and -TaSe 2, Journal of the\nPhysical Society of Japan 51, 219 (1982).\n[14] A. Maldonado, S. Vieira, and H. Suderow, Supercurrent\non a vortex core in 2H-NbSe 2: Current-driven scanning\ntunneling spectroscopy measurements , Phys. Rev. B 88,\n064518 (2013).\n[15] M. A. Lindeman, S. Bandler, R. P. Brekosky, J. A. Cher-\nvenak, E. Figueroa-Feliciano, F. M. Finkbeiner, M. J.8\nLi, and C. A. Kilbourne, Impedance measurements and\nmodeling of a transition-edge-sensor calorimeter , Review\nof Scienti\fc Instruments 75, 1283 (2004).\n[16] E. Taralli, C. Portesi, L. Lolli, E. Monticone, M. Raj-\nteri, I. Novikov, and J. Beyer, Impedance measurements\non a fast transition-edge sensor for optical and near-\ninfrared range , Superconductor Science and Technology\n23(2010).\n[17] V. V. Schmidt, Springer (2009).\n[18] K. Takita and K. Masuda, Charge density wave transi-\ntion and superconductivity in 2H-NbSe 2. Direct measure-\nment of the penetration depth in a layered superconduc-\ntor, Journal of Low Temperature Physics 58, 127 (1985).\n[19] D. Ganta, E. Dale, J. Rezac, and A. Rosenberger, Op-\ntical method for measuring thermal accommodation co-\ne\u000ecients using a whispering-gallery microresonator , The\nJournal of chemical physics 135, 084313 (2011).\n[20] C. L. Huang, J.-Y. Lin, Y. T. Chang, C. P. Sun, H. Y.\nShen, C. C. Chou, H. Berger, T. K. Lee, and H. D. Yang,\nExperimental evidence for a two-gap structure of super-\nconducting NbSe 2speci\fc-heat study in external magnetic\n\felds , Phys. Rev. B 76, 212504 (2007).\n[21] E. Boaknin, M. A. Tanatar, J. Paglione, D. Hawthorn,\nF. Ronning, R. W. Hill, M. Sutherland, L. Taillefer,\nJ. Sonier, S. M. Hayden, and J. W. Brill, Heat conduc-\ntion in the vortex state of nbse 2: Evidence for multiband\nsuperconductivity , Phys. Rev. Lett. 90, 117003 (2003).\n[22] G. Blatter, M. V. Feigel'man, V. B. Geshkenbein, A. I.\nLarkin, and V. M. Vinokur, Vortices in high-temperature\nsuperconductors , Rev. Mod. Phys. 66, 1125 (1994).\n[23] Y. B. Kim, C. F. Hempstead, and A. R. Strnad, Flux-\n\row resistance in type-II superconductors , Phys. Rev.\n139, A1163 (1965).\n[24] K. Maki, Vortex motion in superconductors , Physica 55,\n124 (1971).\n[25] J. Bardeen and M. J. Stephen, Theory of the motion\nof vortices in superconductors , Phys. Rev. 140, A1197\n(1965).\n[26] C.-R. Hu and R. S. Thompson, Dynamic structure of vor-\ntices in superconductors. II. H\u001cHc2, Phys. Rev. B 6,\n110 (1972).\n[27] J. R. Clem, Local temperature-gradient contribution to\n\rux-\row viscosity in superconductors , Phys. Rev. Lett.\n20, 735 (1968).\n[28] V. G. Kogan, Time-dependent london approach: Dissi-\npation due to out-of-core normal excitations by moving\nvortices , Phys. Rev. B 97, 094510 (2018).\n[29] L. Embon, Y. Anahory, Z. L. Jelic, E. O. Lachman,\nY. Myasoedov, M. E. Huber, G. P. Mikitik, A. V. Sil-\nhanek, M. V. Milosevic, A. Gurevich, and E. Zeldov,\nImaging of super-fast dynamics and \row instabilities of\nsuperconducting vortices , Nature Communications 8, 85\n(2017).\n[30] G. Grimaldi, A. Leo, P. Sabatino, G. Carapella, A. Ni-\ngro, S. Pace, V. V. Moshchalkov, and A. V. Silhanek,\nSpeed limit to the abrikosov lattice in mesoscopic super-\nconductors , Phys. Rev. B 92, 024513 (2015).\n[31] O. Dobrovolskiy, V. Bevz, E. Begun, R. Sachser, R. Vovk,\nand M. Huth, Fast dynamics of guided magnetic \rux\nquanta , Phys. Rev. Applied 11, 054064 (2019).\n[32] F. Estell\u0013 es-Duart, M. Ortu~ no, A. M. Somoza, V. M. Vi-\nnokur, and A. Gurevich, Current-driven production of\nvortex-antivortex pairs in planar josephson junction ar-\nrays and phase cracks in long-range order , Scienti\fc Re-ports8, 15460 (2018).\n[33] Z. Jing, H. Yong, and Y. Zhou, Thermal coupling ef-\nfect on the vortex dynamics of superconducting thin \flms:\ntime-dependent ginzburg{landau simulations , Supercon-\nductor Science and Technology 31, 055007 (2018).\n[34] M. Leroux, F. F. Balakirev, M. Miura, K. Agatsuma,\nL. Civale, and B. Maiorov, Dynamics and critical cur-\nrents in fast superconducting vortices at high pulsed mag-\nnetic \felds , Phys. Rev. Applied 11, 054005 (2019).\n[35] B. Kalisky, P. Aronov, G. Koren, A. Shaulov, Y. Yeshu-\nrun, and R. P. Huebener, Flux-\row resistivity anisotropy\nin the instability regime of the a\u0000bplane of epitaxial su-\nperconducting YBa 2Cu307thin \flms , Phys. Rev. Lett.\n97, 067003 (2006).\n[36] M. N. Kunchur, D. K. Christen, C. E. Klabunde, and\nJ. M. Phillips, Pair-breaking e\u000bect of high current den-\nsities on the superconducting transition in YBa 2Cu3O7,\nPhys. Rev. Lett. 72, 752 (1994).\n[37] A. Benyamini, D. M. Kennes, E. Telford, K. Watan-\nabe, T. Taniguchi, A. Millis, J. Hone, C. R. Dean, and\nA. Pasupathy, Blockade of vortex \row by thermal \ruc-\ntuations in atomically thin clean-limit superconductors\n(2019), arXiv:1909.08469 [cond-mat.mes-hall].\n[38] Y. Sata, R. Moriya, N. Yabuki, S. Masubuchi, and\nT. Machida, Heat transfer at the van der waals interface\nbetween graphene and NbSe 2, Phys. Rev. B 98, 035422\n(2018).\n[39] T. Funke and C. Haberstroh, New measurements of mul-\ntilayer insulation at variable cold temperature and ele-\nvated residual gas pressure , IOP Conference Series: Ma-\nterials Science and Engineering 101(2015).\n[40] D. Ganta, E. B. Dale, J. P. Rezac, and A. T. Rosen-\nberger, Measuring thermal accommodation coe\u000ecients\nusing a whispering-gallery optical microresonator , The\nJournal of Chemical Physics 135, 435 (2011).\n[41] D. Halbertal, J. Cuppens, M. B. Shalom, L. Embon,\nN. Shadmi, Y. Anahory, H. R. Naren, J. Sarkar, A. Uri,\nY. Ronen, Y. Myasoedov, L. S. Levitov, E. Joselevich,\nA. K. Geim, and E. Zeldov, Nanoscale thermal imag-\ning of dissipation in quantum systems , Nature 539, 407\n(2016).\n[42] D. Halbertal, A. Uri, K. Bagani, A. Y. Meltzer, I. Mar-\ncus, Y. Myasoedov, E. Zeldov, M. B. Shalom, J. Birk-\nbeck, A. K. Geim, and L. S. Levitov, Imaging resonant\ndissipation from individual atomic defects in graphene ,\nScience 358, 1303 (2017).\n[43] J. R. Miller and J. M. Pierce, Fluctuation e\u000bects in the\ncomplex impedance of superconducting tin-whisker crys-\ntals nearTc, Phys. Rev. B 8, 4164 (1973).\n[44] A. Kremen, H. Khan, Y. L. Loh, T. I. Baturina,\nN. Trivedi, A. Frydman, and B. Kalisky, Imaging quan-\ntum \ructuations near criticality , Nature Physics 14, 1205\n(2018).\n[45] A. I. Larkin and A. A. Varlamov, Fluctuation phenomena\nin superconductors , in Superconductivity: Conventional\nand Unconventional Superconductors , edited by K. H.\nBennemann and J. B. Ketterson (Springer Berlin Hei-\ndelberg, Berlin, Heidelberg, 2008) pp. 369{458.\n[46] A. I. Larkin and Y. N. Ovchinnikov, Nonlinear \ructua-\ntion phenomena in the transport properties of supercon-\nductors , Journal of Experimental and Theoretical Physics\n92, 519 (2001).\n[47] K. Maki, The Critical Fluctuation of the Order Param-\neter in Type-II Superconductors , Progress of Theoretical9\nPhysics 39, 897 (1968).\n[48] R. S. Thompson, Microwave, \rux \row, and \ructuation\nresistance of dirty type-ii superconductors , Phys. Rev. B\n1, 327 (1970).\n[49] C. Carballeira, J. Mosqueira, A. Revcolevschi, and F. Vi-\ndal, First observation for a cuprate superconductor of\n\ructuation-induced diamagnetism well inside the \fnite-\nmagnetic-\feld regime , Physical Review Letters 84, 3157(2000).\n[50] A. L. Pope, R. T. Littleton IV, and T. M. Tritt, Ap-\nparatus for the rapid measurement of electrical transport\nproperties for both \"needle-like\" and bulk materials , Re-\nview of Scienti\fc Instruments 72, 3129 (2001).\n[51] Quantum Design, Physical Property Measurement Sys-\ntem: Resistivity Option User ' s Manual , PPMS Manual\n(1999)." }, { "title": "1403.4603v1.Electron_Phonon_Interactions_and_the_Intrinsic_Electrical_Resistivity_of_Graphene.pdf", "content": "arXiv:1403.4603v1 [cond-mat.mtrl-sci] 18 Mar 2014Electron-phonon interactions and the intrinsic electrica l resistivity of graphene\nCheol-Hwan Park1,2,3‡,∗Nicola Bonini4‡,†Thibault Sohier5, Georgy Samsonidze2,\nBoris Kozinsky2, Matteo Calandra5, Francesco Mauri5, and Nicola Marzari1\n1Theory and Simulation of Materials, ´Ecole Polytechnique F´ ed´ erale de Lausanne, 1015 Lausanne , Switzerland\n2Research and Technology Center, Robert Bosch LLC, Cambridg e, MA 02142, USA\n3Department of Physics and Astronomy and Center for Theoreti cal Physics,\nSeoul National University, Seoul 151-747, Korea\n4Department of Physics, King’s College London, London WC2R 2 LS, UK\n5IMPMC, Universit´ e Pierre et Marie Curie, CNRS, 4 Place Juss ieu, 75005 Paris, France\n(‡These authors contributed equally to this work.)\n(Dated: November 6, 2018)\nWe present a first-principles study of the temperature- and d ensity-dependent intrinsic electrical\nresistivity of graphene. We use density-functional theory and density-functional perturbation the-\nory together with very accurate Wannier interpolations to c ompute all electronic and vibrational\nproperties and electron-phonon coupling matrix elements; the phonon-limited resistivity is then cal-\nculated within a Boltzmann-transport approach. An effectiv e tight-bindingmodel, validated against\nfirst-principles results, is also used to study the role of el ectron-electron interactions at the level of\nmany-body perturbation theory. The results found are in exc ellent agreement with recent exper-\nimental data on graphene samples at high carrier densities a nd elucidate the role of the different\nphonon modes in limiting electron mobility. Moreover, we fin d that the resistivity arising from\nscattering with transverse acoustic phonons is 2.5 times hi gher than that from longitudinal acoustic\nphonons. Last, high-energy, optical and zone-boundary pho nons contribute as much as acoustic\nphonons to the intrinsic electrical resistivity even at roo m temperature and become dominant at\nhigher temperatures.\nThe intrinsic electrical resistivity ρe-phof graphene\narisingfromelectron-phonon( e-ph) interactionsprovides\na textbook example of carrier dynamics in two dimen-\nsions [1]: indeed, ρe-phis proportional to T4at low\ntemperatures, while at high temperatures ρe-phvaries\nlinearly with Tand, quite remarkably, is independent\nof doping. The transition between these two distinct\nregimes is determined by the Bloch-Gr¨ uneisen tempera-\nture Θ BG= 2¯hvskF/kB, where ¯handkBare the Planck\nand Boltzmann constants, vsthe sound velocity, kFthe\nFermi wavevector (in case of graphene, measured at one\nof the two Dirac points KandK′). This characteris-\ntic temperature Θ BG, as a result of its dependence on\nkF, is highly tunable by changing gate voltages. This\nscenario, first introduced theoretically by Hwang and\nDasSarma[2], hasbeenconfirmedexperimentallybyEfe-\ntov and Kim using graphene samples at ultrahigh carrier\ndensities [3].\nIn spite of this clear picture, there are, however, sev-\neral open questions. For instance, contrary to the ex-\npected high-temperature behavior [2, 4], a significant\ncharge-density-dependent nonlinear behavior in ρe-ph(T)\nhas been reported [5–10]; nonlinearities are found to be\nstronger when the charge density is lower [7, 8]. The\norigin of this behavior is not clearly understood yet, and\nexplanations involvetemperature-dependent screeningin\ngraphene [11], substrate surface phonons [7, 12], or rip-\npling and flexural phonons [6, 10, 13, 14].\nFor the resistivity at high densities, currently there\nis no formulation that explains the experimental ρe-ph\nreported in Refs. [3, 7] without any fitting parameters.Moreover, the relative role of the different acoustic and\nopticalphononmodeshasnotbeenelucidatedyet, aswell\nas the detailed nature of the electron-acousticphonon in-\nteractions. In particular, in 1980 Pietronero et al.[4] de-\nrivedthe high-temperature( T≫ΘBG) limit for ρe-ph(T)\nconsidering the contribution of the gauge field (arising\nfromthechangesinthelocalelectronichoppingintegrals,\ndue to bond-length variations) to ρe-phof graphene for\nboth longitudinal acoustic (LA) and transverse acous-\ntic (TA) phonon modes. More recently, it has been ar-\ngued [15, 16] that, in addition to this gauge-field contri-\nbution, a deformation-potential contribution should be\nconsidered to properly account for ρe-ph. This contri-\nbution would only be relevant for LA phonons [17] and\nproportional to the local electron-density change upon\ndeformation (we note in passing that in some cases, e.g.,\nin Refs. [15, 18], the term ‘deformation potential’ has\nbeen used to denote what is labeled ‘gauge field’ in this\npaper and in other works, e.g., Ref. [16]).\nThe relative importance of these gauge-field and\ndeformation-potential contributions to ρe-phis currently\nheavily debated. Woods and Mahan [15] estimate that\nthe gauge-field term is ∼20 times [= (3 .87/0.87)2] more\nimportant than the deformation-potential term in deter-\nminingρe-ph; Von Oppen, Guinea and Mariani [19] argue\nthat the deformation potential contribution to the e-ph\ncouplingmatrixelementsis negligiblein comparisonwith\nthe gauge-field term for small-wavevectorscattering, and\nvarious authors have used this assumption in the calcu-\nlation of ρe-ph[14, 18, 20]. On the other hand, Suzuura\nand Ando [16] suggest that the contribution of the defor-2\nmation potential to ρe-phis much more important than\nthe gauge-field contribution and estimate the ratio of the\ntwo to be ∼(30/1.5)2= 400. Based on the assump-\ntion that the gauge field and TA phonon modes are not\nimportant, Hwang and DasSarma [2] havemodeled ρe-ph\nconsidering only LA phonons and with an effective defor-\nmationpotentialwhereallthecomplexdependenceofthe\ne-ph coupling matrix elements on electron and phonon\nwavevectors is condensed into a single fitting parameter.\nRecently, there have been attempts to calculate ρe-ph\nbased on models of the e-ph coupling matrix elements\nfitted to first-principles calculations [21, 22]. The resis-\ntivities found, arising from acoustic phonons in the lin-\near regime ( ρe-ph∝T) and reported in Ref. [21] and in\nRef. [22], are ∼4 and∼13 times lower, respectively,\nthan the experimental results [3, 7]. High-energy optical\nphonons were considered in Ref. [21] and their impor-\ntance in the high-temperature regime was underlined.\nThe main purpose of this paper is to provide a\nfully microscopic and first-principles characterization of\nthe temperature- and density-dependent phonon-limited\nelectrical resistivity ρe-phin graphene. We first use\ndensity-functional theory (DFT) and density-functional\nperturbation theory (DFPT) as implemented in the\nQuantum-ESPRESSO distribution [23] within the local-\ndensity approximation (LDA) [24, 25] to compute the\nelectronic and vibrational properties including the e-ph\ncoupling matrix elements. Next, we use these results\nto calculate the resistivity within a Boltzmann trans-\nport framework. Then, first-principles results are also\nused to validate an effective and accurate model for e-\nph couplings that includes gauge-field and deformation-\npotential contributions. This model allowsthe treatment\nof the effects of electron-electron ( e-e) interactions at the\nlevel of many-body perturbation theory on ρe-ph, which\nare discussed in detail.\nThe key ingredients to compute ρe-phare thee-ph cou-\npling matrix elements\ngν\nm′,m(p,q) =∝angb∇acketleftm′,p+q|∆Vν\nq|m,p∝angb∇acket∇ight,(1)\nwhere|m,p∝angb∇acket∇ightis an electronic eigenstate computed within\nDFT for a Bloch state with energy εm,p(band index\nmand wavevector p), and ∆ Vν\nqis the change in the\nself-consistent potential induced by a phonon mode with\nenergy ¯hων\nq(branch index νand wavevector q).\nEmploying a first-principles interpolation scheme [26]\nbased on maximally localized Wannier functions [27–29],\nas implemented in the Wannier90 [30] andEPW[31] pack-\nages, we are able to calculate the electronic energies\nεm,p, the band velocities vm,p≡ ∇pεm,p/¯h, the phonon\nfrequencies ων\nqand the e-ph coupling matrix elements\ngν\nm′,m(p,q) forpandqon ultra-dense grids spanning\nthe entire Brillouin zone, crucial for an accurate and effi-cient evaluation of the transport Eliashberg function [32]\nα2\ntrF(ω) =1\nN↑/summationdisplay\nm′mν/integraldisplay /integraldisplaydp\nABZdq\nABZ|gν\nm′,m(p,q)|2\n×δ(εm′,p+q−EF)δ(εm,p−EF)δ(¯hων\nq−¯hω)\n×/parenleftbigg\n1−vp+q,m′·vp,m\n|vp,m|2/parenrightbigg\n(2)\n(N↑is the density of states per spin per unit cell at\nEF). Each integration extends over the Brillouin zone\nof graphene, of area ABZ= 8√\n3π2/9b2, wherebis the\ncarbon-carbon bond length. We finally evaluate ρe-ph\nusing the lowest-order variational solution of the Boltz-\nmann transport equation [32]:\nρe-ph=3√\n3πb2\ne2N↑v2/integraldisplay∞\n0¯hω/2kBT\nsinh2(¯hω/2kBT)α2\ntrF(ω)dω,\n(3)\nwhereeis the charge of an electron and v2is the elec-\ntronicband velocitysquaredand averagedoverthe Fermi\nsurface. Equations (2) and (3) are based on the assump-\ntion that the electronic density of states does not change\nappreciably near the Fermi level over the phonon energy\nscale, which is always valid either(i) if the tempera-\nture is lower than room temperature (so that acoustic\nphonons dominantly participate in electron scattering)\nor(ii) if graphene is heavily doped. [As an example, if\nEF>0.5 eV (as measured from the Dirac point energy),\nthe product of the initial and scattered electron densities\nof states is proportional to ( EF+¯hω/2)(EF−¯hω/2), in-\nstead of E2\nF, resulting in an error <4% inρe-pharising\nfrom optical phonons with ¯ hω= 0.2 eV.]\nTechnical details of the calculations are as follows. A\nkineticenergycutoffof60Ryisusedinexpandingthe va-\nlence electronicstatesin aplanewavebasis[33], and core-\nvalence interactions are taken into account by means of\nnorm-conserving pseudopotentials [34]. Charge doping\nis modeled by adding extra electrons and a neutraliz-\ning background. The bond length in the calculations is\nb= 1.405˚A (for intrinsic graphene) and each graphene\nsheet is separated from its periodic replicas by 8.0 ˚A to\nensure that the effect of periodic boundary conditions\nare negligible. We have used Brillouin zone integrations\nof 72×72×1ppoints in the full Brillouin zone for\nall charge density and phonon calculations. All quan-\ntitiesεm,p,vm,p,ων\nq, andgν\nm′,m(p,q) have been calcu-\nlated first for porqon a coarse grid of 6 ×6×1 points\nin the full Brillouin zone and then Wannier interpolated\ninto a fine grid of 300 ×300×1 points in the irreducible\nwedge. Lorentzians with a finite broadening of 0.025 eV\nwere used for the two energy delta functions involving\nelectronic energies εm,pandεm′,p+qin Eq. (2); such an\napproximation is not necessary for the delta function in-\nvolvingων\nqthanks to the integration over ωin Eq. (3).\nThe characteristic features of the phonon-limited re-3\nTABLE I: Oursuggested model for the e-phcoupling matrix element gν\nm′,m(p,q) =/angbracketleftm′,p+q|∆Vν\nq|m,p/angbracketright, considering nearest-\nneighbor electron hoppings and ion-ion interactions in gra phene and assuming that |k| ≪ |K|and|k′| ≪ |K|. The electronic\nband indices ( mandm′) are +1 and −1 for the upper and lower bands, respectively. The angle θcfor a two-dimensional vector c\nis the polar angle between cand the ΓK direction. The angles θkandθk′are set to be in the interval [0 ,2π), without losing\ngenerality. Here, b(= 1.405˚A) is the relaxed carbon-carbon bond length, vTA\ns(= 14.2 km/s) and vLA\ns(= 22.4 km/s) the first-\nprinciples sound velocities for the TA and LA phonon modes, r espectively, and MCthe mass of a carbon atom. The calculated\nphonon energies ¯ hωE2g\nΓ(= 0.20 eV) and ¯ hωA′\n1\nKare for degenerate E 2gmodes (ν= 5,6) atq= Γ and for the A′\n1mode (ν= 6)\natq=K, respectively. If modeling LDA results (Fig. 1 and Figs. 4 an d 5), we set v=vLDA= 0.866×106m/s, the hopping\nintegral |γ|=|γLDA|=2¯h\n3bvLDA= 2.67 eV, the coupling parameter η=ηLDA=|γLDA|\nb/parenleftBig\n1−b\nvLDAdvLDA\ndb/parenrightBig\n= 4.75 eV˚A−1, and\n¯hωA′\n1\nK= 0.160 eV. See text for the modeling of e-einteraction effects beyond the LDA. Finally, the parameter Ddefines the\nstrength of the deformation potential, which is set to zero h ere.\nE-ph coupling matrix element Model\n∝angb∇acketleft+1,K+k′|∆VTA\nk′−k|+1,K+k∝angb∇acket∇ight/radicalBig\n¯h|k′−k|\n4MCvTA\ns3\n4bηsin/parenleftBig\nθk+θk′\n2+2θk′−k/parenrightBig\nIf|k′|=|k| −/radicalBig\n¯h|k′−k|\n4MCvTAs3\n4bηsin3\n2(θk+θk′)\n∝angb∇acketleft+1,K+k′|∆VLA\nk′−k|+1,K+k∝angb∇acket∇ighti/radicalBig\n¯h|k′−k|\n4MCvLAs/bracketleftBig\n3\n4bηcos/parenleftBig\nθk+θk′\n2+2θk′−k/parenrightBig\n+Dcosθk−θk′\n2/bracketrightBig\nIf|k′|=|k| i/radicalBig\n¯h|k′−k|\n4MCvLA\ns/bracketleftBig\n−3\n4bηcos3\n2(θk+θk′)+Dcosθk−θk′\n2/bracketrightBig\n∝angb∇acketleft+1,K+k′|∆VTO\nk′−k|+1,K+k∝angb∇acket∇ight/radicalbigg\n¯h\n4MCωE2g\nΓ3ηcos/parenleftBig\nθk+θk′\n2−θk′−k/parenrightBig\nIf|k′|=|k| 0\n∝angb∇acketleft+1,K+k′|∆VLO\nk′−k|+1,K+k∝angb∇acket∇ight −/radicalbigg\n¯h\n4MCωE2g\nΓ3ηsin/parenleftBig\nθk+θk′\n2−θk′−k/parenrightBig\nIf|k′|=|k|\n\n−/radicalbigg\n¯h\n4MCωE2g\nΓ3ηifθk′> θk\n/radicalbigg\n¯h\n4MCωE2g\nΓ3ηifθk′< θk\n∝angb∇acketleft+1,2K+k′|∆VA′\n1\nK+k′−k|+1,K+k∝angb∇acket∇ight i/radicalbigg\n¯h\n4MCωA′\n1\nK3√\n2ηsinθk−θk′\n2\n∝angb∇acketleft+1,2K+k′|∆Vν=3,4,5\nK+k′−k|+1,K+k∝angb∇acket∇ight0 (All three modes contribute ≤1% to the resistivity.)\nOut-of-plane phonon modes 0 (E-ph coupling matrix element is zero.)\nIfm=−1 Replacekin the corresponding expression above by −k.\nIfm′=−1 Replacek′in the corresponding expression above by −k′.\n∝angb∇acketleftm′,2K+k′|∆Vν\nk′−k|m,2K+k∝angb∇acket∇ight ∝angb∇acketleftm′,K−k′|∆Vν\nk−k′|m,K−k∝angb∇acket∇ight∗\n∝angb∇acketleftm′,K+k′|∆Vν\n−K+k′−k|m,2K+k∝angb∇acket∇ight ∝angb∇acketleftm′,2K−k′|∆Vν\nK+k−k′|m,K−k∝angb∇acket∇ight∗\nsistivity in graphene at high charge density are shown\nin Figs. 1(a) and 1(c). Here we plot the total ρe-ph(T)\nforn-doped graphene (for a charge concentration of\n2.86×1013cm−2) together with the contribution of the\ndifferent phonon branches(The contribution arisingfrom\nthe two phonon branches related to out-of-plane vibra-tions is zero by symmetry, as pointed out in Ref. [17]).\nWithin the LDA, for T <200 K the resistivity is mainly\nduetoacousticphonons,withtheTAmodescontributing\n∼2.5 times more than the LA ones. Therefore, one can\nargue that a model for the e-ph coupling matrix elements\nwhich includes only deformation-potential contributions4\n 0 100 200 300\n 0 200 400 600 800ρe−ph (Ω)\nT (K)Ab initio\nTotal\nK−A1´\nLO\nTA\nLA\nTO\n 0 200 400 600 800\nT (K)Model\nTotal\nK−A1´\nLO\nTA\nLA\nTO\n 0.1 1 10 100\n 10 100ρe−ph (Ω)\nT (K)Ab initio\nTotal\nK−A1´\nLO\nTA\nLA\n 0.1 1 10 100\n 10 100\nT (K)Model\nTotal\nK−A1´\nLO\nTA\nLA(b) (a)\n(c) (d)\nFIG. 1: (a) and (c): Electrical resistivity of n-doped graphene\n(n= 2.86×1013cm−2) arising from e-ph interactions versus\nthe temperature calculated from first principles within the\nLDA. The partial resistivity arising from each phonon branc h\nis also shown. (b) and (d): Similar quantities as in (a) and\n(c) obtained from the model calculation based on the LDA\nresults (see text). Quantities are plotted in linear scale i n (a)\nand (b) and in logarithmic scale in (c) and (d).\n 0 40 80 120\n 0 100 200 300 400ρe−ph (Ω)\nT (K)Model (GW)\nModel (LDA)\n 0 10 20 30 40\n 0 2 4 6 8 10Δρe−ph / ρe−ph (%)\nn (1013cm−2)T=250K\nT=500K\n(b) (a)\nFIG. 2: (a) Electrical resistivity of n-doped graphene ( n=\n2.86×1013cm−2) arising from e-ph interactions as a function\nof temperature, as calculated from our model with e-einter-\naction effects taken into account at the GWlevel [35] (solid or\nblack curve) or using LDA(dashed or red curve). (b) Relative\nchange in the calculated ρe-phversusndue toe-einteraction\neffects.\nthatactonLAmodeswouldnotbe fully adequate. Inter-\nestingly, the slope increaseat T >200K is due to the op-\ntical and zone-boundary phonons: more specifically, it is\nmainlyduetotheA′\n1phononsnear q=K, withasmaller\ncontributionfromlongitudinaloptical(LO)phononsnear 0 100 200 300 400\nT (K)n (1013 cm−2)\nExperiment\n(Efetov & Kim)1.36\n2.86\n4.65\n6.85\n10.8\n 0 25 50 75 100 125 150\n 0 100 200 300 400ρe−ph (Ω)\nT (K)n (1013 cm−2)\nTheory1.36\n2.86\n4.65\n6.85\n10.8\n 0.1 1 10 100\n 10 100ρe−ph (Ω)\nT (K)n (1013 cm−2)\nTheory1.36\n2.86\n4.65\n6.85\n10.8\n 0.1 1 10 100\n 10 100\nT (K)n (1013 cm−2)\nExperiment\n(Efetov & Kim)1.36\n2.86\n4.65\n6.85\n10.8(b) (a)\n(c) (d)\nFIG. 3: Electrical resistivity of n-doped graphene arising\nfrome-phinteractions versus temperature calculated from the\nmodel (Tab. I) incorporating e-einteraction effects [(a) and\n(c)] and the corresponding experimental data from Ref. [3]\n[(b) and (d)]. Quantities are plotted in linear scale in (a) a nd\n(b) and in logarithmic scale in (c) and (d).\nq= Γ. Even at room temperature, 30% of the total ρe-ph\narises from these high-energy phonons. When e-einter-\nactions beyond the LDA are properly taken into account\n(see Figs. 2 and 3 and the relevant discussion), high-\nenergy, optical and zone-boundary phonons are found to\nbe as important as acoustic phonons, accounting for 50%\nofthe total ρe-phat roomtemperature, and become dom-\ninant at higher temperatures. [Equations (2) and (3)\nshow that ρe-phis proportional to the square of the e-\nph matrix element and is inversely proportional to the\nsquare of the Fermi velocity. If we take e-einteractions\nintoaccountwithin the GWapproximation,the enhance-\nment in the calculated e-ph coupling matrix element for\nthe A′\n1branch near q=Kis larger than that in the\nFermi velocity [36], whereas the two enhancements al-\nmost cancel each other for optical and acoustic phonons\nwith small wavevectors (we discuss this in more detail\nlater). In addition, the calculated energy of the zone-\nboundary A′\n1phonon is reduced from its LDA value by\n7% within the GWapproximation [37, 38]. These two\neffects make the contribution to the calculated ρe-phof\nthe high-energy phonons in the GWapproximation (50%\nat room temperature) larger than in LDA (30% at room\ntemperature).] On the other hand, the contribution of\ntransverse optical (TO) phonons is negligible, since the5\nFIG. 4: (Please contact the authors or visit Nano Letters web site for figures.) Absolute value of the e-ph coupling matrix ele-\nments/vextendsingle/vextendsingle/angbracketleft+1,K+k′|∆Vν\nk′−k|+1,K+k/angbracketright/vextendsingle/vextendsinglefor LO and TO modes and/vextendsingle/vextendsingle/angbracketleft+1,2K+k′|∆Vν\nK+k′−k|+1,K+k/angbracketright/vextendsingle/vextendsinglefor in-plane phonon\nmodes versus k′for graphene. In each panel, the equi-energy states ( |k′|=|k|) are denoted by a white circle. For LO and TO\nmodes,kis represented by a green disk. For q∼Kin-plane phonon modes, kis represented by a pink disk and it should be\nnoted that the Bloch wavevectors 2 K+k′andK+kare near the two (different) Dirac points 2 KandK, respectively. The first\nand second columns for each mode show first-principles calcu lations within LDA on n-doped graphene ( n= 2.86×1013cm−2)\nwhose Fermi level lies at the equi-energy contour and those o n intrinsic graphene, respectively; the third column shows results\nof the model calculations based on the LDA results (see Tab. I ).\ne-phcouplingmatrixelementsvanishifthetwoelectronic\nstatesinvolvedin scatteringhavethe sameenergy(Tab. I\nand Fig. 4). We believe these results are very relevant for\ngraphene electronic devices operating at or above room\ntemperature.\nIt is somewhat surprising that the optical and zone-\nboundary phonons, whose energies are of the order of at\nleast 0.150 eV, which corresponds to 1740 K, could con-\ntribute to ρe-phat room temperature (300 K) as much\nas acoustic phonons. This can be explained as follows.\nFirst, in general, the crossover from the low-temperature\nρe-phvs.Tbehavior (e.g., ρe-ph∝T5in three dimen-\nsions) to the high-temperature one ( ρe-ph∝T) occurs at\na temperature which is 20% and not100% of the relevant\nphonon energy scale [39], although the two energy scales\n(20% and 100% of the phonon energy) are of the same\norderofmagnitude. Inotherwords,high-energyphonons\nmaycontributeto ρe-phattemperaturesmuchlowerthan\n1740 K (e.g., 20% of 1740 K is 350 K). Second, in the\nhigh-temperature regime ( ρe-ph∝T), according to the\nmodel in Tab. I, the ratio of the contribution to ρe-ph\nfrom high-energy phonons to that from acoustic phonons\nis(seethecaptionofTab.Iforthemeaningofparameters\nused)\nρhigh−energy\ne-ph\nρacoustic\ne-ph=32/bracketleftbigg/parenleftBig\nωE2g\nΓ/parenrightBig−2\n+/parenleftBig\nωA′\n1\nK/parenrightBig−2/bracketrightbigg\nb2/bracketleftBig\n(vLAs)−2+(vTAs)−2/bracketrightBig≈6.9,(4)\nwhich is much larger than 1; i.e., high-energy phonons\nare much more effective than acoustic phonons in scat-\ntering electronsat high temperatures. [In orderto obtain\nEq. (4), we used the model in Tab. I and Eqs. (2) and\n(3).]\nForabetter understandingandapplicationofourfirst-\nprinciplesresults, weintroduceamodel basedonnearest-\nneighbor electron hoppings and lattice interactions that\ncan provide e-ph coupling matrix elements for all phonon\nbranches (acoustic, optical and zone-boundary) on an\nequal footing; this is of crucial importance to accurately\naccount for resistivity in a wide range of temperatures,\nas shown in Fig. 1(c). A similar model (nearest-neighbor\nelectron hoppings and lattice interactions) has been used\nin Refs. [40–44] for the highest-energy E 2gphonons at\nq= Γ and A′\n1phonons at q=K, while for acous-tic phonons some studies have employed similar nearest-\nneighbor interactions [4, 44] (or variations including the\nrestoration torque for bending distortions [15, 16]) to de-\nscribe the gauge-field contribution to ρe-ph.\nThe parameters that enter the model are the ener-\ngies of the E 2gphonon at q= Γ, ¯hωE2g\nΓ, and of the A′\n1\nphonon at q=K, ¯hωA′\n1\nK, the sound velocities of the TA\n(vTA\ns) and LA ( vLA\ns) modes and the coupling strength\nη=−d|γ|\ndb, where|γ|=2¯h\n3bvis the absolute value of the\nnearest-neighbor hopping integral (regarding the sign of\nγ, which isrelevant, e.g., forphotoemissionexperiments,\nsee Ref. [45]). All these parameters are computed from\nfirst principles. In particular, the e-ph coupling term ηis\nobtained from the electronic band structure of isotropi-\ncally strained graphene, η=|γ|\nb/parenleftbig\n1−b\nvdv\ndb/parenrightbig\n. The coupling\nstrength is directly reflected in the band velocity versus\nbond length relation; an intermediate result necessary\nfor calculating ηwithin the LDA,b\nvdv\ndb=−1.50, is found\nto be in good agreement with Ref. [46]. ηhas previously\nbeenobtainedfromcomparisonbetweenananalyticalex-\npression and first-principles results on the e-ph coupling\nstrength (e.g., Ref. [40, 41]).\nTable I summarizes our model for the e-ph coupling\nmatrix elements in graphene gν\nm′,m(p,q) [Eq. (1)] and\nwe show in Fig. 1 that this model, with the use of lin-\nearized Dirac cones, can reproduce extremely well first-\nprinciples resistivity, and the relative contributions aris-\ning from each phonon branch. The model also accurately\nreproduces the details of the e-ph coupling matrix ele-\nments (see Figs. 4 and 5).\nAs mentioned, there are two different contributions to\nρe-phfrom the LA phonon branch: one arising from the\ngauge field and the other arising from the deformation\npotential (see Tab. I and Refs. [17, 22]). Among the two,\nonly the deformation potential contribution depends sen-\nsitively on the screening, or doping (see, e.g., Ref. [14]).\nOn the other hand, the e-ph coupling matrix elements\nfor the undoped case and those of heavily doped ones\nare almost the same (Fig. 5), even if screening is dif-\nferent; hence, the deformation-potential contribution to\nρe-phis much smaller than the gauge-field contribution.\nTherefore, ascribing ρe-phobtained from experiments to\nthe deformation potential alone can lead to a significant\noverestimationofthe deformationpotentialextracted. In6\nFIG. 5: (Please contact the authors or visit Nano Letters web site for figures.) Absolute value of the renormalized e -ph\ncoupling matrix element/vextendsingle/vextendsingle/vextendsingle/angbracketleft+1,K+k′|∆Vν\nk′−k|+1,K+k/angbracketright//radicalBig\n¯h|k′−k|\n4MCvνs/vextendsingle/vextendsingle/vextendsingleversusk′for acoustic phonon branches ( νis either TA\nor LA) of graphene. In each panel, kis represented by a green disk and the equi-energy states ( |k′|=|k|) are denoted by a\nwhite circle. The first and second columns for each mode show fi rst-principles calculations within LDA on n-doped graphene\n(n= 2.86×1013cm−2) whose Fermi level lies at the equi-energy contour and those on intrinsic graphene, respectively; the\nthird column shows results of the model calculations based o n the LDA results (see Tab. I).\nour model, we consider only the gauge-field contribution\ntoρe-ph(settingD= 0 in Tab. I).\nNext, we study the effects of doping on the e-ph cou-\npling matrix elements. Our results on doped and un-\ndoped systems are found to be very close to each other\nfor both the case of acoustic phonons (Fig. 5) and that\nof optical and zone-boundary (Fig. 4) phonons. Conse-\nquently, the ρe-ph(T) that is obtained using the coupling\nmatrix elements of pristine graphene, but shifting EFin\nEq. (2) appropriately, will only be a few percent different\nfrom the ρe-ph(T) ofn-doped graphene obtained explic-\nitly taking into account the doping dependence of the\ne-ph coupling matrix elements.\nWenowincorporate e-einteractioneffectsbeyondLDA\ninto the e-ph coupling matrix elements. For intra-valley\nscattering phonons with wavevectors near Γ, it is known\nfor optical phonons that |gΓ\ne−e/gΓ\nLDA| ≈ve−e/vLDA[37,\n47], where g’s andv’s are the corresponding e-ph cou-\npling matrix elements and the Fermi velocities, respec-\ntively. Likewise, we assume that the same relation ap-\nplies to acoustic phonon branches with wavevectors near\nΓ. Since ρe-ph∝g2/v2[Eqs. (2) and (3) and Tab. I], the\ncontribution to ρe-phof the phonons with wavevectors\nnear Γ does not change even when e-einteraction effects\nbeyond the LDA are introduced. On the other hand,\nthe energy of the A′\n1phonons with wavevector near K\nchanges from ¯ hωA′\n1\nLDA= 0.160 eV to ¯ hωA′\n1\ne−e= 0.150 eV\ndue toe-einteractions [37, 38], when treated within the\nGWapproximation [35]. In addition, the e-ph coupling\nmatrix elements increase when e-einteraction effects be-\nyond LDA are taken into account (see Ref. [36]). Thus,\nwe have taken the numerical values for |DA′\n1\ne−e/DA′\n1\nLDA|2=\n|gA′\n1\ne−e/gA′\n1\nLDA|2·ωA′\n1\ne−e/ωA′\n1\nLDA(which decreases with doping)\nfrom Ref. [36]. Considering all these effects, together\nwith the velocity renormalizationof ve−e= 1.0×106m/s\nandvLDA= 0.866×106m/s, provides a resistivity within\ntheGWapproximation. We show in Fig. 2 that these e-\neinteraction effects beyond the LDA come into play at\nhigh temperatures (because only the resistivity arising\nfrom high-energy A′\n1phonons is affected) and also de-\ncrease upon doping.\nFigure 3 summarizes all our final results for the resis-\ntivity as a function of doping and temperature, and com-\npares them with experiments. Our results reproduce well\nboth the low and high temperature regimes observed [3],with theoretical data at most 30–40% lower than mea-\nsured values. Importantly, again, we predict a steep in-\ncrease of the slope dρe-ph/dT, as a result of the strong\ncontribution of the optical and zone-boundary phonon\nmodes, at temperatures higher than those accessed in\ncurrentexperiments[3], suggestingtheimportanceoffur-\nther, higher temperature tests.\nAs mentioned earlier, previous theoretical studies [21,\n22] based on first-principles results underestimated ρe-ph\nfrom experiments [3, 7] by ∼4 times [21] and ∼\n13 times [22], respectively. We attribute these discrepan-\ncies partly to the difference in the calculated e-ph cou-\npling matrix elements, and partly to the inclusion of e-\neinteraction effects beyond LDA (e.g., in Ref. [22], al-\nthough the velocity enhancement due to e-einteractions\nin the denominator of Eq. (3) was considered, the en-\nhancement of the e-ph coupling matrix elements and the\nrenormalization of the A′\n1phonon frequencies [37, 38]\nwere not considered, leading to an underestimation of\nρe-ph).\nOur main findings can thus be summarized as fol-\nlows: (i) The acoustic-phonon contribution to ρe-phof\nthe gauge field is much more important than that of\nthe deformation potential. (ii) The resistivity ρe-pharis-\ning from the TA phonon modes is 2.5 times larger than\nthat arising from the LA phonon modes. (iii) The high-\nenergy optical and zone-boundary phonons in graphene\n(ων\nq≥0.150 eV) are responsible for 50% of ρe-pheven at\nroom temperature and become dominant at higher tem-\nperatures.\nInconclusion,wehaveshownthatstate-of-the-artfirst-\nprinciples calculations employing ultra-dense Brillouin\nzone sampling accurately reproduce the charge-density\nand temperature dependence ofthe intrinsic electricalre-\nsistivity of graphene and provide a detailed microscopic\nunderstanding of the relative role of different phonon\nmodes. Moreover, we have shown that it is possible\nto build an analytical model for the e-ph interactions\nthat retains the accuracy of first-principles calculations:\nthis model represents a useful reference for fundamental\nstudies of carrier dynamics in low-dimensional graphitic\nsystems as well as a tool for graphene-based electronic\ndevices simulations.\nKey words: graphene, electron-phonon interac-\ntion, intrinsic electricalresistivity, deformationpotential,\ngauge field, GWapproximation.7\nAcknowledgment: CHP acknowledges support\nfrom Korean NRF funded by MSIP (Grant No.NRF-\n2013R1A1A1076141), NB from EU FP7/CIG Grant\nNo.294158, MC, FM and TS from ANR-11-IDEX-\n0004-02,ANR-11-BS04-0019,ANR-13-IS10-0003-01,and\nthe Graphene Flagship, GS and BK from US NSF\nunder Grant No.1048796, and NM from Swiss NSF\n200021143636. Computer facilities were provided by\nPLSI of KISTI, CSCS, CINES, and IDRIS.\n∗Electronic address: cheolhwan@snu.ac.kr\n†Electronic address: nicola.bonini@kcl.ac.uk\n[1] M. S. Fuhrer, Physics 3, 106 (2010).\n[2] E. H. Hwang and S. Das Sarma, Phys. Rev. B 77, 115449\n(2008).\n[3] D. K. Efetov and P. Kim, Phys. Rev. Lett. 105, 256805\n(2010).\n[4] L. Pietronero, S. Str¨ assler, H. R. Zeller, and M. J. Rice ,\nPhys. Rev. B 22, 904 (1980).\n[5] Y.-W. Tan, Y. Zhang, H. L. Stormer, and P. Kim, Eur.\nPhys. J. Special Topics 148, 15 (2007).\n[6] S. V. Morozov, K. S. Novoselov, M. I. Katsnelson, F.\nSchedin, D. C. Elias, J. A. Jaszczak, and A. K. Geim,\nPhys. Rev. Lett. 100, 016602 (2008).\n[7] J.-H. Chen, C. Jang, S. Xiao, M. Ishigami, and M. S.\nFuhrer, Nat. Nanotechnol. 3, 206 (2008).\n[8] K. I. Bolotin, K. J. Sikes, J. Hone, H. L. Stormer, and P.\nKim, Phys. Rev. Lett. 101, 096802 (2008).\n[9] A. M. DaSilva, K. Zou, J. K. Jain, and J. Zhu, Phys.\nRev. Lett. 104, 236601 (2010).\n[10] E. V. Castro, H. Ochoa, M. I. Katsnelson, R. V. Gor-\nbachev, D. C. Elias, K. S. Novoselov, A. K. Geim, and\nF. Guinea, Phys. Rev. Lett. 105, 266601 (2010).\n[11] E. H. Hwang and S. Das Sarma, Phys. Rev. B 79, 165404\n(2009).\n[12] S.FratiniandF.Guinea, Phys.Rev.B 77, 195415 (2008).\n[13] E. Mariani and F. von Oppen, Phys. Rev. Lett. 100,\n076801 (2008).\n[14] E. Mariani and F. von Oppen, Phys. Rev. B 82, 195403\n(2010).\n[15] L. M. Woods and G. D. Mahan, Phys. Rev. B 61, 10651\n(2000).\n[16] H. Suzuura and T. Ando, Phys. Rev. B 65, 235412\n(2002).\n[17] J. L. Ma˜ nes, Phys. Rev. B 76, 045430 (2007).\n[18] V. Perebeinos and P. Avouris, Phys. Rev. B 81, 195442\n(2010).\n[19] F. von Oppen, F. Guinea, and E. Mariani, Phys. Rev. B\n80, 075420 (2009).\n[20] T. Stauber, N. M. R. Peres, and F. Guinea, Phys. Rev.B76, 205423 (2007).\n[21] K. M. Borysenko, J. T. Mullen, E. A. Barry, S. Paul,\nY. G. Semenov, J. M. Zavada, M. B. Nardelli, and K. W.\nKim, Phys. Rev. B 81, 121412 (2010).\n[22] K. Kaasbjerg, K. S. Thygesen, and K. W. Jacobsen,\nPhys. Rev. B 85, 165440 (2012).\n[23] P. Giannozzi et al., J. Phys.:Condens. Matter 21, 395502\n(2009).\n[24] D. M. Ceperley and B. J. Alder, Phys. Rev. Lett. 45, 566\n(1980).\n[25] J. P. Perdew and A. Zunger, Phys. Rev. B 23, 5048\n(1981).\n[26] F. Giustino, M. L. Cohen, and S. G. Louie, Phys. Rev.\nB76, 165108 (2007).\n[27] N. Marzari and D. Vanderbilt, Phys. Rev. B 56, 12847\n(1997).\n[28] I. Souza, N. Marzari, and D. Vanderbilt, Phys. Rev. B\n65, 035109 (2001).\n[29] N. Marzari, A. A. Mostofi, J. R. Yates, I. Souza, and D.\nVanderbilt, Rev. Mod. Phys. 84, 1419 (2012).\n[30] A. A. Mostofi, J. R. Yates, Y.-S. Lee, I. Souza, D. Van-\nderbilt, and N. Marzari, Comp. Phys. Commun. 178, 685\n(2008).\n[31] J. Noffsinger, F. Giustino, B. D. Malone, C.-H. Park,\nS. G. Louie, and M. L. Cohen, Comp. Phys. Commun.\n181, 2140 (2010).\n[32] P. B. Allen, Phys. Rev. B 17, 3725 (1978).\n[33] J. Ihm, A. Zunger, and M. L. Cohen, J. Phys. C 12, 4409\n(1979).\n[34] N. Troullier and J. L. Martins, Phys. Rev. B 43, 1993\n(1991).\n[35] L. Hedin, Phys. Rev. 139, A796 (1965).\n[36] C. Attaccalite, L. Wirtz, M. Lazzeri, F. Mauri, and A.\nRubio, Nano Lett. 10, 1172 (2010).\n[37] M. Lazzeri, C. Attaccalite, L. Wirtz, and F. Mauri, Phys .\nRev. B78, 081406 (2008).\n[38] A. Gr¨ uneis et al., Phys. Rev. B 80, 085423 (2009).\n[39] R. A. Matula, J. Phys. Chem. Ref. Data 8, 1147 (1979).\n[40] S. Piscanec, M. Lazzeri, F. Mauri, A. C. Ferrari, and J.\nRobertson, Phys. Rev. Lett. 93, 185503 (2004).\n[41] K. Ishikawa and T. Ando, J. Phys. Soc. Jpn. 75, 084713\n(2006).\n[42] S. Piscanec, M. Lazzeri, J. Robertson, A. C. Ferrari, an d\nF. Mauri, Phys. Rev. B 75, 035427 (2007).\n[43] H. Suzuura and T. Ando, J. Phys. Soc. Jpn. 77, 044703\n(2008).\n[44] P. Venezuela, M. Lazzeri, and F. Mauri, Phys. Rev. B\n84, 035433 (2011).\n[45] C. Hwang, C.-H. Park, D. A. Siegel, A. V. Fedorov, S. G.\nLouie, and A. Lanzara, Phys. Rev. B 84, 125422 (2011).\n[46] S.-M. Choi, S.-H. Jhi, and Y.-W. Son, Phys. Rev. B 81,\n081407 (2010).\n[47] D. M. Basko and I. L. Aleiner, Phys. Rev. B 77, 041409\n(2008)." }, { "title": "2306.14820v1.Towards_Optimal_Effective_Resistance_Estimation.pdf", "content": "arXiv:2306.14820v1 [cs.DS] 26 Jun 2023Towards Optimal Effective Resistance Estimation\nRajat Dwaraknath\nStanford University\nrajatvd@stanford.eduIshani Karmarkar\nStanford University\nishanik@stanford.eduAaron Sidford\nStanford University\nsidford@stanford.edu\nAbstract\nWe provide new algorithms and conditional hardness for the p roblem of estimating effective\nresistances in n-node,m-edge, undirected, expander graphs. We provide an rOpmǫ´1q-time\nalgorithm that produces with high probability, an rOpnǫ´1q-bit sketch from which the effective\nresistance between any pair of nodes can be estimated, to p1˘ǫq-multiplicative accuracy, in\nrOp1q-time. Consequently, we obtain an rOpmǫ´1q-time algorithm for estimating the effective\nresistance of all edges in such graphs, improving (for spars e graphs) on the previous fastest\nruntimes of rOpmǫ´3{2q[1] andrOpn2ǫ´1q[2] for general graphs and rOpm`nǫ´2qfor expanders\n[3]. We complement this result by showing a conditional lowe r bound that a broad set of\nalgorithms for computing such estimates of the effective res istances between all pairs of nodes\nrequirerΩpn2ǫ´1{2q-time, improving upon the previous best such lower bound of rΩpn2ǫ´1{13q\n[4]. Further, we leverage the tools underlying these result s to obtain improved algorithms\nand conditional hardness for more general problems of sketc hing the pseudoinverse of positive\nsemidefinite matrices and estimating functions of their eig envalues.\nContents\n1 Introduction 2\n1.1 Our Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4\n1.2 Additional Related Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5\n2 Preliminaries 7\n3 Algorithmic Results 8\n3.1 Our Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8\n3.2 Technical Prerequisites . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9\n3.3 Effective Resistance Estimation in Expanders and Extens ions to SDD matrices . . . 10\n3.4 Extensions to PSD Matrices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15\n4 Lower Bounds 15\n4.1 Our Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15\n4.2 Improved Lower Bounds for Effective Resistance Estimatio n . . . . . . . . . . . . . . 17\n4.3 Improved Lower Bounds for Spectral Sum Estimation . . . . . . . . . . . . . . . . . . 23\n5 Conclusion 26\n11 Introduction\nIn a weighted, undirected graph Gtheeffective resistance between a pair of vertices aandb, denoted\nrGpa,bq, is the energy of a unit of electric current sent from atobin the natural resistor network\ninduced by G. Effective resistances arise for a broad set of graph process ing tasks and have multiple\nequivalent definitions. For example, rGpa,bqis proportional to the expected roundtrip commute\ntime between aandbin the natural random walk induced on the graph and when ta,buis an edge\nin the graph, it is proportional to the probability that the e dge is in a random spanning tree.\nEffective resistances are also a metric on the vertices [5,6] and are a key measure of proximity\nbetween vertex pairs. Correspondingly, effective resistan ces can arise in a variety of data analysis\ntasks. For example, effective resistances have been used in s ocial network analysis for measuring\nedge centrality in social networks [7] as well as for measuri ng chemical distances [8].\nEffective resistances have a broad range of algorithmic impl ications. Sampling edges of a graph\nusing effective resistance is known to efficiently produce cut and spectral sparsifiers (sparse graphs\nwhich approximately preserve cuts, random walk properties , and more) [9–11]. Effective resistance-\nbased graph sparsifiers have also been applied to develop fas t graph attention neural networks [12],\nto design graph convolutional neural networks for action re cognition [13], to sample from Gaussian\ngraphical models [14], and beyond [15, 16]. Effective resist ances have been used in algorithms\nfor maximum flow problems, [17–20, 20–22], sampling random s panning trees [23–25], and graph\npartitioning [26,27]. More recently, effective resistance s have also been used to analyze the problem\nof oversquashing in GNNs and in designing algorithms to alle viate oversquashing [28–30] and have\nbeen applied to increase expressivity when incorporated as edge features in certain GNNs [31].\nAlgorithms. Given the broad utility of effective resistances, there have been many methods for\nestimating and approximately compressing them [1–3,9,32] . In this paper, our main focus is the\nfollowing effective resistance estimation problem. (We use x«ǫyas shorthand for p1´ǫqyďxď\np1`ǫqyand assume all edge weights in graphs are positive. See Secti on 2 for notation more broadly.)\nDefinition 1 (Effective Resistance Estimation Problem) .In the effective resistance estimation\nproblem we are given an undirected, weighted graph G“ pV,E,w q, a set of vertex pairs SĎVˆV,\nandǫP p0,1qand must output ˜rPRSsuch that whp., ˜rpa,bq«ǫrGpa,bqfor all pa,bq PS.\nThe state-of-the-art runtimes for solving the effective res istance estimation problem on n-node,\nm-edge graphs are given in Table 2. To contextualize these res ults, consider the special case of\nestimating the effective resistance of a graph’s edges, i.e. , whenS“E. This special case appears in\nmany of the aforementioned applications, e.g., [17–19,23, 24]. The state-of-the-art runtimes for this\nproblem are an rOpn2ǫ´1qtime algorithm [2] and an rOpmǫ´1.5qalgorithm [1]. A major open problem\nis whether improved runtimes, e.g., rOpmǫ´1q(which would subsume prior work), are attainable.\nOne of the main results of this paper is resolving this open pr oblem in the case of well-connected\ngraphs, i.e., expanders. Formally, we parameterize our bou nds in terms of the graph’s expansion\nsκpGq, defined in Section 2 and provide a number of results for expander graphs , i.e., when sκpGq “\nrOp1q. In particular, we provide an rOpmǫ´1sκpGqqtime algorithm for effective resistance estimation\nwhenS“E. Previously, the only non-trivial improvement in this sett ing was an independently\nobtained runtime of rOpm`nǫ´2psκpGqq3q.1Expanders are a non-trivial, previously studied special\ncase that is often the first step or a key component for develop ing more general algorithms [33].\nInterestingly, we obtain our main result by providing new eff ective resistance sketch algorithms.\n1While our algorithms for the effective resistance estimatio n problem (Definition 1) were obtained indpendently,\nour writing and discussion of effective resistance sketch al gorithms (Definition 2) was informed by their paper. We\nprovide a more complete comparison in Table 1.\n2Definition 2 (Effective Resistance Sketch) .We call a randomized algorithm an pTs,Tq,sq-effective\nresistance sketch algorithm if given an input n-node,m-edge undirected, weighted graph G“\npV,E,w qandǫP p0,1qin timeOpTspG,ǫqqit creates a binary string of length OpspG,ǫqqfrom\nwhich when queried with any a,bPV, it outputs ˜ra,b«ǫrGpa,bqwhp. in time OpTqpG,ǫqq.\nEffective resistance sketching algorithms immediately imp ly algorithms for the effective resistance\nestimation problem. We obtain our result by obtaining an prOpnǫ´1q,rOpmǫ´1qq-effective resistance\nsketch algorithm for expanders (see Section 1.1 for a compar ison to prior work).\nLower Bounds. Given the central role of the effective resistance estimatio n and the challenging\nopen-problem of determining its complexity, previous work has sought complexity theoretic lower\nbounds for the problem. [4] showed a conditional lower bound ofΩpn2ǫ´1{13qfor the problem by\nshowing that an algorithm that computes effective resistanc es inOpn2ǫ´1{13`δqtime for some δą0\ncould be used to obtain a subcubic algorithm for triangle det ection in undirected graphs.\nThistriangle detection problem is the problem of determining whether an undirected, unweig hted\ngraph contains a triplet of edges pta,bu,tb,cu,tc,auq. Currently, the only known subcubic algorithms\nfor the triangle detection problem leverage fast matrix mul tiplication (FMM) and therefore their\npractical utility (in the worst case) is questionable. Mean while, there are no known deterministic\nor randomized algorithms for the triangle detection proble m that do not use FMM. [34] showed\nthat any algorithm which solves this triangle detection pro blem in subcubic time could be used\nto obtain a subcubic algorithm for Boolean matrix multiplica tion (BMM) and additional problems\nwhich currently are only known to be solvable subcubically w ith FMM. Consequently, subcubic\ntriangle detection is a common hardness assumption used to i llustrate barriers towards improving\nnon-FMM based methods, e.g., the effective resistance estim ation algorithms of this paper.\nIn this paper we take a key step towards closing the gap betwee n the best known running times\nfor effective resistance estimation and lower bounds by impr oving the conditional lower bound of\nΩpn2ǫ´1{13qtorΩpn2ǫ´1{2qfor randomized algorithms. We show this lower bound holds even for\nexpander graphs , and hence our effective resistance estimation algorithm (a s well as [2]) are optimal\nup to an ǫ´1{2-factor among non-FMM based algorithms, barring a major bre akthrough in BMM.\nBroader Linear Algebraic Tools. The effective resistance between vertex aand vertex bin a\ngraphGhas a natural linear algebraic formulation. For all a,bPVit is known that rGpa,bq “\n/vectorδa,bL:\nG/vectorδa,b, whereLGPRVˆVis a natural matrix associated with Gknown as the Laplacian matrix\nand/vectorδa,b“ea´eb(see Section 2 for notation). Thus, sketching effective resi stances can be viewed\nas problems of preserving information about subsets of entr ies of the pseudoinverse of a Laplacian.\nTo obtain our algorithms and lower bounds we develop tools th at apply to related problems for\nmore general (not-necessarily Laplacian) matrices. In ter ms of algorithms, we show our techniques\nlead to algorithms and data structures for computing certai n quadratic forms involving well-conditioned\nSDD and PSD matrices. In terms of hardness, we show our techni ques improve triangle detection\nhardness bounds for estimating various properties of the si ngular values of a matrix.\nPaper Organization. In the remainder of the introduction we provide a more precis e statement\nand comparison of our results in Section 1.1. In the remainde r of the paper we cover preliminaries\nin Section 2, present upper bounds in Section 3, and present l ower bounds in Section 4.\n31.1 Our Results\nAlgorithms. Here we outline our main algorithmic results pertaining to e ffective resistance sketching\nand estimation, and in Section 3 we describe some extensions of our work to broader linear algebraic\nproblems involving SDD and PSD matrices. Our main algorithm ic result is a new efficient effective\nresistance sketch for expanders , a term which is used to refer to graphs with rΩp1q-expansion.\nDefinition 3 (Expander) .Forαą0, we say that a graph G“ pV,E,w qhasα-expansion if\nαďφpGq, whereφpGqdenotes the conductance of Gand is defined as\nφpGq:“min\nSĎV,SRt0,Vuř\ntu,vu:uPS,vPVzSwu,v\nmin´ř\nuPSdu,ř\nvPVzSdu¯,wheredu:“ÿ\ntu,vuPEwu.\nWe say a graph is an expander if it has rΩp1q-expansion.\nTheorem 1. There is an prOpmǫ´1q,rOp1q,rOpnǫ´1qqeffective resistance sketch algorithm for expanders.\nTable 1 summarizes and compares our Theorem 1 to previous wor k on effective resistance\nsketches, including naive algorithms to explicitly comput e the pseudoinverse of the Laplacian of\nG, which can be computed in Opnωqtime using FMM or rOpmnqtime using a Laplacian system\nsolver (labeled Solver).2ApTs,Tq,sqeffective-resistance sketch algorithm implies an OpTs` |S|Tqq\nalgorithm for the effective resistance estimation problem. Hence, Theorem 1 implies the following.\nTheorem 2 (Effective Resistance Estimation on Expanders) .There is an rOpmǫ´1` |S|qtime\nalgorithm which solves the effective resistance estimation problem for expanders whp.\nEffective resistance sketches are a common approach to solvi ng the effective resistance estimation\nproblem; but there are also approaches to the problem that do not explicitly construct effective\nresistance sketches. Table 2 summarizes prior work on effect ive resistance estimation more broadly.\nThere has been a long line of research on the problem of comput ing sketches and sparsifiers of\ngraph Laplacians [1, 2, 9, 36] (i.e., computing a sparse grap hG1such that quadratic forms in the\nLaplacian of G1approximate quadratic forms in the Laplacian of G). Building on this work, [1]\nshowed there is an algorithm which processes a graph Gonnnodes and medges in Opm1`op1qq\ntime and produces a sparse sketch graph Hwith only rOpnǫ´1qedges such that rGpa,bq «rHpa,bq\nfor alla,bPV. Consequently, any algorithm which runs in rOpmǫ´cqon expanders can be improved\nto run in rOpm1`op1q`nǫ´pc`1qqtime on expanders simply by running the algorithm on Hinstead\nofG.\nLower Bounds For the effective resistance estimation problem, [4] showed that any algorithm\nwhich solves the effective resistance estimation problem fo rS“VˆVinrOpn2ǫ´1{13`δqfor some\nδą0, would imply a combinatorial subcubic deterministic algor ithm which detects a triangle in an\nn-node undirected unweighted graph. We improve on their resu lt, as follows.\nTheorem 3. Given an algorithm which solves the effective resistance est imation problem for S“\nVˆVon graphs with rΩp1q-expansion in rOpn2ǫ´1{2`δqtime for δą0, we can produce a randomized\nalgorithm that solves the triangle detection problem on an n-node graph in rOpn3´2δqtime whp.\n2ωďn2.37188[35] denotes the fast matrix multiplication constant.\n4Table 1: Sketch\nMethod TpTqs\nFMM nω1n2\nSolver nm 1n2\n[9] mǫ´2ǫ´2nǫ´2\n[2] n2ǫ´11nǫ´1\n[3]m`nǫ´21nǫ´1\nOurs mǫ´11nǫ´1Table 2: Effective Resistance Estimation\nMethod Runtime Restriction\nFMM nω` |S| None\nSolver nm` |S| None\n[9] n2ǫ´2S“VˆV\n[2] n2ǫ´1S“VˆV\n[23]m` pn` |S|qǫ´2None\n[37] m` |S|ǫ´2None\n[1] mǫ´1.5S“E\n[3]m`nǫ´2` |S| None\nOurs mǫ´1` |S| None\nPrior work on Effective Resistance Sketches (Table 1) and Est imation (Table 2). Time\nand space complexities are reported for n-node,m-edge expanders up to rOp¨q. Our results and [3]\napply only to expanders; however, the remaining works apply to general graphs. As discussed, when\nm1`op1q`nǫ´pc`1q“opmǫ´cq, any runtime dependence on mǫ´cin the table can be improved to a\ndependence on m1`op1q`nǫ´pc`1q.\nTheorem 3 implies an rΩpn2ǫ´1{2qrandomized conditional lower bound for the problem of\nestimating effective resistances of all pairs of nodes in an u ndirected unweighted expander graph,\nwhile [4] shows only an Ωpn2ǫ´1{13qlower bound.\nIn addition to conditional lower bounds for effective resist ance estimation, we also improve on\nexisting conditional lower bounds for the problem of estima ting spectral sums that we define below.\nThe definition is inspired by Theorem 15 of [4].\nDefinition 4 (Spectral Sum) .Forf:R`ÑR`andAPRnˆnwith singular values σ1pAq ď\nσ2pAq ď ¨ ¨ ¨ ď σnpAq, we define the spectral sum Sf:RnˆnÑR`asSfpAq:“řn\ni“1fpσipAqq.\n[4] showed that for several spectral sums Sf, any algorithm that outputs Y«ǫSfpAqin\nOpnγǫ´cqtime for γě2on annˆnPSD matrix would imply an Opnγ`αcqtime algorithm which\nsolves the triangle detection problem, where the scaling αvaries depending on the specific Sf(see\nTable 3). We build on their results to show improved randomiz ed conditional lower bounds for\nseveral spectral sum estimation problems, as presented in T heorem 4 below.\nTheorem 4. Given an algorithm which on input BPRnˆnoutputs a spectral sum estimate Y«ǫ\nSfpBqinOpnγǫ´cqtime with γě2for the spectral sums in Table 3, we can produce an algorithm\nthat can detect a triangle in an n-node graph whp. in rOpnγ`αcqtime, where αis a scaling that\ndepends on properties of the function f(see Table 3 for values of αfor several spectral sums.)\n1.2 Additional Related Work\nHere we briefly discuss additional work related to the effecti ve resistance estimation problem and\nprovide a more detailed comparison of our results to [3].\nDynamic effective resistance estimation. Effective resistance estimation and sketching are\npart of a broader family of previously studied problems invo lving graph compression and effective\nresistance estimation. For example, there is a related line of work on dynamically maintaining\neffective resistance estimates in dynamic graphs, e.g., [23 ,32], which in turn is related to problems\n5[4] This Paper\nSpectral Sum TD Runtime Lower Bound TD Runtime Lower Bound\nSchatten 3-norm nγ`4cn2ǫ´1{4nγ`5c{2n2ǫ´2{5\nSchatten p-norm, p‰1,2,nγ`13cn2ǫ´1{13nγ`10cn2ǫ´1{10\nSVD Entropy nγ`6cn2ǫ´1{6nγ`5cn2ǫ´1{5\nLog Determinant nγ`6cn2ǫ´1{6nγ`5cn2ǫ´1{5\nTrace of Exponential nγ`13cn2ǫ´1{13nγ`10cn2ǫ´1{10\nTable 3: Runtimes for the triangle detection (TD) problem in an n-node graph using\nalgorithms that produce p1˘ǫqmultiplicative approximations to various spectral sums\ninOpnγǫ´cqtime. The second columns contain the best achievable runtimes fo rγ“2that do\nnot use FMM, barring a breakthrough in subcubic triangle det ection. Runtimes are reported up to\nrOp¨q.\nof dynamically maintaining electric flows in graphs, e.g., [ 38, 39]. Whether our techniques have\nramifications for these related problems is an interesting q uestion for future work.\nFine-grained complexity analysis. Our effective resistance estimation lower bounds fall under\na broader topic of fine grained complexity analysis, i.e., th e problem of characterizing the optimal\ncomplexity of problems which are known to have polynomial ti me solutions. Here, we provide\nreferences to a few examples. [34] showed subcubic equivale nces between the problem of triangle\ndetection, Boolean matrix multiplication, and several othe r graphical problems. As discussed, [4]\nutilize the results of [34] to obtain conditional lower boun ds on several spectral sum approximation\nproblems – many of which we also study in this paper. Similarl y, [40–42] provided several conditional\ncomplexity lower bounds for linear algebraic problems, con ditional on the use of particular computational\nmodels. [43] and [44] also provide fine grained lower bounds f or the fault replacement paths problem,\nproblems on graph centrality measures, and complementary p roblems. Making connections between\nour techniques for our effective resistance estimation lowe r bounds and these prior works in fine-\ngrained complexity analysis is an interesting open problem .\nComparison to [3]. Effective reisistance sketching and estimation for expande rs was previously\nstudied in [3]. [3] produces an prOpm`nǫ´2q,rOp1q,rOpnǫ´1qqeffective resistance sketch for expanders.\nOur work provides a different, independently obtained runti me for effective resistance estimation by\nproducing an prOpmǫ´1q,rOp1q,rOpnǫ´1qqeffective resistance sketch for expanders. Additionally, o ur\nwork can be applied to produce an prOpm1`op1q`nǫ´2q,rOp1q,rOpnǫ´1qqeffective resistance sketch, by\nrunning on a sparse graphical sketch, such as that guarantee d by [1] (see Section 1.1). Consequently,\nour results match those of [3] up to an mop1qfactor, and improve for sufficiently high accuracy on\nsparse graphs.\nGiven an expander G, [3] considers storing rOpǫ´1q-sparse approximations to the columns of L:\nG,\nwhich would clearly be sufficient for querying effective resis tances of GinrOp1qtime. However, it\nis unclear whether the columns of L:\nGhave small ℓ1norm, and consequently, it is unclear how to\nobtain these sparse approximations. Consequently, their a lgorithm instead estimates the following\n6vectorσufor each uPV,\nσu“1\n28ÿ\nt“0˜ˆ1\n2I`1\n2AGD´1\nG˙t\neu´π¸\n,\nwhereπ“DLG\n/BD/BDJDLG\n/BD. They show that σuis closely related to DGL:\nGeu´π, and consequently access\ntoσuis sufficient for estimating effective resistances. Addition ally, they use structural properties of\nexpanders to show that each σumust have small ℓ1norm and that it can be computed efficiently\nby running lazy random walks on G(i.e., the random walk which, at each step follows the natura l\nrandom walk on Gwith probability 1/2 and stays idle with probability 1/2). T hese key properties\nofσuenable their result.\nOur approach is similar to that of [3] in that we also reformul ate the effective resistance between\ntwo vertices as an inner product between two vectors whose ℓ1norm we can bound; however, the\nvectors we consider are not the σuvectors considered in [3]. Instead, we rewrite rGpi,jqas the inner\nproduct of D´1\nLG/vectorδi,jwithD1{2\nLGpNLG{2q:D´1{2\nLG/vectorδi,j. Similar to [3], we then use similar underlying\nstructural properties of expanders to argue that the ℓ1norms of these vectors is not too large.\nHowever, instead of using random walks to estimate these vec tors, we use sketching techniques\n(specifically, CountSketch) and Laplacian system solvers t o estimate them, an idea which is inspired\nby the work of [9]. The differences in the specific effective res istance vectors we estimate and the\ndifferent technique of estimating them is what leads to the di fference in runtime between [3] and\nour own work. [3] also provide extensions of their effective r esistance sketch techniques to well-\nconditioned SDD matrices, which we also obtain in our genera lized Theorem 7; our lower bounds on\nthe SDD effective resistance estimation problem (see Sectio n 4.2) therefore also apply to the work\nof [3].\n2 Preliminaries\nGeneral notation. We useAi,jto denote the pi,jq-th entry of A. ForAPRnˆn; we use λpAq\nfor its spectrum; λipAqandσipAqfor itsi-th smallest eigenvalue and singular value respectively;\nandρpAq:“ |λnpAq|for its spectral radius. We use } ¨ }pfor theℓp-norm. When Ais PSD,λminpAq\ndenotes its smallest nonzero eigenvalue and κpAq:“λnpAq{λminpAqdenotes its pseudo-condition\nnumber. We use x¨,¨yfor the Euclidean inner product; /BDfor the all ones vector; and eifor thei-th\nstandard basis vector. We define /vectorδi,j:“ei´ejand rks:“ t1,...,k u. We use x«ǫyas shorthand\nforp1´ǫqyďxď p1`ǫqy. ForvPRn, we use vri:jsfor the sub-vector from index itoj.\nGraphs. We useG“ pV,E,w qto denote a weighted undirected graph on Vwith edges Eand\nedge weights wPRE\ną0(orG“ pV,Eqif unweighted). We use AGto denote its (weighted) adjacency\nmatrix pAGqu,v“wu,vforu,v PVˆVandDGto denote its diagonal (weighted) degree matrix\npDGqu“ř\ntu,vuPEwu,vforuPV(treated as wu,v“1ifGis unweighted). We define LG:“DG´AG\nas its graph Laplacian. dmaxpGqanddminpGqrefer to the max and min diagonal entry in DG. We\nmay drop the argument or subscript Git is clear from context. The effective resistance between\nnodesi,jPVis denoted rGpi,jq “/vectorδJ\ni,jL:\nG/vectorδi,j. We assume all input graphs are connected, as effective\nresistances can be computed separately on connected compon ents.\nSymmetric Diagonally Dominant (SDD) Matrices A matrix MPRnˆnis SDD if it can be\ndecomposed as M“DM´AM, where the DMis a diagonal matrix with non-negative entries and\nAMis a matrix with zeros on the diagonal such that di,iąřn\nj“1|ai,j|. We define the normalization\n7ofMasNM:“D´1{2\nMMD´1{2\nM. Throughout this paper, we assume, without loss of generali ty that\nDMhas strictly positive entries on the diagonal (otherwise, w e can simply remove an entire row and\ncolumn of zeros). We use dmaxpMqanddminpMqto denote the max and min entry in the diagonal\nofDMrespectively. We may drop the argument or subscript Mif it is clear from context.\nRuntimes and Space Complexities. In our algorithmic results and analysis, when clear from\ncontext, we use rOp¨qnotation to hide polylogarithmic factors in the number of ve rtices, the number\nof edges, the size of the matrix, the number of nonzero entrie s in a matrix, the maximum and\nminimum diagonal element of a matrix, the maximum and minimu m weighted degree of a graph, ǫ,\nthe condition number, and the normalized psuedo-condition number of a matrix (see Definition 5).\nWe say event Eoccurs with high probability in tifPrEs ě1´t´c, wherecą0can be controlled\nby appropriately configuring the algorithm parameters. We m ay simply say that an event occurs\n“with high probability” or “whp.” if it occurs with high prob ability in the dimension of a matrix or\nnumber of nodes in a graph.\n3 Algorithmic Results\nIn this section, we present our main algorithmic results. Se ction 3.1 outlines our approach to\neffective resistance sketches and estimation. Section 3.2 g ives an overview of a few prior technical\nresults which we directly use in our algorithms. Section 3.3 , presents our original results on effective\nresistance sketching and estimation and generalizations t o SDD matrices. Section 3.4 extends our\ntechniques to yield an interesting data structure for estim ating quadratic forms of PSD matrices.\n3.1 Our Approach\nApproach in prior work: Johnson Lindenstrauss sketches. Our starting inspiration is a\nclassic result of [9], which obtains an ( rOpmǫ´2q,rOpnǫ´2q,rOpǫ´2q) effective resistance sketch by\nusing the Johnson Lindenstrauss Lemma (JL) [45] and its algo rithmic instantiations [46].\nLemma 1 (Johnson-Lindenstrauss Lemma [46]) .Given fixed vectors v1,...,vnPRdandǫP p0,1q,\nletQbe an independently sampled random matrix in t˘1{?\nkukˆd. Fork“rOplogpnqǫ´2q, whp.\n}Qvi}2«ǫ}vi}2for alliP rns.\n[9] observe that rGpi,jq “ pW1{2\nGBGL:\nGqJpW1{2\nGBGL:\nGq, whereWGPREˆEis the diagonal\nmatrix of weights in G, andBGis theEˆVedge-incidence matrix of G. Consequently, whp.\n}JW1{2\nGBGL:\nG/vectorδi,j}2«ǫrGpi,jq. With SDD linear system solvers, JW1{2\nGBGL:\nGcan be approximated\ninrOpmǫ´1qtime, from which }JW1{2\nGBGL:\nG/vectorδi,j}2can be queried in rOpǫ´2qtime.\nOur approach: asymmetric CountSketch in ℓ1.Towards improving upon JL sketches for\neffective resistance estimation, our key tool is to use that t here are other sketching algorithms that\nachieve better than rOpǫ´2qbit compressions of vectors vectors with small ℓ1norm with comparable\nguarantees. CountSketch is a classic memory-efficient algor ithm for estimating the number of\noccurences of various datapoints in a data stream [47] and effi ciently computing inner products [48].\nGivenvPRnand integer parameters s,tą0, CountSketch transforms vto a vector SrvPR3tsˆn,\nwhereSPR3tsˆnis a3t-column-sparse 0/1 matrix. Lemma 2 is a special case of Theor em 4\nfrom [48], which provides accuracy guarantees for inner pro duct estimation using CountSketch.\n8Lemma 2 (Special Case of [48], Theorem 4) .Let vectors v,w P /CAn. LetSbe a random CountSketch\nmatrix. Let xi“ xpSvqrpi´1qs`1 :i¨ss,pSwqrpi´1qs`1 :i¨ssyforiP r3ts, and let Xdenote\nthe median of txiu. Fors“O´\nmin´\n}v}1}w}1\nǫ|xv,wy|,}v}2\n2}w}2\n2\nǫ2|xv,wy2|¯¯\n, andt“logpncq,|X´ xv,wy| ďǫ|xv,wy|\nwith probability at least Ωp1´n´cq.\nProof of Lemma 2. Applying Theorem 4 from [48] with t“2,/BXr|X´ xv,wy|s “0, /CEr|X´ xv,wy|s ďminˆ\n3}v}2\n1}w}2\n1\ns2,2}v}2\n2}w}2\n2\ns˙\nApplying Chebyschev’s inequality,/C8„\n|X´ xv,wy|ą2?\n3minˆ}v}1}w}1\ns,}v}2}w}2?s˙\nď1\n4.\nConsequetly, setting s“O´\nmin´\n}v}1}w}1\nǫxv,wy,}v}2\n2}w}2\n2\nǫ2xv,wy2¯¯\nsuffices for/C8r|X´ xv,wy| ďǫxv,wys ě3{4. To improve the failure probability to Opn´cq, it suffices to use the\nmedian trick, i.e., repeat the sketch Oplogpncqqtimes and output the median.\nTo improve the guarantee in Lemma 2 to hold whp. for allv,w PSrather than for each\nfixed pair, one can simply choose t“logpnc|S|qand apply a union bound. Consequently, if we\nknew that }JW1{2\nGBGL:\nG/vectorδi,j}2\n1{rGpi,jq “rOp1q, then building a CountSketch with s“rOp1qwould\nyield arOpnǫ´1q-size sketch, improving over the rOpnǫ´2qsketch obtained using the ℓ2JL sketch\nin [9]. Unfortunately, it is unclear if and when such a bound h olds, and so, it is unclear how the\nℓ1CountSketches could be useful in this setting. This leads to the main insight that fuels our\nalgorithms. Rather than seeking a symmetric factorization ofrGpi,jqas a quadratic form vJvand\napplying a sketching procedure to v, we instead work with the following asymmetric factorization :\nrGpi,jq “1\n2xD´1\nLG/vectorδi,j,D1{2\nLˆNL\n2˙:\nD´1{2\nLG/vectorδi,jy. (1)\nAt first glance, it may seem unclear why (1) is helpful. Howeve r, we show that indeed, for\nexpanders\n›››D´1\nL/vectorδi,j›››\n1›››››D1{2\nLˆNL\n2˙:\nD´1{2\nL/vectorδi,j›››››\n1{rGpi,jq “rOp1q. (2)\nOur main result essentially follows from (2). Using SDD line ar system solvers, we can efficiently\napproximate SD1{2\nL´\nNL\n2¯:\nD´1{2\nL/vectorδi,jPRrOpǫ´1qˆninrOpmǫ´1qtime, yielding our TqofrOpmǫ´1qand\nsofrOpnǫ´1q. Moreover, SD´1\nLisrOp1q-sparse. Consequently, using our (approximate) access to\nSD1{2\nL´\nNL\n2¯:\nD´1{2\nL, for any query i,jPV, we can efficiently approximate (1) using the recovery\nprocedure of Lemma 2 in rOp1qtime.\n3.2 Technical Prerequisites\nIn this section we will formally restate two fundamental res ults from prior literature which enable\nour upper bounds.\n9SDD Linear System Solvers. In order to compute our effective resistance sketches efficien tly,\nwe apply a CountSketch matrix StoM:, whereMis an SDD matrix. To do this efficiently, we\nleverage the following theorem.\nTheorem 5 (SDD Linear System Solver) .LetMPRnˆnbe SDD and consider any βą0. There\nexists a randomized algorithm which, whp. processes a graph in timerOpnnzpMqqto create access to\na linear operator QβP /CAnˆnsuch that Qβcan be applied to any bP /CAnwithbKkerpMqin time\nrOpmqand››Qβb´M:b››\nMďβ››M:b››\nM.\nMany SDD linear system solvers can be viewed as the type of an o peratorQβrequired in\nTheorem 5. For a particular example in which this is apparent , consider the operator corresponding\nto the iterative solver proposed in [49] or the solver from [5 0]. There is a long line of research on\nnearly linear time SDD and Laplacian system solvers, beginn ing with the work of [51] and leading\nto current state-of-the-art randomized algorithm of [52].\nCheeger’s Inequality To connect our results on well-conditioned SDD matrices to e xpander\ngraphs, we use the well-known Cheeger’s inequality [53].\nTheorem 6 (Cheeger’s Inequality) .LetG“ pV,E,w qbe an undirected graph. Then,1\n2λ2pNLq ď\nφpGq ďa\n2λ2pNLq.\n3.3 Effective Resistance Estimation in Expanders and Extens ions to SDD matrices\nWe use our approach from Section 3.1 to develop algorithms to compute spectral sketches for\npseudoinverses of SDD matrices MwithrOp1qnormalized condition number, as defined in Definitions\n5 and 6.\nDefinition 5 (Normalized (pseudo-)condition number) .We define the normalized (pseudo-)condition\nnumber of an SDD matrix MPRnassκpMq:“λnpNMq{λminpNMq.\nBy Cheeger’s inequality [53], if Ghasα“rΩp1qexpansion, then\nsκpLGq “λnpNLGq{λ2pNLGq ď4{α2“rOp1q\nSo our spectral sketch algorithms will apply to Laplacians o f expanders.\nDefinition 6 (Spectral Sketch Data Structure) .We say an algorithm produces a pTs,Tq,sq-spectral\nsketch for a PSD matrix APRnˆnif givenAPRnˆnandǫP p0,1q, the algorithm creates a binary\nstring of length OpspA,ǫqqin timeOpTspA,ǫq, from which, for a query bPRn, whp. it outputs\nqApbq «ǫbJAbin timeOpTqpA,ǫqnnzpbqq.\nOur spectral sketches of SDD matrices MwillonlysupportDM-numerically sparse query vectors,\ndefined as follows.\nDefinition 7 (D-numerically sparse) .For a diagonal matrix D, theD-numerical sparsity of xPRn\nisnsDpxq:“››D´1x››\n1}x}1{››D´1{2x››2\n2. We say xispc,Dq-numerically sparse if nsDpxq ďc.\nDefinition 7 may appear restrictive; however, several natur al classes of vectors satisfy the\nrequirements, including the following examples:\n•/vectorδi,jis (2,D)-numerically sparse for any invertible DPRnˆn. As we are interested primarily in\neffective resistance estimation in this paper, this provide s the primary motivation for studying\nthis class of vectors.\n10• Standard basis vectors are (1, D)-numerically sparse for any invertible DPRnˆn. Note that\nthis implies our algorithms can be used to efficiently compute the diagonals of pseudoinverses of\nwell-conditioned SDD matrices.\n• When Dis the identity matrix, Definition 7 reduces to the standard d efinition of numerical\nsparsity [54].\n• More generally, if xPRnisγ-numerically sparse, then it is´\nγmaxidi,i\nminidi,i,D¯\n-numerically sparse for\nany diagonal DPRnˆn. Note that if Dis approximately a multiple of the identity, then the\nDM-numerical sparsity is approximately equal to the numerica l sparsity, up to constants.\nThe following asymmetric rearrangement of quadratic forms is crucial to our analysis.\nLemma 3. LetMPRnˆnbe SDD and xPRnbe peridicular to kerpMq. Then,\nxJM:x“1\n2A\nD´1\nMx,D1{2\nMpNM{2q:D´1{2\nMxE\n“A\nD´1{2\nMx,N:\nMD´1{2\nMxE\ně1\n2››D´1\nMx››.\nProof. For notational convenience, let NℓM“NM{2. Letv“M:x“ pDM´AMq:x. Note that\nD´1{2\nMxKkerpNMq, and2D1{2\nMNℓMD1{2\nM“M. Consequently, v“1\n2D´1{2\nMNℓ:\nMD´1{2\nMx, and hence\nxJM:x“1\n2xDM´1x,DM1{2Nℓ:\nMDM´1{2xy.\nThe second equality now follows immediately by rearranging terms. To obtain the inequality,\nnote that, because Mis SDD and Dis invertible, NMis PSD. Furthermore, 2I´NM“I´\nD´1{2\nMAMD´1{2\nM, which is also PSD, as λpAMq Ă r´dmax,dmaxs. So,λpNMq ď2. So,λminpNMq ě\n1{2and the lemma follows.\nNow, we wish to bound›››D1{2\nMpNM{2q:D´1{2\nMx›››\n1. To do this, we leverage the power series\nexpansion of pNM{2q:as follows. In the following Theorem, for notational conven ience we let\nAℓM:“I´ pNM{2q.\nLemma 4. LetMPRnˆnbe SDD. For any xKkerpMq,\npNM{2q:D´1{2\nMx“8ÿ\nk“0pAℓMqkD´1{2\nMx,\nand formě1,\n›››››pNM{2q:D´1{2\nMx´mÿ\nk“0pAℓMqkD´1{2\nMx›››››\n1ď?n2sκpMq?dminexpˆ\n´m`1\n2sκpMq˙\n}x}2.\nProof. Letrdenote the rank of M. Letq1,...,qndenote orthonormal eigenvectors of AℓMassociated\nwithλ1pAℓMq,...,λnpAℓMqrespectively, Qdenote the orthogonal matrix whose i-th column is qi,\nandrΛdenote the diagonal matrix of the λipAℓMq’s.\nAn orthogonal eigendecomposition of pNM{2q:is given by pNM{2q:“QΛQJ, whereΛis the\ndiagonal matrix whose entries are given by\nΛi,i“#\n0, i “r`1,...,n\n1\n1´λipAℓMq, i “1,...,r.\n11So, for any tP rrs,pNM{2q:qt“1\n1´λtpAℓMqqt, where λtpAℓMq P p0,1q; and consequently, 0ď\nλtpAℓMq ă1is in the radius of convergence for the power series of1\n1´x, and hence\n8ÿ\nk“0pAℓMqk“8ÿ\nk“0QrΛkQJqt“qt8ÿ\nk“0λtpAℓMqk“1\n1´λtpAℓMqqt.\nNow,xKkerpMqimpliesD´1{2\nMxKkerpNMq; and consequently, D´1{2\nMxcan be expressed as a\nlinear combination of q1,...,qr. The first statement in the lemma now follows by linearity.\nFor the second statement, note that λminpNℓMq “λnpNℓMq{sκpMq ě1{p2sκpMqq, soλrpAℓMq ď\n1´1{p2sκpMqq. SinceD´1{2\nMxKqr`1,...,qn,\n›››pAℓMqkD´1{2\nMx›››\n2ďˆ\n1´1\n2sκpMq˙k}x}2?dmin.\nUsing the fact that }x}1ď?n}x}2for allx, formě1, we have\n›››››pNM{2q:D´1{2\nMx´mÿ\nk“0pAℓMqkD´1{2\nMx›››››\n1ď?n8ÿ\nk“m`1›››pAℓMqkD´1{2\nMx›››\n2\nď?n2sκpMq?dminˆ\n1´1\n2sκpMq˙m`1\n}x}2\nď?n2sκpMq?dminexpˆ\n´m`1\n2sκpMq˙\n}x}2.\nUsing Lemma 4, the following bound follows almost immediate ly.\nLemma 5. LetMPRnˆnbe SDD matrix and xPRnbe a unit vector orthogonal to kerpMq. Let\nm“max`\n1,2sκpMqlog`?ndmax2sκpMq{?dmin˘˘\n. Then\n›››D1{2\nMpNM{2q:DM´1{2x›››\n1ďm}x}1`1.\nProof. Letměmax´\n1,2sκpMqlog´?ndmax2sκpMq?dmin¯¯\n. By Lemma 4,\n›››››D1{2\nMNℓ:\nMD´1{2\nMx´mÿ\nk“0D1{2AℓMkD´1{2\nMx›››››\n1“›››››D1{2\nM˜\nNℓ:\nMD´1{2\nMx´mÿ\nk“0AℓMkD´1{2\nMx¸›››››\n1\nď?ndmax2sκpMq?dminexpˆ\n´m`1\n2sκpMq˙\n}x}2ď1.\nUsing triangle inequality plus the observation that D1{2\nMAℓMD´1{2\nM“1{2I`1{2AMD´1\nMhas each\ncolumn normalized to have absolute column sum at most 1,\n›››D1{2\nMNℓ:\nMD´1{2\nMx›››\n1ď›››››mÿ\nk“0D1{2\nMAℓMkD´1{2\nMx›››››\n1`1ďm}x}1`1.\n12Combining our Lemmas 3 and 5 with the guarantees of Lemma 2 and prior work on SDD linear\nsystem solvers, we obtain the following theorem.\nTheorem 7. For any matrix MPRnˆnwithsκpMq “rOp1q, there is an algorithm which is an\nprOpnnzpMqǫ´1q,rOp1q,rOpnǫ´1qqspectral of M:supported over queries S, whereSis any set of\nprOp1q,DMq-numerically sparse vectors orthogonal to kerpMq.\nProof. It suffices to assume, without loss of generality, that Sis a set of unit vectors, as at query time,\nfor any vector bwe can compute }b}2inOpnnzpbqqtime and rescale. Set β“2min p1,d3\nminqλminpMqǫ\nmax p1,d2maxq?\nnmax p1,dmaxq.\nBy Theorem 5, in rOpnnzpMqq, whp. we can obtain access to a linear operator Qβsuch that Qβ\ncan be applied to any bPSin timerOpnnzpMqqand }Qβb´M:b}Mďβ}M:b}M“β}b}M:. Then,\nλminpMq}pQβb´M:qb}2\n2ď }pQβb´M:qb}2\nMďβ2}b}2\nM:ďβ2\nλminpMq}b}2\n2.\nSo,}pQβb´M:qb}2ďβ\nλminpMqďǫ. Consequently, by triangle inequality, we have that\n}2DMQβb}1ď }2DMM:b}1` }2DMQβb´2DMM:b}1\nwhere2DMM:b“D1{2\nMpNM{2q:D1{2\nMband }2DMQβb´2DMM:b}1ď2?ndmax}Qβb´M:b}2ďǫ.\nConsequently,\n}2DMQβb}1ď }D1{2\nMpNM{2q:D1{2\nMb}1`ǫ.\nNow, Lemma 5 guarantees that }2DMQβb}1ďrOpsκpMq `ǫq}b}1“rOpsκpMqq}b}1. Similarly,\nˇˇˇxD´1\nMb,D1{2\nMpNM{2q:D´1{2\nMby ´ xD´1\nMb,2DMQβbyˇˇˇ“ˇˇxD´1\nMb,2DMM:by ´ xD´1\nMb,2DMQβbyˇˇ\nďdmax››D´1\nMb››\n2}pQβb´M:qb}2\nďdmax\ndminβ\nλminpMqďǫˆ1\n2d2\nmin˙\n.\nLemma 3 guarantees that xD´1\nMb,2DMQβby ěOp1q}D´1\nMb}2\n2. Consequently,\n}D´1\nMb}1}2DMQβb}1\nxD´1{2\nMb,2DMQβby“rOpsκpMqq}D´1\nMb}1}b}1\n}D´1\nMb}2\n2“rOpsκpMqqnsDMpbq “rOpsκpMqq.\nSo, given a CountSketch matrix SPRrOpsκpMqǫ´1qˆn, Lemma 2 guarantees that we can compute\nanXsuch that whp.\nˇˇX´ xD´1\nMb,2DMQβbyˇˇďǫxD´1\nMb,2DMQβby.\nMoreover, we showed above that\nˇˇˇxD´1\nMb,2DMQβby ´ xD´1\nMb,D1{2\nMpNM{2q:D´1{2\nMbyˇˇˇďǫˆ1\n2d2\nmin˙\nďǫxD´1\nMb,D1{2\nMpNM{2q:D´1{2\nMby,\n13where the last line uses the observation from Lemma 3, that xD´1\nMb,D1{2\nMpNM{2q:D´1{2\nMby ě\n1\n2}D´1\nMb}2\n2. It now follows that\nˇˇˇX´ xD´1\nMb,D1{2\nMpNM{2q:D´1{2\nMbyˇˇˇďǫxD´1\nMb,2DMQβby `ǫxD´1\nMb,D1{2\nMpNM{2q:D´1{2\nMby\nď2ǫp1`ǫqxD´1\nMb,D1{2\nMpNM{2q:D´1{2\nMby\nď4ǫxD´1\nMb,D1{2\nMpNM{2q:D´1{2\nMby.\nThus, by Lemma 3,1\n2X«4ǫx1\n2D´1\nMb,D1{2\nMpNM{2q:D´1{2\nMby “ xb,M:by; hence, rescaling ǫby a\nconstant factor of 4 yields the approximation guarantee (wi thout changing the size of Sby more\nthan constant factors).\nConsequently, we see that by storing SandQβ, we can support queries bPS. To justify the\nruntime guarantee, note that Theorem 5 shows we can compute SQβinrOpnnzpMqsκpMqǫ´1qtime,\nby applying an approximate SDD system solver to each row in S. To support queries, we need only\nstoreSQβandS, which requires only rOpnsκpMqǫ´1qbits.\nFinally, we justify the query complexity. The key observati on is that SisrOp1q-column sparse.\nComputing Xrequires taking the median of rOp1qquantities, each of which requires computing an\ninner product involving an rOpnnzpbqq-sparse vector SD´1\nMb. Using this fact, Xcan be computed in\nrOpnnzpbqq. Setting sκpMq “rOp1qcompletes the proof.\nRemark 1. Note that the proof of Theorem 7 shows that, more broadly, for any SDD matrix M,\nwe can obtain an prOpsκpMqnnzpMqǫ´1q,rOp1q,rOpsκpMqnǫ´1qqspectral sketch data structure.\nThe corresponding algorithm pseudocode for constructing t he spectral sketch data structure is\ngiven in Algorithm 1. The algorithm pseudocode for querying the spectral sketch data structure\nis given in Algorithm 2. The proof of Theorem 7 guarantees tha t it suffices to set t“rOp1qand\ns“rOpsκpMqǫ´1qin Algorithm 1 and Algorithm 2.\nAlgorithm 1: SpectralSketchSDDInverse\nInput: MatrixMPRnˆn, error tolerance ǫP p0,1q, and integers s,tą0.\nOutput: CountSketch matrix SPRp3tqsˆnand an approximation of 2SDMM:,\nrSPRp3tqsˆn\n1Setβas in the proof of Theorem 7;\n2Generate a random CountSketch matrix SPRp3tqsˆn(see Theorem 4 of [48]) ;\n3Generate access to a linear operator Qβsuch that for all bKkerpMq,\n}Qβb´M:b}Mďβ}M:b}M(See Theorem 5);\n4Compute rS“2SDMQβ;\n5return pS,rSq\nBecause the /vectorδi,jqueries appearing in effective resistance computations are 2-sparse and p2,DMq\nnumerically sparse for all SDD matrices M, taking M“LGin Theorem 7 immediately implies\nTheorem 1 and Theorem 2.\nProof of Theorem 1 and Theorem 2 . LetG“ pV,E,w qbe a graph with rΩp1q-expansion. As argued\npreviously (see Section 3.3, LGis SDD with rOp1qnormalized condition number, /vectorδi,jKkerLG, and\n/vectorδi,jisp2,DLGq- numerically sparse. To see why Theorem 1 holds, simply obse rve that we can boost\nthe whp. guarante guarantee in Theorem 7, which holds for eac h fixed query, to hold whp. for all\n14Algorithm 2: QuerySketchSDDInverse\nInput:DM, output of Algorithm 1, query vector b, and integers s,tą0as inputted to\nAlgorithm 1.\nOutput: Approximation to xb,M:by.\n1Setrb“b{}b}2;\n2foriP r3tsdo\n3xi“1\n2xpSD´1\nMrbqrpi´1qs`1 :piqssi,prSrbqrpi´1qs`1 :piqssy;\n4end\n5return }b}2\n2median txiu.\n/vectorδi,jfori,jPVby maintaining Oplogpnqqcopies of the spectral sketch data structure guaranteed\nin Theorem 7 as our sketch, and then, at query time, taking the median of the results of the\noutputs from querying each of the Oplogpnqqcopies of the sketch. Theorem 2 is a direct corollary\nof Theorem 1.\n3.4 Extensions to PSD Matrices\nOur approach of approximating quadratic forms via asymmetr ic inner products also yields a query-\nefficient sketching procedure for approximating quadratic f orms of well-conditioned PSD matrices.\nTheorem 8. There is an algorithm which is an prOpκpAqnnzpAqǫ´2q,rOp1q,rOpκpAqnǫ´2qqspectral\nsketch data of Asupported on X, whereAis PSD and Xis orthogonal to kerpAq.\nProof of Theorem 8. LetxKkerpAq. Note that for any }x}2}Ax}2“κpA1{2qxJAx. So, by the ℓ2\nnorm guarantees from Lemma 2, it suffices to build a CountSketc h matrix Swiths“rO`\nκpAqǫ´2˘\nandt“rOp1qto guarantee that for any xKkerpAq,xSx,SAxy «ǫxx,Axywhp. The time to\ncompute the sketch SAis at most rOpκpAqnnzpAqǫ´2q. Due to the rOp1qcolumn-sparsity of S,Sx\nisrOpnnzpxqqsparse, and consequently, xSx,SAxycan be computed in rOpnnzpxqqtime.\nIn comparison, JL gives an prOpnωq,rOpnǫ´2q,rOpǫ´2qq-spectral sketch data structure using efficient\nsquare root algorithms [55]. JL achieves better compressio n than Theorem 8. For well-conditioned\nmatrices, however, our query time and potentially construc tion times may be faster in some regimes.\n4 Lower Bounds\nIn this section, we present our main conditional hardness re sults. In Section 4.1, we outline our\napproach. In Section 4.2, we present our lower bounds for the problem of estimating effective\nresistances for all pairs of nodes (case where S“VˆV), which we call the all pairs effective\nresistance estimation problem . In Section 4.3 we show that our techniques also yield lower b ounds\nfor other spectral sum estimation problems.\n4.1 Our Approach\nApproaches of Prior Work The approach of [4] begins with the fact that Ghas a triangle if and\nonly iftrpA3\nGq{6ě1. They use the fact that various spectral sums Sfof the SDD matrix I´δAG\n(forδsufficiently small) can be expressed as a power series SfpI´δAGq “ř8\nk“0ckδktrpAk\nGq. The\nfirst two terms of this series can be computed directly. So giv enY«ǫSfpI´δAGq, one can estimate\ntrpA3\nGq, where the estimation error is controlled by the magnitude o f the first two terms of the series\n15and the tail error due to truncating at the third term. By bound ing this estimation error, [4] shows\nthat, for appropriate choices of δ,Y«ǫSfpI´δAGqyields an additive 1/2 approximation to trpA3\nGq,\nwhich is sufficient for triangle detection. They also reduce t he problem of estimating the spectral\nsumtrpB´1qfor an SDD matrix Bto the all pairs effective resistance estimation problem.\nOur Approach We use three key techniques to better bound the estimation er rors incurred in\nthe power-series-inspired approach of [4]. This yields fas ter reductions and better lower bounds for\neffective resistance estimation. Rather than obtaining effe ctive resistance lower bounds by reducing\nthe problem of computing trpA3\nGq{6to computing the trace of an SDD matrix as in [4], we use a\nreduction that closely leverages the structure of effective resistances and properties of A2\nG.\nWe begin with the fact that for αą0sufficiently small,\n´\nI´α\nnAG¯´1\n“8ÿ\nk“0αk\nnkAk\nG. (3)\nSinceAGis known, given access to /vectorδJ\ni,jpI´α\nnAGq´1/vectorδi,j, we can estimate the entries of A2\nG, where the\nestimation error is controlled by αand the tail error of truncating (3) at the third term. By bound ing\nthis estimation error, for appropriate choice of α, we can obtain additive 1/2 approximations to all\nentries of A2\nG, which is sufficient to identify all paths of length 2. We can th en detect a triangle by\nsimply scanning for an edge tu,vusuch that uandvare connected by a path of length 2. Estimating\nthe entries of A2\nGleads to lower estimation error than estimating trpA3\nGqas in [4]).\nSecond, we use a standard randomized reduction that reduces the triangle detection problem to\nthe triangle detection problem restricted to tripartite gr aphs. The reduction relies on the fact that\na randomly sampled tripartition of the original graph prese rves triangles with constant probability.\nTo detect a triangle in a tripartite graph G“ pV1\\V2\\V3,Eq, we construct a graph Hby removing\nall edges E1,2:“ ttu,vu PE:uPV1,vPV2ubetween V1andV2.Ghas a triangle if and only if\nthere is an edge tu,vu PE1,2and a path of length 2 between uandvinH. Crucially, we can show\nthat the third term sA3\nHdoes not contribute to the tail error when estimating the tu,vu-th entry of`\nA2\nH˘\nusing (3).\nThird, to lower the spectral norm of AH(and consequently better bound the convergence of the\npower series (3)), we use a symmetric random signing of AHdefined below.\nDefinition 8 (Symmetric Random Signing) .Given a symmetric matrix APRnˆn, itssymmetric\nrandom signing sAis the random matrix with sAi,j:“ξi,jAi,j, whereξi,jare independent Rademacher\nrandom variables (i.e. ξi,j“ ˘1, each with probability 1/2) that satisfy ξi,j“ξj,i.\nWe show that with constant probability, this random signing preserves whether the entries of\nA2\nHare non-zero, allowing us to detect if Ghas a triangle even if we replace AGin (3) with sAH\ninstead. This is beneficial, as matrix Chernoff guarantees››sAH››\n2“rOp?nqwhp. whereas }AH}2\nmay be as large as n. This means that by replacing AGwithsAH, the norm of each term in (3)\ndecreases from OpαkqtoOpαkn´k{2q. So the tail error of truncating the power series is smaller.\nTo compute entries of sA2\nHefficiently using effective resistance estimates on expander s, we first\nshow that we can use all pairs effective resistance estimates on expanders to estimate /vectorδT\ni,jM´1/vectorδi,j\nfor alli,jP rns, whereM“ pI´Qqis an SDD matrix with ρpQq ď1{3. Then, by choosing\nM“I´α\nnsAHas in (3) for an appropriate constant α, we can estimate sA2\nHfrom estimates of\n/vectorδT\ni,jM´1/vectorδi,j. This yields our lower bound on the all pairs effective resist ance estimation problem.\nAdditionally, we show that with constant probability, the r andom signing preserves the property\nthattrpA3\nGqis non-zero. We leverage this aspect of the random signing to obtain improved\n16randomized conditional lower bounds for various spectral s um estimation problems. We closely\nfollow the trace estimation approach of [4], and again use th e smaller spectral radius of sAGto\nimprove bounds on the power series truncation error.\n4.2 Improved Lower Bounds for Effective Resistance Estimati on\nIn this section we provide a series of reductions which yield our main result on lower bounds for\nthe all pairs effective resistance estimation problem for al l pairs of nodes (case where S“VˆV).\nFirst, we formalize a standard randomized reduction from tr iangle detection in general graphs to\ntriangle detection in tripartite graphs in Lemma 6 below.\nLemma 6. Given an algorithm which can solve the triangle detection pr oblem on an n-node tripartite\nundirected graph in Opnγqtime, we can produce a randomized algorithm which can solve t he triangle\ndetection problem on an arbitrary n-node undirected graph GinrOpnγqtime whp.\nProof. We first sample a tripartite subgraph HofGby assigning each vertex in Gto a random\ntripartition with equal probability 1/3 and deleting edges within each resulting tripartition. First,\nnote that if Ghas no triangles then Halso has no triangles since His a subgraph of G. Second,\nobserve that if Ghas a triangle ta,b,c u, it is also a triangle in Hif each vertex ends up in a different\ntripartition. This occurs with probability at least p1{3q3“1{27which is a constant. Therefore,\nsolving the triangle detection problem on Hand returning the same output also successfully solves\nthe triangle detection problem on Gwith probability at least 1{27. We can repeat this randomized\nprocedure logpncqtimes to boost the success probability to at least 1´n´c, which is whp. in n.\nThis randomized algorithm runs in rOpnγqtime, completing the proof.\nDefinition 9. In the all pairs SDD effective resistance estimation problem , given an SDD matrix\nMsuch that DM“I,AM“Q, withρpQq ď1{3andǫP p0,1q, we must output rrPRn2such that\nrra,b«ǫ/vectorδJ\na,bM´1/vectorδa,b@a,bP rns. We call /vectorδJ\na,bM´1/vectorδa,bthe SDD effective resistance of pa,bqinM.\nFor brevity, we use rrpMqto refer to the solution of the SDD effective resistance probl em on\ninputM. Our first step is to show that an algorithm for the all pairs eff ective resistance estimation\nproblem on expanders implies an algorithm for the all pairs S DD effective resistance estimation\nproblem.\nLemma 7. Given an algorithm to solve the all pairs effective resistanc e estimation problem on\ngraphs with rΩp1q-expansion in rOpn2ǫ´cqtime for some cą0, we can produce an algorithm to solve\nthe all pairs SDD effective resistance estimation problem in rOpn2ǫ´cqtime.\nTo prove Lemma 7, we first prove the lemma for the case where Qis entrywise non-negative in\nLemma 8 by constructing an expander Gwithn`1vertices such that rGpa,bq “/vectorδJ\na,bM´1/vectorδa,bfor\nalla,bP rns. Then, in Lemma 10, we extend the reduction to arbitrary Qby constructing Q1of\nsize2nso thatQ1is entrywise non-negative and rrpI´Qqis a simple linear transform of rrpI´Q1q.\nLemma 8. Given an algorithm that solves the all pairs effective resist ance estimation problem on\ngraphs with rΩp1q-expansion in rOpn2ǫ´cqtime for some cą0, we can produce an algorithm which\ntakes as input an SDD matrix M“I´Qsuch that Qis entrywise non-negative and ρpQq ď1\n3,\nand solves the all pairs SDD effective resistance estimation problem for MinrOpn2ǫ´cqtime.\nProof. Letv:“ pI´Qq /BD. Note that vis entrywise non-negative. Consider the matrix\nL:“ˆ\nI0\n0}v}1˙\n´ˆ\nQv\nvJ0˙\n.\n17and note that it is the Laplacian matrix. Since Mis a principal submatrix of L, we have by the\neigenvalue interlacing theorem [56] that λ1pMq ďλ2pLq. But since ρpQq ď1{3, we have that\nλ1pMq ď2{3and consequently λ2pLq ě2{3.Therefore, Lis the Laplacian of an rΩp1q-expander\n(see Cheeger’s Inequality Theorem 6).\nWe claim that for i,jPn,\n/vectorδJ\ni,jL:/vectorδi,j“/vectorδJ\ni,jM´1/vectorδi,j,\nwhich is sufficient to prove the lemma. Note that for any xPRn,yPR, andαPR,\nLˆ\nx`α /BD\ny`α˙\n“ˆ\npI´Qqx`vJx\nvJx´ }v}1y˙\n.\nLet\nL:/vectorδi,j“ˆzx\nzy˙\n.\nThen, note that\nLˆ\nzx´zy\n/BD\n0˙\n“ˆ/vectorδi,j\n0˙\nù ñ pI´Qqpzx´zy\n/BDq “/vectorδi,j.\nConsequently,\n/vectorδJ\ni,jpI´Qq´1/vectorδi,j“/vectorδJ\ni,jpzx´zy\n/BDq “/vectorδJ\ni,jzx´zy/vectorδJ\ni,j\n/BD“/vectorδJ\ni,jzx“/vectorδJ\ni,jL:/vectorδi,j.\nNow, to prove Lemma 10, we first show a useful property of block symmetric matrices in the\nhelper lemma below.\nLemma 9. Suppose A“ˆX Y\nY X˙\nwhereX,YPRnˆn. Then,\n/vectorδJ\ni,jpX´Yq/vectorδi,j“1\n2”\n/vectorδJ\ni,n`iA/vectorδi,n`i`/vectorδJ\nj,n`jA/vectorδj,n`jı\n´/vectorδJ\ni,n`jA/vectorδi,n`j`/vectorδJ\ni,jA/vectorδi,j.\nProof. Note that\n/vectorδJ\ni,jpX´Yq/vectorδi,j“ pXi,i`Xj,jq ´2Xi,j`2Yi,j´ pYi,i`Yj,jq.\nMeanwhile,\n1\n2/vectorδJ\ni,n`iA/vectorδi,n`i“ pXi,i´Yi,iq,\n1\n2/vectorδJ\nj,n`jA/vectorδj,n`j“ pXj,j´Yj,jq,\n´/vectorδJ\ni,n`jA/vectorδi,n`j“ ´Xi,i`2Yi,j´Xj,j,\n/vectorδJ\ni,jA/vectorδi,j“Xi,i´2Xi,j`Xj,j,\nand adding these four terms together concludes the proof.\n18Lemma 10. Suppose we are given an algorithm which takes as input an SDD m atrixM“I´Qsuch\nthatQis entrywise non-negative and ρpQq ď1\n3, and solves the all pairs SDD effective resistance\nestimation problem for MinrOpn2ǫ´cqtime. Then, we can produce an algorithm which takes as\ninput an SDD matrix M1“I´Q1andρpQ1q ď1\n3, and solves the all pairs SDD effective resistance\nestimation problem for M1inrOpn2ǫ´cqtime.\nProof. We can decompose Q1asQ1“P´NwherePis a matrix which contains only the positive\noffdiagonal entries of Q1and ´Nis a matrix which contains all the negative offdiagonal entri es.\nTherefore both PandNthemselves are entrywise non-negative. We define\nQ:“ˆ\nP N\nN P˙\n.\nNote that Qis also entrywise non-negative.\nWe also have ρpQq ď1{3. To see this, assume for the sake of contradiction that Qhas an\neigenvalue λą1{3. This means that there must exist some xPR2nsuch that Qx“λx. Let\nx“ rx1;x2swherex1,x2PRn. The eigenvalue equation then implies that Px1`Nx2“λx1and\nNx1`Px2“λx2. Subtracting these equations yields pP´Nqpx1´x2q “λpx1´x2qwhich means\nthatλis also an eigenvalue of Q1. This is a contradiction since ρpQ1q ď1{3. Therefore, ρpQq ď1{3.\nNow, consider the following block decomposition of Qk\nQk“ˆ\nX Y\nY X˙\nwhereX,YPRnˆn. We will show by induction that Q1k“ pP´Nqk“X´Y. In the base case,\nwhenk“1, this is trivially true. Now, assume that the claim holds for allkďmfor some m.\nConsider the following block decomposition of Qm\nQm“ˆ\nW Z\nZ W˙\n.\nBy the inductive hypothesis, we know that Q1m“ pP´Nqm“W´Z. Now, we have that\nQm`1“ˆ\nW Z\nZ W˙ˆ\nP N\nN P˙\n“ˆ\nWP `ZN WN `ZP\nWN `ZP WP `ZN˙\n,\nand also that\nQ1m`1“ pW´ZqpP´Nq “WP ´ZP´WN `ZN “ pWP `ZNq ´ pWN `ZPq.\nHence, the claim follows by induction. By Lemma 9, it follows t hat\n/vectorδJ\ni,jQ1k/vectorδi,j“1\n2”\n/vectorδJ\ni,n`iQk/vectorδi,n`i`/vectorδJ\nj,n`jQk/vectorδj,n`jı\n´/vectorδJ\ni,n`jQk/vectorδi,n`j`/vectorδJ\ni,jQk/vectorδi,j. (4)\nNow we can use the power series expansion of pI´Qq´1to say, for any u,vP r2ns,\n/vectorδJ\nu,vpI´Qq´1/vectorδu,v“8ÿ\nk“0/vectorδJ\nu,vQk/vectorδu,v.\nSimilarly, for any i,jP rns,\n/vectorδJ\ni,jpI´Q1q´1/vectorδi,j“8ÿ\nk“0/vectorδJ\ni,jQ1k/vectorδi,j.\n19So, by linearity and (4), it follows that\n/vectorδJ\ni,jpI´Q1q´1/vectorδi,j“1\n2”\n/vectorδJ\ni,n`ipI´Qq´1/vectorδi,n`i`/vectorδJ\nj,n`jpI´Qq´1/vectorδj,n`jı\n´/vectorδJ\ni,n`jpI´Qq´1/vectorδi,n`j`/vectorδJ\ni,jpI´Qq´1/vectorδi,j,\nand this completes the proof.\nLemma 7 then follows directly from Lemma 8 and Lemma 10. We now turn our attention to\nreducing the triangle detection problem to the all pairs SDD effective resistance estimation problem.\nAs discussed, a key aspect of our approach is to work with the r andom signing sAGofAG. Lemma 11\nshows that to determine whether pA2\nGqi,ją0with constant probability, it suffices to determine\nwhether psA2\nGqi,ją0.\nLemma 11. Fori‰j, ifpA2\nGqi,j“0, then psA2\nGqi,j“0; ifpA2\nGqi,ją0,P“\n|psA2\nGqi,j|ą1‰\ně1{2.\nProof. The idea of the proof is that if ta,bu,tb,cuexist inG, eitherξa,c“1orξa,c“ ´1results in\npsA2\nGqa,cą0. Note that\n`sA2˘\ni,j“nÿ\nk“1sAi,ksAk,j\nIfA2\ni,j“0, thenGhas no path of length exactly two between iandj, so each term Ai,kAk,jin\nthe summation above must be zero, and hence`sA2˘\ni,j“0, completing the first part of the lemma.\nSince`sA2˘\ni,jis only supported on the integers, to prove the second statem ent, it suffices to show\nthat when`\nA2˘\ni,ją0,P”/vextendsingle/vextendsingle/vextendsingle`sA2˘\ni,j/vextendsingle/vextendsingle/vextendsingle“0ı\nis no larger than 1/2. To see this, note that if A2\ni,j‰0,\nthen then Ghas at least one path of length exactly 2 between iandj. That is, there exists a k1P rns\nsuch that Ai,k1Ak1,j“1. We can write\n`sA2˘\ni,j“nÿ\nk“1ξi,kξk,jAi,kAk,j\nBecausei‰j, for every k,ℓP rnseachξi,kis always independent from any other ξℓ,jterm appearing\nin the sum. Moreover, if ξi,kappears in the sum, then ξk,inever appears in the sum. Therefore,\nfor each tξi,kξk,jukPrnsare themselves independently chosen Rademacher random var iables, and all\nterms in the summation are independent. Separating out the k1-th entry,\n`sA2˘\ni,j“ξi,k1ξk1,j`nÿ\nk‰k1ξi,kξk,jAi,kAk,jD“ξ1`Z,\nwhereξ1is a Rademacher random variable and Z:“řn\nk‰k1ξi,kξk,jAi,kAk,jis independent from ξ1.\nFor any value of Z,Prξ1“ ´Zs ď1\n2. Therefore,\nP”`sA2˘\ni,j“0ı\nď1\n2,\ncompleting the second part of the result.\nMatrix Chernoff (Lemma 12) ensures whp. ρpsAGq “rOp?nq, whileρpAGqcould be as large as\nn[57]. So, estimating entries of sAGleads to lower power series tail error.\n20Lemma 12. LetG“ pV,Eqbe an undirected unweighted graph on nnodes. Let sAGdenote a\nsymmetric random signing of AG. With high probability, ρpsAq ďrOp?nq.\nProof. Letσ2:“›››ř\ntu,vuPEpEu,vq2›››\n2whereEu,vis the adjacency matrix of a graph with only a\nsingle edge between uandv. Note that entries of E2\nu,vindicates paths of length two in this graph.\nTherefore, this is a diagonal matrix that satisfies`\nE2\nu,v˘\ni,i“1if and only if iP tu,vu. Consequently,\nσ2“››››››ÿ\ntu,vuPEpEu,vq2››››››\n2“ }D}2ďdmaxďn.\nWe can now write sA“ř\ntu,vuPEξu,vEu,vand apply the Matrix Rademacher concentration result\n(Theorem 1.2) from [57], to get that for any constant cą1,\nP”\nλn`sA˘\něa\ndmaxlogpcnqı\nďnexpˆ´cdmaxlogpnq\n2dmax˙\n“n1\nnc“n´c`1.\nFinally, we use the power series approach in Section 4.1 to ob tain Theorem 9.\nTheorem 9. Given an algorithm which solves the all pairs SDD effective re sistance estimation\nproblem in rOpn2ǫ´cqtime, we can produce a randomized algorithm that solves the t riangle detection\nproblem in rOpn2p1`cqqtime whp.\nProof. AsGis tripartite, let V“V1\\V2\\V3be the partition of Gsuch that no edge has both\nendpoints in Vifor some iP r3s. LetE1,2:“ ttu,vu PE:uPV1,vPV2u, and let H:“ pV,EzE1,2q.\nLetA“AHdenote the adjacency matrix of Hand letsAbe a random signing of A.\nSuppose that Ghas a triangle. Then, there exists a pair of vertices uPV1,vPV2such that\ntu,vu PE12andHcontains a path of length two between uandv. Furthermore, observe that\nbecause there are no edges between V1andV2inH,Hhas no paths of length three between V1and\nV2. Consequently, in order to find a triangle in G, it suffices to check, for each iPV1,jPV2with\nti,ju PE1,2, whether there exists a path of length two between node iand node jinH. In other\nwords, we need to check if there exists some ti,ju PE1,2such that A2\ni,ją0. By Lemma 11, we can\ninstead check ifˇˇˇsA2\ni,jˇˇˇą0and we would still correctly detect a triangle with probabil ity at least\n1/2. Note that this check requires requires only OpnnzpAqqadditional time, since |E1,2|ănnzpAq.\nSo, our goal now is to compute an accurate enough estimate ofˇˇsAi,jˇˇ2for all ti,ju PE1,2given\nthe effective resistance estimate rri,j. To this end, let N“`\nI´α\nnsA˘´1for some αă1\n3. Note\nthat the max row-sum of sAis 1/3, so the inverse exists. Lemma 12 guarantees that with h igh\nprobability, ρ`sA˘\nďrOp?dmaxq “rOp?nq. We condition on this event in the remainder of the proof.\nConsequently, we can express Nas a power series,\nN“8ÿ\nk“0´α\nn¯ksAk.\nNow, let rNdenote the truncation of Nat the third term in this power series. That is, rN“\nI`α\nnsA`α2\nn2sA2. Therefore, we have for all ti,ju PE1,2,\nsA2\ni,j“n2\nα2rNi,j.\n21Noticing that Ni,j“Ni,i`Nj,j´ri,j\n2motivates us to define our estimate of sA2\ni,jthat we denote Pi,j\nas follows\nPi,j:“n2\nα2«rNi,i`rNj,j´rri,j\n2ff\n.\nNow, observe that rNi,i“1`α2\nn2sA2\ni,i, andsA2\ni,iis simply the degree of vertex iinH. Note that\nthe random signing does not affect the fact that the diagonal e ntries of the square of the adjacency\nmatrix are the degrees. Therefore, rNi,ican also be computed for all iin onlyOpnnzpAqqtime. The\nadditive error between our estimate Pi,jandˇˇsAˇˇ2\ni,jtakes the form\nˇˇPi,j´sA2\ni,jˇˇ“ˇˇˇˇˇn2\nα2«\nrNi,j´rNi,i`rNj,j´rri,j\n2ffˇˇˇˇˇ.\nBy triangle inequality and plugging in the definition of ri,j, we break up the error into four pieces\nˇˇPi,j´sA2\ni,jˇˇďn2\nα2”ˇˇˇrNi,j´Ni,jˇˇˇ`ˇˇˇrNi,i´Ni,iˇˇˇ{2`ˇˇˇrNj,j´Nj,jˇˇˇ{2` |rri,j´ri,j| {2ı\n.(5)\nWe now bound each term separately. Consider any iPV1. Since,Hcontains no triangle containing\ni,`sA3˘\ni,i“0. So, we have that\n/vextendsingle/vextendsingle/vextendsingleNi,i´rNi,i/vextendsingle/vextendsingle/vextendsingle“/vextendsingle/vextendsingle/vextendsingle/vextendsingle/vextendsingle8ÿ\nk“4αk\nnk´\nsAk¯\ni,i/vextendsingle/vextendsingle/vextendsingle/vextendsingle/vextendsingleď›››››8ÿ\nk“4αk\nnksAk›››››\n2\nď8ÿ\nk“4rOˆα?n˙k\n“rOpα?nq4\n1´rOpα?nq\nSimilarly, for any iPV1andjPV2, note that sA3\ni,j“0becauseHhas no edges between V1andV2,\nand there are no edges within each tripartition Vi. Consequently, by a similar argument as above,\n/vextendsingle/vextendsingle/vextendsingleNi,j´rNi,j/vextendsingle/vextendsingle/vextendsingle“8ÿ\nk“4psA4qi,j“1\n28ÿ\nk“4eJ\nipsAkqei`eJ\njpsAkqej´/vectorδJ\ni,jpsAkq/vectorδi,j\n“1\n2´\neJ\niei`eJ\njej`/vectorδJ\ni,j/vectorδi,j¯›››››8ÿ\nk“4αk\nnksAk›››››\n2\nď28ÿ\nk“4rOˆα?n˙k\n“2rOpα?nq4\n1´rOpα?nq.\nFinally, consider the magnitude of the approximation error betweenrri,jandri,j. We have\n|ri,j´rri,j| ďǫ|ri,j|.\nNote that |ri,j| “ˇˇˇ/vectorδJ\ni,jN/vectorδi,jˇˇˇď }/vectorδi,j}2}N} ď2}`\nI´α\nnsA˘´1} ď2\n1´α\nn}sA}2ď2\n1´rOpα?nq.Plugging these\nestimates of the errors into (5), we get\nˇˇPi,j´sA2\ni,jˇˇďn2\nα2»\n—–rO´\nα?n¯4\n`ǫ\n1´rO´\nα?n¯fi\nffifl.\n22Since/vextendsingle/vextendsingle/vextendsinglesA2\ni,j/vextendsingle/vextendsingle/vextendsingleP t0,1u, to compute sA2\ni,j, we need approximate it to additive 1/2 error. That is, we\nrequire\n1\n1´rOpα?nq„\nrOpα2q `ǫn2\nα2\nă1\n2,\nor equivalently,\nǫăα2´rOpα3?nq\n2n2´rOpα4q\nn2“rOˆ1\nn2˙\n,\nwhere the last step follows from the fact that we can take αto be a sufficiently small constant.\nTherefore, estimates rri,jwithǫ“rOpn´2qfor all ti,ju PE1,2are sufficient to determine if/vextendsingle/vextendsingle/vextendsinglesA2\ni,j/vextendsingle/vextendsingle/vextendsingle\nis 0 or 1. As noted earlier, checking this for all edges in E1,2takesOpnnzpAqqadditional time.\nTherefore, by plugging in ǫ“rOpn´2q, we can use an algorithm that solves the all pairs SDD\neffective resistance estimation problem in rOpn2ǫ´cqtime to solve the triangle detection problem in\nrOpn2n2cq “rOpn2p1`cqqtime whp. and this completes the proof.\nTheorem 9 and Lemma 7 with c“1{2´δimmediately imply our main result Theorem 3.\n4.3 Improved Lower Bounds for Spectral Sum Estimation\nFinally, we discuss our improved lower bounds for various sp ectral sum estimation problems. Analogous\nto Lemma 11, in the following lemma we show that to determine w hether a graph has a triangle\n(i.e.,trpA3\nGq ą0) with constant probability, it suffices to determine whether trpsA3\nGq ą0.\nLemma 13. IftrpA3\nGq “0, thentrpsA3\nGq “0, and iftrpA3\nGq ą0thenP“ˇˇtrpsA3\nGqˇˇą0‰\ně1{4.\nProof. The central idea of the proof is that if a triangle ta,bu,tb,cu,tc,auexists in G, then amongst\nthe 4 possible configurations of the Rademacher random signi ng variables ξa,bandξb,c, at least one\nconfiguration must result inˇˇtrpsA3\nGqˇˇą0.\nAgain for convenience, let sA“sAG. Denote by ξab“ξbathe Rademacher random variable used\nto decide the sign of edge pa,bq. We can write\ntrpsA3q{6“ÿ\ntriangles ti,j,k uinGξijξjkξki“:T (6)\nIftrpA3q ą0, thenGmust have at least one triangle. Consider the following case s:\n1.Ghas an odd number of triangles. In this case, since each term i n the sum in (6) is either `1\nor´1, and there is an odd number of terms in the sum, so trpsA3q ą0wp1.\n2.Ghas an even number of triangles. First, define\nTpa,bq:“ÿ\ntriangles ta,b,k uthat contain ta,buξabξbkξak\nWe now subdivide this into two cases:\n23(a) There exists an edge ta,buthat is part of an odd number of triangles. We can decompose\nthe sum in (6) as follows:\ntrpsA3q{6“Tpa,bqloomoon\nS1`T´Tpa,bqlooooomooooon\nS2\nSuppose there exists some realization of the random variabl esξijsuch that trpsA3q{6“0.\nSinceS1has odd terms, it must be non-zero. By flipping the sign of ξab, we can flip\nthe sign of S1, and so ´S1`S2‰0. Therefore, for every configuration the variables\nξijthat result in a 0 trace, there exists an equally likely config uration that results in\ntrpsA3q{6‰0. Therefore, P“ˇˇtrpsA3qˇˇą0‰\ně1{2.\n(b) Every edge in Gis a part of an even number of triangles. Let ta,b,c ube a triangle in G.\nIn this case, we decompose (6) as follows:\ntrpsA3q{6“ξabξbcξaclooomooon\nS1`Tpa,bq ´ξabξbcξac loooooooooomoooooooooon\nS2`Tpb,cq ´ξabξbcξac loooooooooomoooooooooon\nS3\n`T´Tpa,bq ´Tpb,cq `ξabξbcξac loooooooooooooooooooomoooooooooooooooooooon\nS4\nConsider all 4 possible values of the pair of random variable stξab,ξbcu. Since each Si\nhas an odd number of terms, Si‰0for alli. We observe that it is not possible for all 4\nequally likely configurations of tξab,ξbcuto result in S1`S2`S3`S4“0, so at least\none configuration must result in T‰0. Therefore, P“ˇˇtrpsA3qˇˇą0‰\ně1{4.\nBy following the proof of Theorem 15 from [4], and replacing th eir use of AGwith a symmetric\nrandom signing sAG, we obtain an improved randomized version of their result by leveraging the\nsmaller spectral radius of sAG:\nTheorem 10 (Improved randomized version of Theorem 15 from [4]) .Letf:R`ÑR`be a\nfunction such that it can be expressed as fpxq “ř8\nk“0ckpx´1qkwhere |ck{c3| ďhk´3forką3\nandxP p0,2q. Given an algorithm which takes as input a graph G“ pV,Eqonnnodes and, in\nOpnγǫ´cqtime, outputs an estimate X«ǫ1{9SfpI´δsAGqwithδandǫ1satisfying\nδ“min/visualspace\np?nlogpαnqq´1,p10n3hlogpαnqq´1(\nǫ1“min/visualspace\n1,ˇˇc3δ3{pc0nqˇˇ,ˇˇc3δ{pc2n2qˇˇ(\nfor some constant αą1, we can produce an algorithm that solves the triangle detect ion problem in\nO`\nn2`nγǫ´c\n1˘\ntime whp.\nProof. For convenience, we write A“AG. The proof closely follows the proof of Theorem 15\nfrom [4], but we replace Awith its symmetrically random signed version that we denote sA. We\npresent a full proof here for completeness.\nFirst, we note that by lemma 12, we have that }sA}2ď?nlogαnwhp. for some constant αą1.\nWe define sB“I´δsAand consequently sBis PSD whp. Now, using the definition of f, we have\nnÿ\ni“1σipsBq “nÿ\ni“1fp1´δλipsAqq “nÿ\ni“18ÿ\nk“0ckpδλipsAqqk“8ÿ\nk“0ckδktrpsAkq.\n24We analyze the tail of this power series. Specifically, we hav e\nˇˇˇˇˇ8ÿ\nk“4ckδktrpsAkqˇˇˇˇˇď |c3|δ38ÿ\nk“4ˇˇˇtrpsAkqˇˇˇδk´3ˇˇˇˇck\nc3ˇˇˇˇ. (7)\nNow, we haveˇˇtrpsAkqˇˇď }sA}k´2\n2››sA››\nFďnk{2`1whp. and further nk{2`1ďn3pk´3qfor allką3.\nTherefore, using the definition of δas in the theorem we get that whp.,\nˇˇˇtrpsAkqˇˇˇδk´3ˇˇˇˇck\nc3ˇˇˇˇď1\n10k´3for allką3.\nPlugging into Equation (7), we get\nˇˇˇˇˇ8ÿ\nk“4ckδktrpsAkqˇˇˇˇˇď|c3|δ3\n9.\nThe rest of the proof is essentially identical to the steps in the proof of Theorem 15 in [4], but we\nreproduce them here for completeness.\nUsing the simple facts trpsA0q “n,trpsAq “0andtrpsA2q ďn2, we have\nc0trpsA0q `c1trpsAq `c2trpsA2q ď |c3|δ3`\nc0n{pc3δ3q `c2n2{pc3δq˘\nď|c3|δ3\nǫ1.\nGivenX, inOpnnzpsAqqtime, we can compute\nX´c0n´c2δ2trpsA2q “c3δ3trpsA3q ˘|c3|δ3\n9˘ǫ1\n9ˆ|c3|δ3\n9`c3δ3trpsA3q `|c3|δ3\nǫ1˙\n“c3δ3„\ntrpsA3qˆ\n1˘1\n20˙\n˘1\n3\n.\nThis is sufficient to detect ifˇˇtrpsAqˇˇ“0or ifˇˇtrpsA3qˇˇě1. The final result then follows by applying\nLemma 13.\nWe now prove Theorem 4 by applying this result to the function sfthat define the corresponding\nspectral sums.\nProof of Theorem 4. We apply Theorem 10 to the specific spectral sums in Table 3.\nSchatten 3-norm We have fpxq “x3. Therefore, ck“0forką3. So we apply Theorem 10\nwithh“0and hence δ“rOp1?nqandǫ1“rOp1\nn2.5q.\nSchatten p-norm p‰1,2We have fpxq “xp. Using the Taylor series about 1, we have\nck\nc3ďpk´3for allką3as well asˇˇˇc0\nc3ˇˇˇ“ˇˇˇ1\nppp´1qpp´2qˇˇˇďˇˇˇ1\n2min tp,pp´1q,pp´2quˇˇˇand similarlyˇˇˇc2\nc3ˇˇˇďˇˇˇ1\n2min tp,pp´1quˇˇˇ. Therefore, with h“p, we apply Theorem 10 with δ“rOp1\nn3pqandǫ1“c3δ3\nc0n“\nrOp|mintp,pp´1q,pp´2qu|\nn10p3 q, which gives the result.\nSVD Entropy We have fpxq “xlogx. ForxP p0,2q, using the Taylor Series about 1 we can\nwritexlogx“ř8\nk“0ckpx´1qkwherec0“1log p1q “0,c1“logp1q `1“1, and |ck| “pk´2q!\nk!ď1\nforkě2. So we have ckăc3for allką3,c0\nc3“0andc2\nc3“1\n3. So with h“1, Applying Theorem\n10 withδ“rOp1\nn3qandǫ1“δ\n3n2“rOp1\nn5qgives the result.\n25Log Determinant We have fpxq “logx. ForxP p0,2q, using the Taylor Series about 1 we\ncan write logx“ř8\nk“0ckpx´1qkwherec0“0,|ci| “1{iforiě1. Again we have ckăc3for\nallką3andc0\nc3“0whilec2\nc3“3\n2. So with h“1, Applying Theorem 10 with δ“rOp1\nn3qand\nǫ1“δ\n3n2“rOp1\nn5qgives the result.\nTrace of Exponential We have fpxq “ex. Using the Taylor Series about 1we can write\nex“ř8\nk“0epx´1qk\nk!. We havec0\nc3“6,c2\nc3“3, andckăc3for allkě3. So with h“1, Applying\nTheorem 10 with δ“rOp1\nn3qandǫ1“c3δ3\nc0n“rOp1\nn10qgives the result.\n5 Conclusion\nIn this paper we provided improved upper and lower bounds on t he problem of estimating and\nsketching effective resistances on expanders. On the algori thmic side we show how sketches tailored\ntoℓ1when carefully applied to asymmetric formulations of the qu adratic form of the Laplacian\npseudoinverse gave our results. On the lower bound side, we p rovided an alternative to the trace\nestimation approach of [4] for showing lower bounds and coup led it with techniques of randomly\nsigning edges of the graph to obtain our results. Further, we showed that these techniques had\nbroader implications for addressing algorithmic challeng es in numerical linear algebra.\nBeyond the natural open problem of improving both our upper an d lower bounds towards\nbringing them together there are interesting open problems in broadening the applicability of both\nour upper and lower bounds. For example, obtaining an rOpmǫ´1qtime algorithm for estimating\nthe effective resistance of all edges in a general (non-expan der) graph and extending our rΩpn2ǫ´1{2q\nlower bounds to deterministic algorithms remain interesti ng open problems. We hope that the\nresults of this paper provide useful tools for addressing ea ch.\nAcknowledgements We thank Hongyue Li for helpful discussions and work on this p roject at\nvarious stages. Aaron Sidford was supported in part by a Micr osoft Research Faculty Fellowship,\nNSF CAREER Award CCF-1844855, NSF Grant CCF-1955039, a PayP al research award, and a\nSloan Research Fellowship.\nReferences\n[1] Timothy Chu, Yu Gao, Richard Peng, Sushant Sachdeva, Sau rabh Sawlani, and Junxing\nWang. Graph sparsification, spectral sketches, and faster r esistance computation, via short\ncycle decompositions, 2018.\n[2] Arun Jambulapati and Aaron Sidford. Efficient rOpn{ǫqspectral sketches for the laplacian and\nits pseudoinverse, 2018.\n[3] Lawrence Li and Sushant Sachdeva. A new approach to estim ating effective resistances and\ncounting spanning trees in expander graphs, 2022.\n[4] Cameron Musco, Praneeth Netrapalli, Aaron Sidford, Sha shanka Ubaru, and David P Woodruff.\nSpectrum approximation beyond fast matrix multiplication : Algorithms and hardness. arXiv\npreprint arXiv:1704.04163 , 2017.\n[5] Aleksander Madry, Damian Straszak, and Jakub Tarnawski . Society for Industrial and Applied\nMathematics, dec 2014.\n26[6] Karel Devriendt. Effective resistance is more than dista nce: Laplacians, simplices and the schur\ncomplement. Linear Algebra and its Applications , 639:24–49, apr 2022.\n[7] Huan Li and Zhongzhi Zhang. Kirchhoff index as a measure of edge centrality in weighted\nnetworks: Nearly linear time algorithms. In Proceedings of the Twenty-Ninth Annual ACM-\nSIAM Symposium on Discrete Algorithms , pages 2377–2396. Society for Industrial and Applied\nMathematics, jan 2018.\n[8] Douglas Klein and Milan Randic. Resistance distance. Journal of Mathematical Chemistry ,\n12:81–95, 12 1993.\n[9] Daniel A. Spielman and Nikhil Srivastava. Graph sparsifi cation by effective resistances, 2009.\n[10] Yin Tat Lee and He Sun. Constructing linear-sized spect ral sparsification in almost-linear time,\n2015.\n[11] David Durfee, Yu Gao, Gramoz Goranci, and Richard Peng. Fully dynamic spectral vertex\nsparsifiers and applications. In Proceedings of the 51st Annual ACM SIGACT Symposium on\nTheory of Computing , pages 914–925, 2019.\n[12] Rakshith S Srinivasa, Cao Xiao, Lucas Glass, Justin Rom berg, and Jimeng Sun. Fast graph\nattention networks using effective resistance based graph s parsification, 2020.\n[13] Tasweer Ahmad, Lianwen Jin, Luojun Lin, and GuoZhi Tang . Skeleton-based action recognition\nusing sparse spatio-temporal gcn with edge effective resist ance.Neurocomputing , 423:389–398,\n2021.\n[14] Dehua Cheng, Yu Cheng, Yan Liu, Richard Peng, and Shang- Hua Teng. Efficient sampling\nfor gaussian graphical models via spectral sparsification. In Peter Grünwald, Elad Hazan,\nand Satyen Kale, editors, Proceedings of The 28th Conference on Learning Theory , volume 40\nofProceedings of Machine Learning Research , pages 364–390, Paris, France, 03–06 Jul 2015.\nPMLR.\n[15] Daniele Calandriello, Alessandro Lazaric, Ioannis Ko utis, and Michal Valko. Improved large-\nscale graph learning through ridge spectral sparsification . In Jennifer Dy and Andreas Krause,\neditors, Proceedings of the 35th International Conference on Machin e Learning , volume 80 of\nProceedings of Machine Learning Research , pages 688–697. PMLR, 10–15 Jul 2018.\n[16] Alexander Mercier, Samuel Scarpino, and Cristopher Mo ore. Effective resistance against\npandemics: Mobility network sparsification for high-fideli ty epidemic simulations. PLOS\nComputational Biology , 18(11):e1010650, nov 2022.\n[17] Paul Christiano, Jonathan A. Kelner, Aleksander Madry , Daniel A. Spielman, and Shang-\nHua Teng. Electrical flows, laplacian systems, and faster ap proximation of maximum flow in\nundirected graphs, 2010.\n[18] Aleksander Madry. Navigating central path with electr ical flows: from flows to matchings, and\nback, 2013.\n[19] Aleksander Madry. Computing maximum flow with augmenti ng electrical flows, 2016.\n[20] Jan van den Brand, Yu Gao, Arun Jambulapati, Yin Tat Lee, Y ang P Liu, Richard Peng, and\nAaron Sidford. Faster maxflow via improved dynamic spectral vertex sparsifiers. In Proceedings\nof the 54th Annual ACM SIGACT Symposium on Theory of Computin g, pages 543–556, 2022.\n27[21] Jan Van Den Brand, Yin Tat Lee, Yang P Liu, Thatchaphol Sar anurak, Aaron Sidford, Zhao\nSong, and Di Wang. Minimum cost flows, mdps, and ℓ1-regression in nearly linear time for\ndense instances. In Proceedings of the 53rd Annual ACM SIGACT Symposium on Theor y of\nComputing , pages 859–869, 2021.\n[22] Yin Tat Lee and Aaron Sidford. Path finding methods for li near programming: Solving linear\nprograms in o (vrank) iterations and faster algorithms for m aximum flow. In 2014 IEEE 55th\nAnnual Symposium on Foundations of Computer Science , pages 424–433. IEEE, 2014.\n[23] David Durfee, Rasmus Kyng, John Peebles, Anup B. Rao, and Sushant Sachdeva. Sampling\nrandom spanning trees faster than matrix multiplication, 2 017.\n[24] Aaron Schild. An almost-linear time algorithm for unif orm random spanning tree generation.\nInProceedings of the 50th Annual ACM SIGACT Symposium on Theor y of Computing , STOC\n2018, page 214–227, New York, NY, USA, 2018. Association for Computing Machinery.\n[25] Aleksander Madry, Damian Straszak, and Jakub Tarnawsk i. Fast generation of random\nspanning trees and the effective resistance metric. In Proceedings of the twenty-sixth annual\nACM-SIAM symposium on Discrete algorithms , pages 2019–2036. SIAM, 2014.\n[26] Vedat Levi Alev, Nima Anari, Lap Chi Lau, and Shayan Ovei s Gharan. Graph clustering using\neffective resistance, 2017.\n[27] Zhiqiang Zhao and Zhuo Feng. Effective-resistance pres erving spectral reduction of graphs. In\nProceedings of the 56th Annual Design Automation Conferenc e 2019 , DAC ’19, New York, NY,\nUSA, 2019. Association for Computing Machinery.\n[28] Francesco Di Giovanni, Lorenzo Giusti, Federico Barber o, Giulia Luise, Pietro Lio’, and Michael\nBronstein. On over-squashing in message passing neural netw orks: The impact of width, depth,\nand topology, 2023.\n[29] Pradeep Kr. Banerjee, Kedar Karhadkar, Yu Guang Wang, Ur i Alon, and Guido Montúfar.\nOversquashing in gnns through the lens of information contr action and graph expansion. In\n2022 58th Annual Allerton Conference on Communication, Con trol, and Computing (Allerton) ,\npage 1–8. IEEE Press, 2022.\n[30] Mitchell Black, Amir Nayyeri, Zhengchao Wan, and Yusu Wa ng. Understanding oversquashing\nin gnns through the lens of effective resistance, 2023.\n[31] Ameya Velingker, Ali Kemal Sinop, Ira Ktena, Petar Veli vcković, and Sreenivas Gollapudi.\nAffinity-aware graph networks, 2022.\n[32] Gramoz Goranci, Monika Henzinger, and Pan Peng. Dynami c effective resistances and\napproximate schur complement on separable graphs, 2018.\n[33] Michael Dinitz, Robert Krauthgamer, and Tal Wagner. To wards resistance sparsifiers, 2015.\n[34] Virginia Vassilevska Williams and R. Ryan Williams. Su bcubic equivalences between path,\nmatrix, and triangle problems. J. ACM , 65(5), aug 2018.\n[35] Ran Duan, Hongxun Wu, and Renfei Zhou. Faster matrix mul tiplication via asymmetric\nhashing, 2023.\n28[36] Alexandr Andoni, Jiecao Chen, Robert Krauthgamer, Bo Qi n, David P. Woodruff, and Qin\nZhang. On sketching quadratic forms, 2015.\n[37] Huan Li and Aaron Schild. Spectral subspace sparsificat ion. In 2018 IEEE 59th Annual\nSymposium on Foundations of Computer Science (FOCS) , pages 385–396, 2018.\n[38] Yu Gao, Yang Liu, and Richard Peng. Fully dynamic electr ical flows: Sparse maxflow faster\nthan goldberg–rao. SIAM Journal on Computing , 0(0):FOCS21–85–FOCS21–156, 0.\n[39] Jan van den Brand, Yu Gao, Arun Jambulapati, Yin Tat Lee, Y ang P. Liu, Richard Peng, and\nAaron Sidford. Faster maxflow via improved dynamic spectral vertex sparsifiers. In Stefano\nLeonardi and Anupam Gupta, editors, STOC ’22: 54th Annual ACM SIGACT Symposium on\nTheory of Computing, Rome, Italy, June 20 - 24, 2022 , pages 543–556. ACM, 2022.\n[40] Ignacio Garcia-Marco, Pascal Koiran, Timothée Pecatt e, and Stéphan Thomassé. On the\ncomplexity of partial derivatives, 2017.\n[41] Jacques Morgenstern. Note on a lower bound on the linear complexity of the fast fourier\ntransform. J. ACM , 20(2):305–306, apr 1973.\n[42] Amir Shpilka. Lower bounds for matrix product, 2002.\n[43] Virginia Vassilevska Williams, Eyob Woldeghebriel, a nd Yinzhan Xu. Algorithms and lower\nbounds for replacement paths under multiple edge failures, 2022.\n[44] Mahdi Boroujeni, Sina Dehghani, Soheil Ehsani, Mohamma dTaghi HajiAghayi, and Saeed\nSeddighin. Subcubic equivalences between graph centralit y measures and complementary\nproblems, 2019.\n[45] William B. Johnson. Extensions of lipschitz mappings in to hilbert space. Contemporary\nmathematics , 26:189–206, 1984.\n[46] Dimitris Achlioptas. Database-friendly random proje ctions. In Proceedings of the Twentieth\nACM SIGMOD-SIGACT-SIGART Symposium on Principles of Datab ase Systems , PODS ’01,\npage 274–281, New York, NY, USA, 2001. Association for Compu ting Machinery.\n[47] Moses Charikar, Kevin Chen, and Martin Farach-Colton. Finding frequent items in data\nstreams. Theoretical Computer Science , 312(1):3–15, 2004. Automata, Languages and\nProgramming.\n[48] Kasper Green Larsen, Rasmus Pagh, and Jakub Tetek. Coun tsketches, feature hashing and\nthe median of three. CoRR , abs/2102.02193, 2021.\n[49] Rasmus Kyng and Sushant Sachdeva. Approximate gaussia n elimination for laplacians: Fast,\nsparse, and simple, 2016.\n[50] Jonathan A. Kelner, Lorenzo Orecchia, Aaron Sidford, a nd Zeyuan Allen Zhu. A simple,\ncombinatorial algorithm for solving sdd systems in nearly- linear time, 2013.\n[51] Daniel A. Spielman and Shang-Hua Teng. A local clusteri ng algorithm for massive graphs and\nits application to nearly-linear time graph partitioning, 2008.\n[52] Arun Jambulapati and Aaron Sidford. Ultrasparse ultra sparsifiers and faster laplacian system\nsolvers, 2023.\n29[53] Jeff Cheeger. A Lower Bound for the Smallest Eigenvalue of the Laplacian , pages 195–200.\nPrinceton University Press, Princeton, 1971.\n[54] Neha Gupta and Aaron Sidford. Exploiting numerical spa rsity for efficient learning : Faster\neigenvector computation and regression. CoRR , abs/1811.10866, 2018.\n[55] Prateek Jain, Chi Jin, Sham M. Kakade, and Praneeth Netr apalli. Global convergence of\nnon-convex gradient descent for computing matrix squarero ot, 2017.\n[56] Suk-Geun Hwang. Cauchy’s interlace theorem for eigenv alues of hermitian matrices. The\nAmerican mathematical monthly , 111(2):157–159, 2004.\n[57] Joel A Tropp. User-friendly tail bounds for sums of rand om matrices. Foundations of\ncomputational mathematics , 12:389–434, 2012.\n30" }, { "title": "2109.08018v1.On_the_design_of_particle_filters_inspired_by_animal_noses.pdf", "content": "On the design of particle \flters inspired by animal noses\nJisoo Yuk,1Aneek Chakraborty,2Shyuan Cheng,3Chun-I Chung,3Ashley\nJorgensen,4Saikat Basu,4,\u0003Leonardo P. Chamorro,3,yand Sunghwan Jung1,z\n1Department of Biological and Environmental Engineering,\nCornell University, Ithaca, NY 14850, United States\n2Department of Mechanical Engineering, Jadavpur University, Kolkata, WB 700032, India\n3Department of Mechanical Science and Engineering, Urbana, IL 61820, United States\n4Department of Mechanical Engineering, South Dakota State University, Brookings, SD 57007, United States\n(Dated: September 17, 2021)\nPassive \fltering is a common strategy used to reduce airborne disease transmission and particulate\ncontaminants in buildings and individual covers. The engineering of high-performance \flters with\nrelatively low \row resistance but high virus- or particle-blocking e\u000eciency is a nontrivial problem of\nparamount relevance, as evidenced in the variety of industrial \fltration systems and the worldwide\nuse of face masks. In this case, standard N95-level covers have high virus-blocking e\u000eciency, but\nthey can cause breathing discomfort. Next-generation industrial \flters and masks should retain suf-\n\fciently small droplets and aerosols while having low resistance. We introduce a novel 3D printable\nparticle \flter inspired by animals' complex nasal anatomy. Unlike standard random-media-based\n\flters, the proposed concept relies on equally spaced channels with tortuous air\row paths. These\ntwo strategies induce distinct e\u000bects: a reduced resistance and a high likelihood of particle trapping\nby altering their trajectories with tortuous paths and induced local \row instability. The structures\nare tested for pressure drop and particle \fltering e\u000eciency over a wide range of air\row rates. We\nhave also cross-validated the observed e\u000eciency through numerical simulations. The designed \flters\nexhibit a lower pressure drop than the commercial mask and air \flters (N95, surgical, and high-\ne\u000eciency particulate air (HEPA)). The concept provides a new approach to developing scalable,\n\rexible, high-e\u000eciency air \flters for various engineering applications.\nIntroduction\nThe control of airborne disease transmission and contaminants has been of central priority across various scienti\fc\nand engineering disciplines in the last decades. Despite the technological advancements, the emergence of new material\ndesigns [1] and a better understanding of the particle-capture processes, passive \fltering remains a widely used method\nto address multiple needs across scales and operational requirements. Most standard \flters on the market rely on\nporous membranes and \fbrous structures [2, 3]. However, high \fltration e\u000eciency requires su\u000eciently small pores\nand holes, which may induce excessive pressure drop and, eventually, clogging [3]. Fiber-based \flters consist of\nrandom arrangements of \fber networks of di\u000berent composition [4], where interception, inertial impact, di\u000busion, and\ngravitational settling [5] are the main mechanisms to capture particles.\nPhysical experiments, numerical simulations, and mathematical modeling [6, 7] have been extensively used to char-\nacterize the \fltration e\u000eciency, which provide new insight into an optimal design and underlying working principles.\nAnalysis of pores in a statistically homogeneous, isotropic, or anisotropic grid of \fbers by Castro and Ostoja-Starzewski\n[8] and Bliss et al. [9] showed that the probability of particulate retention approaches to unity only in the limit of in-\n\fnitely dense systems. They pointed out that there are always comparatively large pores where particles can percolate\nin any randomly-structured \flters.\nFiber-based \flters are ubiquitous in heating, ventilation, air conditioning (HVAC) systems, industrial processes and\npersonal respirators. Both solid and liquid aerosols, which are primary targets in designing such \flters, can severely\nimpact human health. For instance, particulate matters of sizes smaller than 2.5 \u0016m can reach the thoracic region\nand the circulatory system and cause respiratory and cardiovascular diseases [10]. In the particular case of droplets\n(typically &5\u0016m) and aerosols ( .5\u0016m) generated via coughing, sneezing, and speech have also been shown to\ntransport various pathogens [11, 12]. In general, the hazardous size range for inhaled droplets and aerosols that may\ntrigger the initial infection hot-spot along the upper airway is roughly 2 { 20 \u0016m [13]. Although increasing a \flter's\nparticle-capture e\u000eciency could be modulated by, e.g., smaller inlet holes and multiple layers of \fbers, the process is\n\u0003Electronic address: Saikat.Basu@sdstate.edu\nyElectronic address: lpchamo@illinois.edu\nzElectronic address: sunnyjsh@cornell.eduarXiv:2109.08018v1 [physics.med-ph] 5 Sep 20212\n3D reconstruction of Pig (PG) Coronal scan (for PG)Nasal cavity \ncross-section (for PG)\nGap thickness (mm)Radius of curvature \nPG(a)5 cm1 cm\n1 cm\n(b)\n5 mm(c)\n(d)\nNorthern Quoll (NQ)\nGreater Glider (GG)\nOpossum (OS) Guinea Pig (GP)\nPotoroo (PR) Rabbit (RB)\nDog (DG)\nHMDG PRRB GGGPNQOST Tortuosity\nT\n0 1 2 30246\n123\n05\n4610-110010110-1100101\nW\nPG\nHuman (HM)DGPR\nRB\nGG\nGPNQ\nOS\nOur filter design(mm)-1\nFIG. 1: (a) 3D reconstructed model and coronal view from computed tomography (CT) imaging of an adult pig. The image\non the far right shows only the nasal airspace (in black), extracted from the CT scan. (b) Coronal views of the nasal structure\nof various animals (Northern quoll, opossum, greater glider, and potoroo images are from [18]. Guinea pig image is from [20].\nRabbit image is from [21]. Dog image is from [22].) (c) Relationship between the gap thickness and the radius of curvature\nmeasured in 9 animals' skulls; the black-dotted line of unity slope is included for reference. (d) Measured tortuosity from the\nvarious animals' nasal cavity structures. Here, the x-axis is de\fned by the animal weight, and the light red region indicates the\ntortuosity range of the proposed \flter designs.\nstill at the expense of higher power requirements to drive air transport. Capturing e\u000eciency and associated pressure\ndrop are central factors in designing a \flter [14]. The latter is linearly related in Stokes \rows and exhibits a quadratic\nrelationship at su\u000eciently high Reynolds numbers.\nAnimals are known to have a sense of smell much better than humans; e.g., approximately 50 times for mice, 200\ntimes for dogs, and 700 times for pigs [15]. Most of those with highly evolved olfactory systems have tortuous air\npathways along the nasal cavity with long and curved turbinates that split and stretch the inhaled air's streamlines.\nThe multiscale morphological structures and other biological features help capture tiny particles from the inhaled air\nonto the olfactory epithelium [16, 19]. Airpath twists induce secondary \rows, including the so-called Dean's pattern.\nSuch motions increase the residence time of particles traveling into the nose system and the likelihood of capture,\nresulting in highly e\u000ecient \fltering at a relatively low-pressure drop.\nInspired by this biological mechanism, we have developed 3D-printable \flters that employ distinct features of an-\nimals' nasal structures. Our passive \flter concept comprises a parallel array of conduits with organized, simpli\fed\ntortuosity set by analyzing the characteristic dimensions of animals' nasal cavities through CT scan images. The\npressure drop and particle \fltering e\u000eciency of the proposed concept are measured through experiments and simula-\ntions and are compared with their commercial counterparts. It is also worth pointing out that \fber-baser commercial\n\flters may change \fltration e\u000eciency and pressure drop when exposed to solid-liquid and liquid-solid aerosols [17];\nhowever, the performance of the proposed concept is agnostic to the constitution of particulates and droplets.3\n0 Pa\n-50 Pa\nT= 1 1.4 1.8 2.0 1.8 2.0\n-75 Pa0 Pa(a) (b) (c) (d)\nLW\nFIG. 2: (a) Schematics of \flter conduits with di\u000berent tortuosity, T; b) examples of simulated streamlines in a single \flter\npathway highlighting complex mean \row trajectories; c) example of 'packed' conduits for reduced pressure drop; d) illustration\nof experimental particle characterization using Gaussian kernel.\nResults\nAnimal nasal cavity analysis\nWe \frst characterized the nasal cavity structure of 9 distinct mammal species (see Methods & Materials). Fig.\n1a,b illustrates cross-sectional views of nasal cavities of selected adult mammals. These images are anatomical or\nCT-scanned photos in a plane perpendicular to a line connecting the nostril and the nasopharynx, i.e., coronal view.\nThe air pathway has a complicated labyrinth-like structure; the inhaled and exhaled air takes tortuous paths. The\nactual air pathway follows a complex 3D trajectory in the connected ways of the coronal and sagittal planes induced\nby the changes in direction and local gap thickness. This contributes to most olfactory air\row departing from the\nmain respiratory air\row path in the sagittal plane to the dorsal side in the coronal plane [24]. We particularly explored\nthe geometric properties in the coronal plane to understand how odorant particles can be captured by the olfactory\nmucosa on the nasal wall.\nBasic assessment is done by quantifying and comparing features of nasal cavity geometries, including the gap\nthickness,W, the radius of curvature, \u0014\u00001, and the tortuosity, T, which are illustrated in Fig. 1c,d. Here, the\ntortuosity is de\fned as the ratio of the arc length of a branch, Larc, to the shortest Euclidean distance between two\npoints,L. We skeletonized the cross-sectional images and de\fned a branch as connected lines between neighboring\npixels to determine T; see SI Appendix , section A for details.\nIn general, the nasal cavities of large animals, except for humans, have large characteristic length scales, namely,\nthe radius of curvature and gap thickness, which follow an allometric relation \u0014\u00001\u00191:14W0:98or\u0014W0:98\u00191:14.\nHowever, the nasal structure of humans does not adhere to this trend; it has a comparatively much larger radius\nof curvature to its gap thickness. The distinct geometric features shared by the animals and not by humans may\ncontribute mechanically to their superior sense of smell. The nasal cavity of pigs shows that the air passage swirls in a\nspiral fashion (Fig. 1a), which produces the highest tortuosity among the other animals. Comparatively small animals\nsuch as marsupials and rodents, Potoroo with longer curvy branches, exhibit the second-highest tortuosity. Other\nanimals also have similar complex nasal structures, but shorter and more straight branches resulting in comparatively\nlow tortuosity. The air is locally connected through various routes within nasal networks, resulting in a broad range\nof tortuosity measured between 1.2 and 3.3 with a signi\fcant deviation. The method of measuring the tortuosity in\nthis study is limited because it does not re\rect the complex route of air\row. Instead, by measuring the tortuosity\nof the branches, the pathway of odorant particles can be inferred. Consequently, the tortuosity on the coronal plane\napproximately captures the complexity of the structure of the nasal bones of animals and provides a guide for the\ndesign of synthetic \flters.\nBasic \flter geometry\nTaking into account the value of the basic parameters obtained in the animal noses, we designed test \flters consisting\nof arrays of conduits containing \fve levels of tortuosity, T, following the allometric relationship shown in Fig. 1c. Fig.\n2 illustrates a straight ( T= 1.0) and tortuous ( T= 1.4, 1.8 and 2) channels with square cross-sections. The conduits4\nTPhysical \nexperimentsNumerical \nsimulations\n1.0\n1.19\n1.4\n1.58\n1.8\nSurgical mask\nN951.0\n1.19\n1.4\n1.58\n1.8\nFlow rate (L/min)0 10 20 30 40 50 60 70 80 90Pressure drop (Pa)600\n500\n400\n300\n200\n100\n0(a)\n(b)\nNormalized K2.0\n1.5\n1.0\n0.5\n0\nT= 1.0T= 1.19 T= 1.4T= 1.58T= 1.8\nSurgical maskN95 HEPA \nh27p3.5\nFIG. 3: (a) Pressure drop across \flters with di\u000berent tortuosity values, T. The corresponding measurements for the surgical\nmask, N95 and HEPA \flters are included for reference [23]. (b) Pressure loss coe\u000ecient K, normalized with respect to that of\nthe surgical mask.\nFilter efficiency (%)100\n80\n60\n40\n20\nParticle diameter d (μm)9 10 11 12 13 14100\n80\n60\n40\n20\nParticle diameter d (μm)9 10 11 12 13 14T= 1.3, W = 0.4 mm\nT= 2.0, W = 0.7 mm T= 1.3, W = 0.7 mm\nT= 2.0, W = 0.4 mmT= 1.3, W = 0.4 mm(a) (b) (c)\nPhysical\nexperimentsNumerical\nsimulations\nU = 0.2 m/sU = 0.4 m/s100\n90\n80\n70\n60\n50\n40\n0.006 0.008 0.01 0.012 0.014 0.016Stokes number (Stk)Filter efficiency (%)\nFIG. 4: Particle \fltering for a mean velocity of (a) 0.2 m/s and (b) 0.4 m/s; experiments include double cartridges. (c)\nDeposition e\u000eciency versus Stokes number.\nare tortuous with sharp 90\u000eturns and side walls of W= 1 orW= 0:4 mm. The range of tortuosity set, T 2[1;2:0],\ncovers most animal's nasal characteristics except for pigs.5\nFilter pressure-drop\nThe tortuous \flters are \frst tested for the pressure drop, \u0001 P, across 60 mm circular cross-section cartridges of 10\nmm thickness containing 312 conduits arranged regularly within a 50 mm diameter region. Note that the geometry\nof the conduits allows for compact packing that minimizes material requirement and pressure drop (see an example\nin Fig. 2c). However, cartridges with an optimized layout are not needed here; a single conduit arrangement in an\naligned pattern is better than typical \flters such as N95, surgical mask, and HEPA. As expected, the con\fgurations\nwith a higher level of tortuosity produce higher pressure drop for a given \row rate due to the local loss induced by\nthe channel bends and longer pathway; see Fig. 3a. Surgical mask, N95, and HEPA \flters are used as reference cases\nto compare the relative pressure of the proposed \flters.\nThe bulk pressure loss coe\u000ecient, K, of the various \flters can be characterized as \u0001 P=\u001ag =KU2=2g. Here,\u001a\nis the air density, gis the gravitational constant, and Uis the averaged incoming airspeed. The pressure drop and\ncharacteristic coe\u000ecient Kfor each \flter are shown in Fig. 3b. The new \flters have a substantially lower pressure\ncoe\u000ecient than the N95, surgical mask, and HEPA \flters. In particular, the highest pressure coe\u000ecient of the \flter\ncartridge is still less than half of those of the surgical mask; K(T= 1:8)\u00190:5Ksurgical . It indicates the direct bene\ft\nof these \flters when used as respirators, and the reduced likelihood of air leakage from the boundaries of commercial\nmasks. Complementary numerical simulations of \flter cartridges performed for four \row rates using averaged Navier\nStokes equations are shown with open symbols in Fig. 3b.\nParticle capture performance\nThe particle capture e\u000eciency of the \flters as a function of \row velocity and tortuosity is illustrated in Fig. 4.\nThe higher \fltering e\u000eciency is achieved by both decreasing freestream velocity and increasing \flter tortuosity. A\ncomparatively greater increase in e\u000eciency for smaller particles (i.e., diameter d\u001412\u0016m) is achieved with reduced\nin\row velocity; increasing tortuosity from 1.3 to 2, produces a small increment in e\u000eciency for all particle sizes as\nshown in Fig. 4a. Fig. 4c shows the \fltering e\u000eciency versus the particle Stokes number, Stk. Here, Stk = d\u001apU=(18\u0016)\nis the Stokes number and \u001apis the density of particle and \u0016is the air dynamic viscosity. Two critical Stk values are\nobserved for in\row velocities U= 0:2 and 0.4 m/s, where the typical positive correlation between deposition e\u000eciency\nand Stokes number [25{27] is followed for di\u000berent particle sizes; however, this does not hold for the change in in\row\nvelocity. This can be explained by the lower tangential rebound angle for higher in\row velocity caused by a much\nlower coe\u000ecient of restitution [28], demonstrating that both rebound angle after a collision and Stk e\u000bects are crucial\nduring the particle trapping process within tortuous passages.\nThe particle capturing simulations in single tortuous pathways of tortuosity 1.3 and 2 agree with the trends from\nthe experimental results. The higher velocity of air\row shows lower capturing e\u000eciency at smaller diameters, whereas\nabove 13\u0016m particles, the e\u000eciency is close to 100% for both tortuosities. Tortuosity increase (turns in the pathway\ngeometry) showed enhanced capturing e\u000eciency.\nDiscussion\nUnderlying bioinspiration of our \flter design comprises adopting the well-evolved morphological nasal structures\nseen in high-olfactory animals, that assist in e\u000ecient capture of \fne particles embedded in inhaled air onto the\nolfactory epithelium while maintaining a low pressure drop, thereby allowing easy breathing. The newly-engineered\n\flter system aims to achieve similar goals; high particle capturing e\u000eciency at relatively low-pressure drop. The CT-\nscanned images of 9 mammal nasal structures showed very tortuous intranasal air paths, quanti\fed by the tortuosity\nparameterTthat varied between 1.2 and 3.3, and the radius of curvature was linearly proportional to the gap\nthickness. Based on these basic morphological relationships in animals, we designed \flters with simpli\fed geometries\nand manufactured them using home-use and professional 3D printers. Laboratory tests showed that the pressure drop\nacross the \flter increases with tortuosity owing to the additional curves traversed by the incoming air; however, this\npressure gradient requirement was in fact almost two times smaller than that of commercial \flters (surgical, N95,\nand HEPA), despite the arrangements of the tortuous conduits being not e\u000eciently packed. The \fltration capability\ncan be adjusted easily by changing the tortuosity levels and adding \flter layers, if needed. Pressure drop can also be\nreduced with e\u000ecient conduit packing.\nOur novel \flter design can be useful for many industrial and biomedical applications in which high collection\ne\u000eciency and low-pressure drop are crucial. For example, many have experienced a shortage of personal protective\ndevices and medical ventilators during the peak of the pandemic in 2020. At a small scale, our 3D-printed model can6\nreplace other mask \flters to reduce the pressure drop while supporting high particle capturing rates. It should be also\nbe noted that this bioinspired \flter concept is also signi\fcantly scalable for various applications that may demand\nindustry-level air \fltering.\nAnimal nasal analysis using CT scan images\nCT scan images for the pig were taken using Toshiba Aquilion 16-slice CT-Scanner, which provides 0.5 mm slice\nresolution. The Dasyurus hallucatus (Northern Quoll), Caluromys philander (Bare-tailed woolly opossum), Petau-\nroides volans (greater glider), and Potorous tridactylus (Long-nosed potoroo)'s CT scan images were acquired by\nDr. Macrini [18]. For the rabbit, the dorsal view of histological section was acquired from Casteleyn et al. [21]. The\nhigh-resolution magnetic resonance image of the dog was obtained from Craven et al. [22]. Guinea pig's CT scan\nimages were obtained from Ms. Jeri Rodgers [20]. The nasal cavity portion from the coronal view of nasal images\nobtained from MATLAB impage processing toolbox was processed as a black and white binary image to measure the\ngap thickness, curvature, and tortuosity using ImageJ software. The measurement method of each characteristic is\ndescribed in the SI Appendix , section A.\nDesign and 3D-printing of mask \flters\nWe utilized key common features of the nasal structure of mammalian turbinates. This structure compartmentalizes\nthe incoming air\row into several tortuous channels in a distinct way that maximizes particle capture. Following a\nsimilar relation between the tortuosity and channel width, we design 3D mask structures. The designed \flters\n(T 2[1;1:8]) were sliced through Cura software (Ultimaker Ltd). The print settings for slicing are set as 0.2 mm for\nthe layer height, 0.4 mm for the line width, 100 % for the in\fll percentage, and 0.8 mm for the wall thickness. The\n\flters were 3D printed by using Ultimaker s5 (Ultimaker Ltd). For the highest tortuous \flter T= 2:0, Shapeways\ncorp. used the ProJet ®MJP 3500 (3D System Ltd) printer with the VisiJet ®M3 Crystal material.\nExperimental set-up for pressure drop measurements\nA piston was used to control the air \row through the \flter, while measuring the pressure drop across the \flter.\nThe piston setting consisted of three parts. A 2-in pipe size of the clear rigid pipe (49035K48, McMaster-Carr Supply\nCo.) was used for the inlet part. A 2-pipe female socket connector (9161K46, McMaster-Carr Supply Co.) is used for\nthe middle part where the \flter cartridge is located. The last outlet part is composed with a 2-in pipe size of clear\nrigid pipe (49035K48, McMaster-Carr Supply Co.) and piston. To construct the piston, a 3-in pipe size of the clear\nrigid pipe (49035K49, McMaster-Carr Supply Co.) was used for the inner piston, and a 4-in pipe size of clear rigid\npipe (49035K51, McMaster-Carr Supply Co.) was used for the outer surrounding inner piston. In addition, a straight\nreducer (4880K018, McMaster-Carr Supply Co.) was used to connect 2-in pipe size and 4-in pipe size of clear rigid\npipe.\nSmall holes upwind and downwind the \flter cartridge were used to measure pressure drop. A 90\u000eanemometer was\nconnected to the pressure sensor and Data Acquisition Card (DAQ Card, DAQ Systems by NI ™). The analog input\nvoltage data was measured through the DAQ Card was converted to pressure through a calibration curve following\nstandard procedure (see SI Appendix , section B). Also, the speed of air\row versus piston speed was measured by\nusing laser sheets, fog particles, and a high-speed camera. The fog particle was injected into the left side of the \flter,\nthen it was inhaled to the right side by the piston moving. High-speed videos were used for velocimetry using PIVlab\nsoftware as a complement.\nExperimental set-up for particle capturing e\u000eciency\nThe particle capturing e\u000eciency of the designed \flters was experimentally measured in a 500 mm long, 40 mm\nwide, and 40 mm high wind tunnel located in the Renewable Energy and Turbulent Environment Laboratory at the\nUniversity of Illinois. A Sunon Fans 12V DC brushless fan is installed upwind of the inlet followed by a contraction\nsection with an area ratio of 25:1. A \row straightener was installed near fans and another was installed at the\nbeginning of the channel to ensure \row uniformity. The fan generates volumetric air \rows up to 24 cubic feet per\nminute (CFM). A single ADG-SK508 compression type nozzle is used to generate particles of diameters ranging from\n8\u0016m to 15\u0016m, which approximately mimics the particle condition of human breathing [29]. Aqueous chlorophyll7\nsolution of about 2 :4% by volume was used as the \ruid feeding into the nebulizer to increase particle light re\rectivity\nto a 532\u0016m wavelength laser. This allowed for particle sizing and distribution.\nTwo \feld of views (FOVs) of interest are selected with their center located 40 mm and 80 mm upwind and downwind\nthe \flter. The incoming and \fltered particle size and shape distributions were acquired across a streamwise plane\nusing a low-speed, high-resolution planar PIV system from TSI. Two cameras both equipped with 25 mm, F 2.8 LOWA\nultra macro-lens with 5X magni\fcation, were used to interrogate two 3.87 mm \u00022.90 mm \feld of views (FOVs).\nThe FOVs were located at the center of the wind tunnel in both spanwise and wall normal direction. The FOVs\nwere illuminated from a 250 mJ/pulse Quantel double-pulsed laser. Five sets of 100 image pairs were collected at an\nacquisition frequency of 2.4 Hz, using a pair of 8MP (3320 \u00021560 pixels) CCD cameras with 16 bit frame-straddle.\nParticle Size Analysis\nThe iterative Laplacian of Gaussian (LoG) \fltering is used for particle sizing. Generalized LoG \flter, as introduced\nin Kong et al. [30], was used to detect the blobs in the images. The two-dimensional LoG kernel is generated by\napplying the Laplacian operator r2in the Gaussian function G(x;y;\u001b), resulting the following kernel:\nr2G(x;y;\u001b) =x2+y2\u00002\u001b2\n\u0019\u001b4exp\u0012\n\u0000x2+y2\n2\u001b2\u0013\n: (1)\nThe LoG kernel is then convoluted with the blob-like structures to return a \ftting score. This process is performed in\nan iterative manner with increasing \u001bLoG kernels, where the best \ft scale of \u001b0is determined when the \ftting score\nconverges to a local maximum, the radius of particle is then obtained as r=p\n2\u001b0[31]. This algorithm is applied to\neach blob-like structure in the image with an adaptive threshold to \flter out the unfocused outliers allowing accurate\nparticle size distribution from the PIV images.\nComputational Model\nThe in silico geometrical setup to track the pressure drop across a \flter consists of short upwind and downwind\nconduits with the circular \flter (extracted from the \flter stereolithography \fles) being placed in between. To explore\nthe transport mechanism in more detail, we also reconstructed a single air passage pathway ( \u0019300 of which placed in\na circular grid assembly would comprise one complete \flter prototype) for each of the tortuous designs and simulated\nthe inhaled air\row and particle transport therein.\nAir\row Simulation\nThe numerical simulations in the meshed \flter domains considered four air\row rates, viz. 15, 30, 55, and 85\nL/min. The low \row rate, even in tortuous pathways, is dominated by viscous-laminar steady-state \row physics\n[32{37]; the higher \row rates trigger separation from the tortuous walls, resulting in \row \ructuations. We have\nreplicated such regimes through Large Eddy Simulation (LES), accounting for the small \ructuations with a sub-grid\nscale Kinetic Energy Transport Model [38]. The computational scheme employed a segregated solver, with pressure-\nvelocity coupling and second-order upwind spatial discretization. We monitored solution convergence by minimizing\nthe mass continuity and velocity component residuals, and through stabilizing the mass \row rate and static pressure\nat the air\row outlets. The density and dynamic viscosity of inhaled air were set to 1 :204 kg/m3and 1:825\u000210\u00005\nkg/m s.\nParticle capturing e\u000eciency\nParticle dynamics against the ambient air\row passing through the \flter were tracked by Lagrangian-based discrete\nphase inert transport simulations with the localized deposition and clustering along the \flter walls obtained through\nnumerically integrating the transport equation:\ndup\ndt=18\u0016\nd2\u001apCDRe\n24(u\u0000up) +g\u0012\n1\u0000\u001a\n\u001ap\u0013\n+FB: (2)8\nHereuprepresents the particle velocity, uis the air\row \feld velocity, \u001aand\u001aprespectively are the density of inhaled\nair and the particle material density, gis the gravitational acceleration, and FBaccounts for any other additional\nbody forces per unit particle mass (e.g., Sa\u000bman lift force which is exerted by a typical \row-shear \feld on small\nparticulates transverse to the air\row direction). The term 18 \u0016CDRe(u\u0000up)=24(d2\u001ap) quanti\fes the drag force\ncontribution per unit particle mass, with CDrepresenting the drag coe\u000ecient, drepresenting the particle diameter,\nandReis the relative Reynolds number. Also, the particle size range is considered large enough to neglect Brownian\nmotion e\u000bects on their dynamics.\nTo replicate the physical conditions of dehydrated airborne particles in the numerical simulations, the particle\nmaterial density was kept at 1100 kg/m3. Trap boundary condition, whereby a particle motion would cease when\nit reaches the cells adjacent to the geometry surface, is not applied on all inner walls, but on selected walls with\ncomparatively low wall shear stress. Particles are assumed to shear away and separate from the walls with higher wall\nshear values. Details of the numeric protocol (based o\u000b published \fndings, e.g. [28, 39]) can be found in SI Appendix ,\nsection D.\nI. ACKNOWLEDGEMENT\nJ.Y. and S.J. acknowledge funding support from the National Science Foundation (NSF) grant no. CBET-2028075.\nL.P.C. acknowledges funding support from the NSF grant no. CBET-2028090. S.B. acknowledges funding support\nfrom the NSF grant no. CBET-2028069.\n[1] H. Souzandeh, Y. Wang, A. N. Netravali, and W.-H. Zhong, Polymer Reviews 59, 651 (2019).\n[2] B. Liu, S. Zhang, X. Wang, J. Yu, and B. Ding, Journal of colloid and interface science 457, 203 (2015).\n[3] M. Li, Y. Feng, K. Wang, W. F. Yong, L. Yu, and T.-S. Chung, Environmental science & technology 51, 10041 (2017).\n[4] S. Jung and J. Kim, Polymers 12, 1714 (2020).\n[5] M. Zhu, J. Han, F. Wang, W. Shao, R. Xiong, Q. Zhang, H. Pan, Y. Yang, S. K. Samal, F. Zhang, et al., Macromolecular\nMaterials and Engineering 302, 1600353 (2017).\n[6] R. C. Brown (1993).\n[7] S. Kang, H. Lee, S. C. Kim, D.-R. Chen, and D. Y. Pui, Separation and Puri\fcation Technology 209, 461 (2019).\n[8] J. Castro and M. Ostoja-Starzewski, Appl. Mat. Modell. 24, 523 (2000).\n[9] T. Bliss, J. Castro, and M. Ostoja-Starzewski, TAPPI J. 14, 546 (2015).\n[10] S. Feng, D. Gao, F. Liao, F. Zhou, and X. Wang, Ecotoxicology and environmental safety 128, 67 (2016).\n[11] M. Z. Bazant and J. W. Bush, Proceedings of the National Academy of Sciences 118(2021).\n[12] R. Mittal, R. Ni, and J.-H. Seo, Journal of \ruid Mechanics 894(2020).\n[13] S. Basu, Scienti\fc Reports 11, 1 (2021).\n[14] J. Chaudhuri, A. Baukelmann, K. Boettcher, and P. Ehrhard, European Journal of Mechanics-B/Fluids 76, 115 (2019).\n[15] M. Laska, in Springer handbook of odor (Springer, 2017), pp. 81{82.\n[16] T. L. Spencer, A. Clark, J. Fonollosa, E. Virot, and D. L. Hu, Nature communications 12, 1 (2021).\n[17] J. M. Gac, A. Jackiewicz, L. Werner, and S. Jakubiak, Separation and Puri\fcation Technology 170, 234 (2016).\n[18] T. E. Macrini, Bulletin of the American Museum of Natural History 2012 , 1 (2012).\n[19] D. Zwicker, R. Ostilla-M\u0013 onico, D. E. Lieberman, and M. P. Brenner, Proceedings of the National Academy of Sciences\n115, 2936 (2018).\n[20] M. J. Rodgers, Guinea Pig, Digital Morphology. Available at digimorph. Accessed January 3, 2021 ,\norg/specimens/Cavia porcellus/ (2012).\n[21] C. Casteleyn, A. Broos, P. Simoens, and W. Van Den Broeck, Veterinary immunology and immunopathology 133, 212\n(2010).\n[22] B. A. Craven, E. G. Paterson, G. S. Settles, and M. J. Lawson, Journal of biomechanical engineering 131(2009).\n[23] L. Del Fabbro, J. Laborde, P. Merlin, and L. Ricciardi, Filtration & separation 39, 34 (2002).\n[24] B. A. Craven, E. G. Paterson, and G. S. Settles, Journal of the Royal Society Interface 7, 933 (2010).\n[25] R. Verjus and J.-R. Angilella, Physical Review E 93, 053116 (2016).\n[26] L. Nicolaou and T. A. Zaki (????).\n[27] D. J. Rader and A. S. Geller, Developments in Surface Contamination and Cleaning pp. 189{266 (2008).\n[28] F.-G. Fan and G. Ahmadi, Journal of colloid and interface science 172, 263 (1995).\n[29] B. Bake, P. Larsson, G. Ljungkvist, E. Ljungstr om, and A. Olin, Respiratory research 20, 1 (2019).\n[30] H. Kong, H. C. Akakin, and S. E. Sarma, IEEE transactions on cybernetics 43, 1719 (2013).\n[31] G. Wang, C. Lopez-Molina, and B. De Baets, Digital Signal Processing 96, 102592 (2020).\n[32] S. Basu, L. T. Holbrook, K. Kudlaty, O. Fasanmade, J. Wu, A. Burke, B. W. Langworthy, Z. Farzal, M. Mamdani, W. D.\nBennett, et al., Scienti\fc Reports 10, 1 (2020).9\n[33] K. Inthavong, J. Ma, Y. Shang, J. Dong, A. S. R. Chetty, J. Tu, and D. O. Frank-Ito, Clinical Biomechanics 66, 97 (2019).\n[34] Y. Zhang, Y. Shang, K. Inthavong, Z. Tong, B. Sun, K. Zhu, A. Yu, and G. Zheng, Inhalation Toxicology 31, 224 (2019).\n[35] S. Basu, D. O. Frank-Ito, and J. S. Kimbell, International Journal for Numerical Methods in Biomedical Engineering 34,\ne2946 (2018).\n[36] Z. Farzal, S. Basu, A. Burke, O. O. Fasanmade, E. M. Lopez, W. D. Bennett, C. S. Ebert Jr, A. M. Zanation, B. A. Senior,\nand J. S. Kimbell, in International Forum of Allergy & Rhinology (Wiley Online Library, 2019), vol. 9, pp. 746{758.\n[37] J. S. Kimbell, S. Basu, G. J. M. Garcia, D. O. Frank-Ito, F. Lazarow, E. Su, D. Protsenko, Z. Chen, J. S. Rhee, and B. J.\nWong, Lasers in Surgery and Medicine 51, 150 (2019).\n[38] N. Baghernezhad and O. Abouali, Journal of Turbulence p. N50 (2010).\n[39] A. Haron and K. Ismail, in IOP conference series: materials science and engineering (IOP Publishing, 2012), vol. 36, p.\n012038." }, { "title": "2211.07925v4.Pressure_Induced_Insulator_to_Metal_Transition_in_van_der_Waals_compound_CoPS__3_.pdf", "content": "Pressure-induced insulator-to-metal transition in van der Waals compound CoPS 3\nTakahiro Matsuoka,1,\u0003Rahul Rao,2Michael A. Susner,2Benjamin\nS. Conner,3, 4Dongzhou Zhang,5, 6and David Mandrus1, 7, 8,y\n1Department of Materials Science and Engineering,\nUniversity of Tennessee, Knoxville, TN 37996, USA\n2Materials and Manufacturing Directorate, Air Force Research Laboratory,\nWright-Patterson Air Force Base, OH 45433, USA\n3Sensors Directorate, Air Force Research Laboratory,\nWright-Patterson Air Force Base, OH 45433, USA\n4National Research Council, Washington D.C. 20001, USA\n5Hawaii Institute of Geophysics and Planetology,\nUniversity of Hawaii at Manoa, 1680 East-West Road, Honolulu, HI 96822\n6GSECARS, University of Chicago, 9700 S Cass Ave, Argonne, IL 60439\n7Department of Physics and Astronomy, University of Tennessee, Knoxville, TN 37996, USA\n8Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831, USA\n(Dated: April 4, 2023)\nWe have studied the insulator-to-metal transition and crystal structure evolution under high\npressure in the van der Waals compound CoPS 3through in-situ electrical resistance, Hall resistance,\nmagnetoresistance, X-ray di\u000braction, and Raman scattering measurements. CoPS 3exhibits aC2=m\n!P3 structural transformation at 7 GPa accompanied by a 2.9% reduction in the volume per\nformula unit. Concomitantly, the electrical resistance decreases signi\fcantly, and CoPS 3becomes\nmetallic. This metallic CoPS 3is a hole-dominant conductor with multiple conduction bands. The\nlinear magnetoresistance and the small volume collapse at the metallization suggest the incomplete\nhigh-spin!low-spin transition in the metallic phase. Thus, the metallic CoPS 3possibly possesses\nan inhomogeneous magnetic moment distribution and short-range magnetic ordering. This report\nsummarizes the comprehensive phase diagram of MPS3(M= V, Mn, Fe, Co, Ni, and Cd) that\nmetalize under pressures.\nI. INTRODUCTION\nThe transition metal thiophosphates MPS3(M= V,\nMn, Fe, Co, Ni, and Cd) compounds form a family\nof quasi-two-dimensional (2D) compounds. They are\nisostructural in a monoclinic C2=msymmetry, with in-\ndividual lamellae composed of slightly distorted octahe-\ndral sites circumscribed by the S atoms bordering the van\nder Waals gap which are, in turn, arranged in a honey-\ncomb lattice. Of the octahedra, 2/3 can be described as\na +2 metal cation in an MS6cage. The remaining 1/3\nof the octahedra are \flled with P-P dimers that form\na [P 2S6]4\u0000anionic sublattice that charge balances the\naforementioned metal cations. The anionic sublattice is\ncommon to all of these compounds; the cations in turn\nimpart the various functionalities native to these systems,\nincluding magnetism [1, 2]. In this particular subset of\nthe metal thiophosphate family, all compounds form a\nMott insulating state at low temperatures and exhibit\n2D antiferromagnetic (AFM) behavior, except for the Cd,\nwhich in the +2 oxidation state has a closed d-shell [3{\n7]. The 2D magnetism in these materials has attracted\nrecent attention due to the ability to study the e\u000bects of\nextreme anisotropy in low dimensions. The MPS3family\nthus o\u000bers an enticing materials platform to study novel\n\u0003tmatsuok@utk.edu\nydmandrus@utk.edumagnetic phenomena in low-dimensional materials in ad-\ndition to the promise for applications in magnetic and\nspintronic devices because they can be exfoliated down\nto thin \flms [8{11]. Therefore, elucidating these inter-\nesting 2D magnetic materials' physical properties is vital\nfor future applications. In particular, it is essential to\nconsider the structural and magnetic changes induced by\nimparting pressure to these materials.\nExternal pressure is an e\u000bective perturbation tool be-\ncause van der Waals compounds are highly compress-\nible, especially in the inter-layer direction. Thus far,\nthe structural, magnetic, and electronic evolutions under\ncompression have been extensively studied for the MPS3\n(M= V, Mn, Fe, Ni, Cd) and their analogous selenophos-\nphate counterparts MPSe 3. Researchers have commonly\nobserved that these materials exhibit an insulator-to-\nmetal transition at high pressures (12-28 GPa) [7, 12{\n18]. Additionally, spin-crossover (high to low-spin state)\noccurs in FePS 3(FePSe 3) and MnPS 3(MnPSe 3) con-\ncomitantly with the insulator-to-metal transition [13, 14].\nFinally, FePSe 3becomes superconducting with a super-\nconducting transition temperature of 2.5 K at 9 GPa\n(increasing to 5.5 K at 30 GPa) [14]. Evidence for\na metallization has also been reported recently in a\nbimetallic metal thiophosphate, Cu-de\fcient CuInP 2S6\n[19]. External pressure induces inter-layer sliding tran-\nsitions, followed by a 10-20% volume collapse across\nthe insulator-to-metal transition at room temperature\n[4, 12, 13, 15, 17, 18, 20, 21]. Other transitions can be\nmore subtle. For example, the MPS3(M= Fe, Mn)arXiv:2211.07925v4 [cond-mat.str-el] 3 Apr 20232\nand V 0:9PS3compounds change from C2=mto aC2=m\nwith a di\u000berent monoclinic angle ( \f) or a trigonal P31m\n[4, 12{14, 18, 21{24]. CdPS 3changes from C2=mto a\ntrigonalR3 [20]. Previous studies of NiPS 3observed up\nto \fve high-pressure phases ( P3,P3m1,P3m1,P3, and\nP1) between ambient pressure and 39 GPa, making this\ncomposition unique among these van der Waals gapped\nmagnetic materials [25]. Clearly, pressure-driven struc-\ntural phase transitions are critical as drivers of new states\nof matter with the potential to host emergent properties.\nFocusing on the last of these properties, the trigonal\ndistortion present in the octahedra bounding the metal\ncations (where the trigonal axis is parallel to the stacking\ndirection) a\u000bects the degeneracy of the energy states as-\nsociated with the octahedral crystal \feld splitting, thus\ncreating highly anisotropic e\u000bects in the magnetism of\nthese compounds [1] that in turn contribute to their in-\nteresting behavior. From a structural perspective, the\nmagnetic MPS3orMPSe 3can be grouped into three\nmain categories. In all compounds, spins are pointed\nalong thec-axis except for NiPS 3and CoPS 3, where spins\nare pointed parallel and antiparallel to the adirection.\nThe \frst grouping is MnPS 3and MnPSe 3; both of\nthese compounds are co-linear antiferromagnets with\npropagation vectors of q= [0 0 0] [26{28]. We note that\nother works state that q= [0 1 0] for MnPS 3[5]. The\nsecond main grouping contains CoPS 3and NiPS 3; they\nexhibit q= [0 1 0] [3, 6]. In CoPS 3, though the orienta-\ntion of the moments is mostly along the a-axis, a small\ncomponent may be along the c-axis as well [3]. Finally,\nthe third grouping comprises the compounds FePS 3and\nFePSe 3. The sul\fde has been shown to have an incom-\nmensurate q= [1=2 1=2 0:34] [29] while the selenide has\na vector of q= [1=2 0 1=2] [27, 28]. The careful reader\nmay note that V 0:8PS3[30], NiPSe 3[31], and many of the\nquarternary magnetic compounds [1] have yet to be fully\ncharacterized in terms of magnetic structure and may\nyield fruitful investigations themselves if suitably sized\ncrystals can be synthesized.\nTo date, the e\u000bects of high pressure on CoPS 3have\nnot been experimentally reported, probably due to the\nsigni\fcant di\u000eculty in the synthesis and single crystal\ngrowth of this compound. CoPS 3is antiferromagnetic\nat ambient pressure with a Neel temperature of TN=\n122 K and a Weiss temperature of \u0012=\u0000116 K [32].\nIts e\u000bective moment is 4.9 \u0016B, slightly larger than the\nexpected value for a pure spin moment of a Co2+(S=3\n2)\ncation [32], implying some degree of orbital contribution\nto the magnetization. A \frst-principles calculation, the\nonly dedicated article to the pressure e\u000bects in CoPS 3,\npredicts a pressure-driven isostructural Mott transition\naccompanied by a spin-crossover [33].\nIn this study, we successfully grew large single crys-\ntals of CoPS 3. Our electrical resistance, Raman scatter-\ning, and X-ray di\u000braction (XRD) measurements reveal an\ninsulator-to-metal transition around 7 GPa, coinciding\nwith aC2=m!P3 structural transformation and a 2.9%\nreduction in the volume per formula unit. Hall e\u000bectmeasurements \fnd the metallic phase is a hole-dominant\nconductor. The linear magnetic \feld dependence of the\nmagnetoresistance, combined with the small volume col-\nlapse at the metallization, suggests an incomplete high-\nspin!low-spin crossover in the metallic phase. Thus,\nmetallic CoPS 3may possess an inhomogeneous magnetic\nmoment distribution and short-range magnetic ordering\ndue to the coexisting high- and low-spin Co2+ions. This\nreport summarizes the comprehensive phase diagram of\nMPS3that metalize under compression.\nII. EXPERIMENTAL METHOD\nWe synthesized single crystals of CoPS 3using the gen-\neral procedures outlined in Refs. [1, 3]. Co powder\n(Alfa Aesar Puratronic, 22 mesh, 99.998%, reduced),\nP chunks (Alfa Aesar Puratronic, 99.999%), and S (Alfa\nAesar Puratronic, 99.9995%) were combined in a near-\nstoichiometric ratio to form CoPS 3together with an ap-\npropriate quantity of I 2as the vapor transport agent in\na sealed quartz ampoule, heated to the reaction temper-\nature, and held there for 4 days [34]. Typical crystals\nwere 4-6 mm in size along the a{bplanes with several\nexceeding 12 mm. Typical thicknesses were <0.5 mm.\nWe used diamond anvil cells (DACs) for the high-\npressure application. We loaded a small single crystal\nof CoPS 3in a DAC and connected \fve electrical probes\nmade of platinum (Pt) for the electrical resistance, mag-\nnetoresistance, and Hall resistance measurements. The\na{bplanes of the single crystals were laid on the dia-\nmond's \rat surface to achieve a quasi-uniaxial compres-\nsion. A pre-compressed sodium chloride (NaCl) \rake\nwas placed underneath the sample, serving as a pressure-\ntransmitting medium and ensuring that the pressure dis-\ntribution across the sample was as homogeneous as pos-\nsible. Several tiny ruby chips (Cr: Al 2O3) were added\nwith the samples as a pressure standard [35]. For further\ndetails, see the Supplemental material [36].\nWe performed Raman spectroscopy measurements on\na CoPS 3crystal compressed in a DAC, using a Renishaw\ninVia Raman microscope with a 632.8 nm excitation\nlaser at room temperature. The pressure-transmitting\nmedium was a 4:1 ratio methanol/ethanol solution. The\npower of the excitation laser was tuned to \u00181\u0016W to\nminimize heating.\nWe conducted the XRD measurements at beamline\n13BM-C at the Advanced Photon Source (APS), Ar-\ngonne National Laboratory, utilizing a focused (12 \u0016m\n(H)\u000218\u0016m (V)) X-ray beam (wavelength = 0.4340\n\u0017A) in all measurements. Potassium chloride (KCl) was\nthe pressure-transmitting medium. We collected di\u000brac-\ntion data on a \rat panel detector array (Dectris Pilatus\n1M-F, pixel size: 172 \u0002172\u0016m2) in the forward scatter-\ning geometry at room temperature. We used Dioptas for\ntwo-dimensional XRD data reduction [37]. We obtained\nlattice constants ( a,b, andc) by the least-square-\ftting\nof peak positions using PDindexer [38].3\nIII. RESULTS\nA. Resistance vs. Pressure and Temperature\nFig. 1a shows the pressure dependence of the elec-\ntrical resistance ( Rxx) of CoPS 3at room temperature\nwithout applying an external magnetic \feld. To estimate\nthe \fgure of electrical resistivity ( \u001axx), we calculated \u001axx\nfor sample #1 using the area/length ratio, wt=l where\nw= 55\u0016m is the width of the sample, t= 20\u0016m is the\nthickness, and l= 50\u0016m is the separation between elec-\ntrical leads measured before applying pressure. Since the\nseparation between electrical leads does not change sig-\nni\fcantly (Fig. 1a), we assume that most of the change\nin\u001axxcomes from the reduction of t. From the XRD\nresults (Fig. 2b) discussed later, the c-axis shrinks 20%\nfrom the ambient pressure to the highest pressure (17\nGPa). Although the error does not a\u000bect the conclusion\nof the current report, readers are noted that a maximum\nof 20% of error should be included in the \u001axxin Fig. 1a.\nWe also note that we obtained the pressure by averag-\ning the pressure values measured at room temperature\nbefore and after each temperature cycle for the electri-\ncal resistance measurements. The actual pressures at low\ntemperatures are thought to be somewhat di\u000berent from\nthe indicated values.\nThe Rxxdecreases into the measurable range of our\ntransport measurement system (maximum 2 M\n) above\n2 GPa. The Rxxshows a signi\fcant decrease up to 7\nGPa by three orders of magnitude. Then it abruptly de-\ncreases by \fve further orders of magnitude when applying\nan additional mere 1 GPa. Above 10 GPa, Rxxbecomes\nalmost independent of the external pressure. The \u001axx\nreaches around 200 \u0016\ncm, suggesting a metallic conduc-\ntivity. The similarities in the trends from two indepen-\ndent samples, #1 and #2, show good reproducibility of\nthe transport results (Fig. 1a). The insets of Fig. 1a\nshow microphotographs of CoPS 3at 4.5 GPa and 12 GPa\nunder epi-illumination. Here we see that the light re\rec-\ntion increases with pressure. The sample at 12 GPa is\nshiny and comparable to the Pt metal of the electrical\nprobes, thus indicating a major electronic transition.\nUpon releasing the pressure, the Rxxtraces back the\nRxxvs.Pcurve of the compression down to 8 GPa. Be-\nlow 8 GPa, the Rxxstays much lower (one to 3 orders of\nmagnitude) than the compression, showing a large hys-\nteresis. However, at 0 GPa, the compressed and decom-\npressed lines are extrapolated to merge. This large hys-\nteresis between compression and decompression implies\nthe presence of a \frst-order transition.\nTo see if there are any temperature-dependent resis-\ntance e\u000bects, in Fig. 1b (top panel), we show Rxxvs.\nTat various pressures (Sample #1) without an external\nmagnetic \feld. The CoPS 3exhibits insulating or semi-\nconducting behavior at 7.4 GPa and also displays a nega-\ntive slope (d Rxx/dT). The origin of the hump at 7.4 GPa\nand 50 K is as of yet unknown. Above 10 GPa, d Rxx/dT\nbecomes positive along the entirety of the probed tem-\n10-310-210-1100101102103104105106107108Rxx (Ω)\n151050P (GPa)10-510-410-310-210-1100101102103104105ρxx (Ωcm) [sample#1]\nC2/mP3\n12 GPa4.5 GPa\nT= 300 KCoPS3\nComp. (#1)Comp. (#2)Decomp. (#1) (a)\n(b)12840Rxx (mΩ) x106 86420Rxx (mΩ) x104 \n100500Rxx (mΩ)3002001000T (K)20152000T2 (K)13 GPa10 GPa15 GPa15 GPa10 GPa13 GPa3.5 GPa(Decomp.)7.4 GPaCoPS3 (#1) B = 0 TFIG. 1. (color online) (a) Rxxand\u001axxvs.Pat room temper-\nature. The data of samples #1 and #2 are plotted for com-\npression and decompression. The dotted lines are guides for\nthe eyes. The vertical and horizontal solid lines indicate the\nstructural phase diagram con\frmed by our XRD and Raman\nscattering measurements. The inset pictures show sample ]1\nat pressures. (b) Rxxvs.Tat pressures obtained for Sam-\nple]1 without an external magnetic \feld. All data plots are\ntaken on warming. The inset \fgure plots the Rxxvs.T2.\nperature range, thus revealing that CoPS 3is metallic un-\nder these pressures. We see no superconducting transi-\ntion down to 2 K. When we plot the Ras a function of\nT2(inset in the bottom panel of Fig. 1b), we see that\ndRxx/dT\fts the Fermi-liquid theory at temperatures\nbelow 15 K. Thus, we conclude that CoPS 3exhibits a\npressure-induced insulator-to-metal transition around 7\nGPa. At 3.5 GPa on decompression, CoPS 3exhibits an\ninsulator behavior (Fig. 1b).4\nB. XRD\nFigure 2a displays the representative XRD patterns of\nCoPS 3at 0.5 and 17 GPa. We note that the observed\nXRD patterns are a\u000bected by the orientation re\recting\nthe initial geometry of the single crystal when loaded in\nthe DAC. In our measurements, the a-bplane of the lay-\nered structure is perpendicular to the X-ray beam. Al-\nthough we rotated the DAC as much as allowed by the\nbeamline instruments and the DAC opening angle, the\ndi\u000braction peaks from ( hkl) with large l(>2) are in-\nvisible, limiting the number of peaks. Additionally, the\nsingle crystal partially broke into several pieces during\ncompression. Therefore, the relative intensity between\nthe di\u000braction peaks is inaccurate because the obtained\nXRD results are not in the form of even-intensity pow-\nder rings. See the Supplementary Material for the XRD\nimage recorded on a detector [36]. At 0.5 GPa, the XRD\npeak positions agree well with the previously reported\nmonoclinic C2=m(a= 5.844(1) \u0017A,b= 10.127(1) \u0017A,c=\n6.562(4) \u0017A,\f= 107.04(2)\u000e) [3]. At 17 GPa, we can in-\ndex the XRD pattern to a trigonal structure with lattice\nconstantsa=b= 5.570(5) \u0017A,c= 5.13(2) \u0017A. We name\nthe high-pressure trigonal phase HP-I in this report.\nFigure 2b shows the pressure dependencies of volume\nper formula unit ( Vf:u:) and the lattice constants, a,\nb, andc. The Vf:u:is obtained by dividing a unit cell\nvolume by the number of CoPS 3units. For the com-\nparison between C2=mand HP-I, we reduce the trigonal\nunit cell to a monoclinic unit cell ( \f= 90\u000e) using the\nrelation bmono: = 2atri:\u0002cos30\u000e. In agreement with the\nelectrical transport measurements, the structure changes\nfromC2=mto HP-I at 7 GPa. Concomitantly, the Vf:u:\nabruptly decreases by 2.9% (84.690 \u0017A3!82.269 \u0017A3) at\n7 GPa. All the lattice constants a,b, andcshow a sharp\ndiscontinuity, with cexhibiting the largest reduction (\u0001 c\n= 1.4 \u0017A).\nHere, we focus on the observed reduction in the Vf:u:.\nIsostructural materials MnPS 3and FePS 3commonly col-\nlapse a volume by 10-20% simultaneously with a spin-\ncrossover, and the insulator-to-metal transition [13{15].\nThis study has not performed a direct measurement, such\nas X-ray absorption and M ossbauer spectroscopy, to in-\nvestigate the electronic con\fguration of Co. However,\nfrom the observed volume collapse and comparing FePS 3\nand MnPS 3, it is reasonable to conclude that CoPS 3ex-\nhibits the spin crossover ( S= 3/2!1/2) accompanied\nby the metallization at 7 GPa.\nOn the other hand, the ovserved volume reduction\n(2.9%) of CoPS 3is much smaller than MnPS 3(19.7%)\nand FePS 3(10.6%) [13{15]. The ionic radii of high- (HS,\n0.89 \u0017A) and low-spin (LS, 0.79 \u0017A) Co2+ions [39] make\nthe HS!LS radius reduction by 11.2%, which is not\nmuch smaller than that of Mn2+(HS: 0.97 \u0017A, LS: 0.81\n\u0017A, 16.5%) and Fe2+(HS: 0.92 \u0017A, LS: 0.75 \u0017A, 18.5%)\n[39]. Thus, the slight volume reduction of CoSP 3cannot\nbe explained simply by the di\u000berence between HS and LS\nradii.\n7.06.05.0c (Å)100P (GPa)C2/mHP-I\n10.09.5b (Å)100P (GPa)C2/mHP-I5.85.6a (Å)100P (GPa)C2/mHP-I9075Vf.u. (Å3)100P (GPa)2.9%C2/mHP-I intensity (arb. units)2520151052theta (deg.)xxxxxxxx•xxxxxx00113020013120131006033033140-1460x53139-1001010110111+020201+021112301+031030130411400\n390530261x101+011HP-I, 17 GPaC2/m, 0.5 GPa x: KClλ = 0.4340 Å(a)\n(b)acFIG. 2. (color online) Structure analysis of CoPS 3by XRD\nunder pressure. (a) XRD patterns of the C2=m(0.5 GPa) and\nHP-I (17 GPa) phases. Note that KCl changes from B1 to B2\ntype structure at 2 GPa. (b) Pressure evolution of Vf:u:and\nlattice constants. The trigonal structure of HP-I is reduced to\na monoclinic lattice ( \f= 90\u000e) for comparison. The open and\nclosed data points indicate compression and decompression,\nrespectively. The error bars are inside the data markers at\nmost pressures except for 6.8 GPa. The broken and dashed\ncurves are guides for the eyes. The vertical dotted line is the\nstructural phase boundary.\nIn Fig. 2b, it is also noticeable that the Vf:u:andc\nin the HP-I phase show a steeper compression between\n7 GPa and 12 GPa followed by moderate compression\nabove 12 GPa, indicating a sign of V(P) stabilization.\nThese series of changes in compression behavior suggest\nan electronic transition takes place in the HP-I phase.\nOn decompression, the HP-I phase remains down to 2\nGPa, displaying a large hysteresis in Vf:u:andcbelow\n12 GPa. Considering the signi\fcant hysteresis observed\ninRxxvs.P, theC2=m!HP-I transition is considered\n\frst-order. We later discuss those anomalous compres-\nsions concerning electronic transformations, the HS to LS\ncrossover, and the volume collapse.5\nC. Raman scattering\nTo obtain further insights into changes to the symme-\ntry of the crystal structure under compression, we per-\nformed pressure-dependent Raman scattering measure-\nments. Fig. 4a displays the evolution of the Raman\nspectra from CoPS 3under quasi-hydrostatic compression\nat room temperature. Based on the previous theoret-\nical and experimental reports, we anticipate eight Ra-\nman active modes (5E g+ 3A g) for bulk CoPS 3at room\ntemperature [40]. At pressures below 6.7 GPa (in the\nstability region of C2=m), we observe all eight peaks in\nagreement with the previous reports [40]. The peak at\n110 cm\u00001is not observed at atmospheric pressure but\nbecomes visible above 1.2 GPa, suggesting that a pref-\nerential alignment of layers could cause this peak to be\nmore prominent at higher pressures. Up to 6.7 GPa,\nall the peaks blueshift in frequency with increasing pres-\nsure, as expected for phonon modes under compression.\nBetween 6.7 GPa and 7.5 GPa, the Raman spectrum\nchanges abruptly with the loss of peaks and the appear-\nance of new peaks (Fig. 3a and 3b). Accompanied by\nthe Raman spectral change, the sample becomes lighter\nin color and more re\rective under epi-illumination (Fig.\n3a, photographs), in agreement with the visible obser-\nvations during our transport measurements (Fig. 1a).\nThe abrupt Raman spectra and re\rectivity changes give\nfurther evidence of the C2=m!HP-I structural change\ncoinciding with the ITM transition. Similar to what our\nelectrical resistance measurements observe, the transition\nin the Raman spectra takes place within a narrow pres-\nsure range of 0.8 GPa, strongly suggesting the absence of\nan intermediate phase between C2=mand HP-I phases.\nAt 18.7 GPa, all peaks diminish signi\fcantly and are re-\nplaced by a broad peak between 300-400 cm\u00001, except for\nthe peak near 120 cm\u00001. We consider two possibilities\nfor the cause of broadening. One is the solidi\fcation of\nthe pressure-transmitting medium near 10 GPa, and the\ndeveloping non-hydrostatic condition that induces the in-\nhomogeneous strain in the crystal [41{43]. Another pos-\nsibility could be the indication of further structural trans-\nformation. Future studies would address the question.\nFigure 3b, left panel, shows the pressure-dependent\nfrequencies of the Raman peaks. The right panel in\nFig. 3b shows a magni\fed view of the ambient pres-\nsure phase. Based on the previous literature, we assign\nthe peak P 4near 245 cm\u00001to the out-of-plane bending\n(A1g) of P 2S64\u0000dimer units. It has the highest pres-\nsure coe\u000ecient, re\recting the large compressibility in the\nc-axis (Fig. 3b) [40]. P 8near 560 cm\u00001is the out-of-\nplane stretching of P-P dimer (E g) [40]. The peak shows\na sharp increase in the frequency at the start of compres-\nsion. Contrary, P 6near 380 cm\u00001representing in-plane\nstretching of P 2S64\u0000units (A 1g) has the lowest pressure\ncoe\u000ecient [40]. Overall, the compression a\u000bects out-of-\nplane phonon modes more than in-plane modes.\nNext, we try to understand the C2=m!HP-I tran-\nsition. We \frst track the peak frequency changes across\nA.P.A.P.HP-I\nP1P4P5P7P6P8\nP2P3P1'P2'P4',5'P3'P6'P7',8'\nPAPBPC\nP1P2P3P4P5P6P7\n1.3 GPa\n18.7 GPa(a)\nAtm.0.3 GPa1.2 GPa2.8 GPa4.2 GPa5.1 GPaIntensity (a,u,)5.8 GPa6.3 GPa6.7 GPa7.5 GPa\n7.5 GPa11.1 GPa12.0 GPa13.3 GPa15.2 GPa16.7 GPa18.7 GPa\n(b)7.5 GPaFIG. 3. (color online) Raman scattering measurements of\nCoPS 3under pressures. (a) The pressure evolution of the\nRaman scattering spectra at ambient temperature. Pictures\nshow CoPS 3at 1.3 GPa and 18.7 GPa. Atm.; atmospheric\npressure (b-left) Pressure dependencies of the Raman scat-\ntering peaks. Dashed vertical lines show the structural phase\nboundaries. (b-right) The close-up view of the left panel. See\nthe Supplemental Material for the peak-\ftting analysis [36].\nthe structural transition. P 1near 115 cm\u00001(complex vi-\nbrations along all three axes of Co and S, E g) blue shifts\nwith pressure up to 7 GPa, above which it redshifts in\nfrequency (labeled P 10in Fig. 3b). P 2near 145 cm\u00001\n(in-plane Co-Co stretching, E g) and P 3near 238 cm\u00001\n(out-of-plane stretching of P 2S64\u0000, Eg) behave similarly\nto P 1, blueshifting up to 7 GPa, followed by slight dis-\ncontinuities and are designated as P 20and P 30in the HP-\nI phase, respectively. P 4near 240 cm\u00001merges with P 5\nnear 280 cm\u00001(in-plane S-S vibration in P 2S64\u0000units,\nEg) up to 7 GPa. In the HP-I phase, the merged peak6\nis designated P 40;50near 300 cm\u00001. P 6near 380 cm\u00001\n(in-plane S-S vibration, A 1g) exhibits a sharp disconti-\nnuity across the phase transition and appears at a lower\nfrequency 400 cm\u00001labeled as P 60. P 7near 545 cm\u00001\n(complex stretching mode of P 2S64\u0000, Eg) and P 8come\ncloser up to 7 GPa. Across the critical pressure, these\npeaks disappear, and the highest frequency peak in HP-I\nappears at a much lower frequency 510 cm\u00001, designated\nas P 70;80. The merging and newly appeared peaks provide\nevidence for both increasing and decreasing symmetry.\nWe next consider the evidence for increased symme-\ntry. As mentioned above, several Raman peaks merge\nwith increasing pressure up to 7 GPa (P 4+P 5!P40;50,\nand P 7+P 8!P70;80). This means that in addition to\nthe mirror planes, axial glides, inversion centers, two-fold\nrotations, and the two-fold screw axes that characterize\ntheC2=mspace group, the system gains additional sym-\nmetry elements across 7 GPa. Examination of the C2=m\ngroup$supergroup relationships provides several higher\nsymmetry candidates such as P31m,P3m1, andCmmm .\nSince our XRD result suggests a trigonal structure, we\ncan exclude Cmmm . See the Supplemental Material of\nRef. [25] for the summarized subgroup/supergroup sym-\nmetry relations relevant to the MPS3compounds.\nNext, we investigate the evidence for symmetry break-\ning. The newly emergent peak P A(near 140 cm\u00001) in\nthe HP-I phase indicates the rise of the out-of-phase in-\ntralayer Co translational mode. P Band P Care consid-\nered to be related to the S-S vibrations from their fre-\nquencies in the lower-pressure phase. To unravel how\nthese modes correspond to the change in crystal sym-\nmetry, we consider the relevant mode displacement pat-\nterns and how they impact di\u000berent symmetry elements.\nThese include some newly established symmetry elements\nofP31mandP3m1, such as axial glide planes, two-fold\nrotations, and two-fold screw axes. Therefore, candidate\nsubgroups include P31m,P3,P3m1, andP312. In the\nRaman spectra, we do not see a signi\fcant increase in\nthe overall number of peaks, suggesting the retention of\nthe inversion center. Of the four candidate subgroups,\nP3 retains the inversion center. We, therefore, conclude\ntheC2=m!P3 transformation.\nFigure 4 summarizes the crystal structures of CoPS 3in\ntheC2=mandP3. Note that we did not re\fne the atomic\npositions in the P3 due to the limitation mentioned above\nin the obtained XRD data [36].\nWe calculated the mode Gr uneisen parameter for each\nphonon mode using the pressure dependence of the Ra-\nman frequencies and the Vf:u:. See the Supplemental\nMaterials for the analysis and results [36].\nD. Transverse transport measurements\nNow that we have con\frmed the existence of a new\nmetallic phase at high pressure in CoPS 3, we turn our\nattention to its electronic properties. Transverse trans-\nport measurements, including Hall resistance and magne-\nCoSP\nabccabcba\ncbC2/mHP-I (P3)\naFIG. 4. (color online) The crystal structure of A.P.-phase\n(C2=m) and the suggested P3 structure for HP-I phase visu-\nalized using VESTA[44].\ntoresistance (MR), are essential probes because it gives\nus information about the Fermi surface [45]. Fig. 5a\nand Fig. 5b display the Hall resistivity ( \u001axy) and MR\n(\u0001\u001axx(B)=\u001axx(0)) of sample #1 at 10, 13, and 15 GPa\nand temperatures ranging from 1.8 to 160 K. The \u001axy\nshows positive and mostly linear magnetic \feld depen-\ndence at all temperatures and pressures (Fig. 5a). Be-\nsides, it does not saturate at this study's highest \feld\n(9 T). If a material is ferromagnetic, the anomalous Hall\ne\u000bect is anticipated with saturation at a high \feld. Our\nHall resistance data thus indicate that CoPS 3is not fer-\nromagnetic. CoPS 3is likely a hole-dominant conductor\nthat requires a multiple-conduction band model. We also\nnotice that \u0001 \u001axx(B)=\u001axx(0) exhibits a positive and lin-\near magnetic \feld dependence (linear magnetoresistance:\nLMR) at all pressures and temperatures (Fig. 5b).\nGenerally, a multi-band analysis based on a semi-\nclassical description utilizes information such as carrier\ndensity and mobility on the Fermi surface from the Hall\nresistivity and MR. However, the obtained results tend\nto become ambiguous because of hypothesizing the num-\nber of carrier types. The LMR in this study makes the\nanalysis even more complicated because it is far from\nthe quadratic behavior anticipated by a semi-classical\ndescription. Here, we employ a simple one-band model\nanalysis and estimate the orders of density ( ne;av:) and\nmobility (\u0016e;av:), on average, for all carriers. From the\nrelation 1=eRH=ne;av:, the Hall coe\u000ecient ( RH) and\nthe electron charge ( e) provide the estimation ne;av: =\n1.41\u00021021cm\u00003at 10 GPa and 1.8 K. This value is\nslightly smaller than that a Hall e\u000bect measurement ex-\npects for general metals (1022cm\u00003). Using the relation\n\u001b=\u0016e;av:ne;av:, the\u0016e;av:= 138 cm2V\u00001s\u00001can be ex-\ntracted, where \u001bis a conductivity. The ne;avand\u0016e;av\nare within the range of 1.4 - 2.9 \u00021021cm\u00003and 55 - 138\ncm2V\u00001s\u00001at 2 K for all pressures, respectively.\nHere, we analyze the observed LMR (Figs. 5b and 5c).\nThe semi-classical model predicts that the \u001axxevolves7\nquadratically with a magnetic \feld, saturating at high\n\felds if the hole and electron densities are not compen-\nsated [46, 47]. At the low-\feld limit, !c\u001c\u001c2\u0019, where!c\nis the cyclotron frequency and \u001cis the relaxation time,\nthe leading term in \u001axxbecomes \u0001\u001a=\u001a(B)\u0000\u001a(B=0)\u0018\nH2due to Onsager reciprocity relation, which requires\n\u001bij(B) =\u001bji(\u0000B) [46, 47]. The \u001axxof CoPS 3evolves al-\nmost linearly with the \feld contrary to the semi-classical\ndescription. The LMR is observable down to low \felds:\n0.7 T at 10 GPa, 2 T at 13 GPa, and 3 T at 15 GPa\n(Fig. 5c) followed by the asymptotic curves approaching\nzero near zero \feld. Elevated temperature suppresses the\nincrease of the \u001axxvs.Bcurves. At higher pressures, the\nparabolic shape in the \u001axxvs.Bbecomes more evident\nat lower \felds. To obtain further insight into the linear\nterm in the \u001axxvs.Brelation, we adopt a phenomeno-\nlogical approach to disentangle these components, \ftting\nthe measured MR as \u001axx(H, variousT) =\u001axx(T,H= 0)\n+A(T)H+B(T)H2[48]. We perform the \ftting below\n4 T where the quadratic component is visible. Fig. 5d\nplotsA(T) andB(T) as a function of temperature. The\nA(T) saturates below 10 K, signi\fcantly decreasing with\nincreasing temperature. At low temperatures, the A(T)\ndecreases with pressure from 10 to 13 GPa. However,\nthe change becomes diminished between 13 and 15 GPa.\nBy comparison, the B(T) does not change appreciably\nover a wide range of temperatures. We try to discern the\norigin of LMR in the following section.\nIV. DISCUSSION\nHere, we discuss the electronic con\fguration of the\nmetallic CoPS 3, discerning the source of the observed\npressure dependence of Vf:u:and the LMR. First, we\nfocus on the Vf:u:reduction at C2=m!P3 transforma-\ntion. As discussed earlier, we concluded HS !LS spin\ncrossover takes place at the insulator-to-metal transition\nand structural transformation. However, the relatively\nsmall reduction in the Vf:u:is not explained simply by\nthe decrease in the ionic radius of Co2+. Remarkably,\nthe theoretical study predicts that the magnetic moment\nin CoPS 3is much more robust than Fe2+and Mn2+un-\nder pressure [33]. The study suggests that the CoPS 3in\neither theR3 orC2=m(\f\u001890\u000e) phases above 12.5 GPa\nis ferromagnetic. The magnetic moments of Co2+de-\ncrease signi\fcantly with increasing pressure but do not\nachieveS= 1=2 even at 50 GPa [33]. Although the\npredicted crystal structure di\u000bers from the one our ex-\nperiments determine, we then raise the possibility that\ntheP3 phase is in the middle of spin crossover where the\nHS- and LS-Co2+coexist.\nWe turn our eyes to the pressure dependence of the\nVf:u:in theP3 phase. Looking at several Fe-bearing\ncompounds and (Mg, Fe)O forsterite, we \fnd the changes\nin the pressure dependence of the volume due to the in-\nterplay between the compressibility and spin variation\ne\u000bect on the Fe3+ionic radius [49{51]. Considering theincomplete spin crossover discussed above, the signi\fcant\nreduction of Vf:u:between 7 and 12 GPa is potentially\ndue to the proceeding HS !LS crossover. Then, the\nmoderateVf:u:vs.Pslope above 12 GPa suggests the\nspin crossover's completion or moderate progress. The\nsigni\fcant hysteresis between the Vf:u:ofP3 upon com-\npression and decompression, especially below 12 GPa,\ncould be because the sample on compression has a bigger\nfraction of HS than decompression.\nNext, we try to discern the source responsible for LMR.\nLMR has been observed in a growing number of novel\nmaterials and often invoked as evidence for some exotic\nquasiparticles in materials [48, 52{67]. At the high-\feld\nlimit!c\u001c\u001d2\u0019, where!cis the cyclotron frequency,\nand the\u001cis the relaxation time, there have been sev-\neral suggested electronic and geometric mechanisms that\nsatisfy the criteria for a quantum LMR. The \frst is spe-\ncial features on the Fermi surface, including the linear\ndispersion from a Dirac cone with in\fnitesimally small\ncarrier mass [68{71]. The second is principally geomet-\nric in nature, including an average over a combination\nof open and closed electron trajectories in polycrystals\n[47, 64, 72{74].\nOn the other hand, the disorders of density and spin\nhave been suggested as universal mechanisms. The den-\nsity disorder provides an inhomogeneous distribution of\ncharge concentration and a\u000bects the conduction carrier\npath, admixing the Hall resistance component with MR\n[67, 69, 74]. Similarly, the LMR due to the magnetic dis-\norder has been observed for several 3 dferromagnets and\nthe antiferromagnetic normal conducting state of FeSe\n[75, 76]. Another is the LMR in CDW and SDW con-\ntaining materials [48]. From their nature, those three are\napplicable to the LMR to low-\fled limit !c\u001c\u001c2\u0019.\nTo examine the suggested mechanisms, we \frst es-\ntimate an average for all carriers !c\u001c=B/\u001anec =\n2.75\u000210\u00002at 2 T (10 GPa, 1.8 K) for CoPS 3. The cri-\nteria for quantum LMR ( !c\u001c\u001d2\u0019) (Ref. [69]) are thus\nnot satis\fed under our measurement conditions. Addi-\ntionally, CDW and SDW are not likely, judging from the\nfeatureless \u001axxvs.T. Also, we do not observe the ap-\npearance of satellite peaks in XRD, which is suggestive\nof CDW. Finally, the rapid diminution of A(T) (Fig. 5d)\nat elevated temperatures argues against the phonon- [70]\nor the excitation-based [66] scattering mechanisms.\nWe next test the density \ructuation scenario. Since\nour sample is under non-hydrostatic stress, it is the most\nstraightforward one to consider. However, the estimated\nne;av: and\u0016e;av: are far bigger and smaller than that of\nthe high-mobility and low-carrier density materials where\nthe density \ructuation e\u000bects become more prominent\n[67, 74, 77, 78]. Besides, since the pressure gradient in\nthe sample generally develops with pressure in a non-\nhydrostatic condition, a more ampli\fed density \ructua-\ntion and even more linear MR are expected, contrary to\nour experimental results. Thus, we defer concluding the\ndensity \ructuations as the dominant source.\nFinally, we consider the spin-disordered mechanism8\n2.01.00.0x10-6 10 GPa 1.8 K 20 K 100 KB // c I ⊥ c0.20.10.010 GPa 1.8 K 20 K 100 Kquad.-fit (y = B x2) lin.-fit (y = A x + C)B // c I ⊥ c2.01.00.0ρxy (Ωcm)x10-6 13 GPa 1.8 K 10 K 100 K 160 KB // c I ⊥ c0.20.10.0∆ρxx(B) / ρxx(0)13 GPa 1.8 K 10 K 100 K 160 KB // c I ⊥ c2.01.00.0x10-6 840B (T)15 GPa 10 K 100 K 160 KB // c I ⊥ c0.20.10.0840B (T)15 GPa 10 K 100 K 160 KB // c I ⊥ c(a)(b)840x10-2 10 GPa, 1.8 Kquad.-fitlin.-fit840∆ρxx(B) / ρxx(0)x10-2 13 GPa, 1.8 K40x10-2 420B (T)15 GPa, 10 K(c)\n1.00.50.0∆ρxx, 2T (Ωcm) x10-6 \n2001000T (K) Linear 10 GPa 13 GPa 15 GPa Quadratic 10 GPa 13 GPa 15 GPaCoPS3(d)\nFIG. 5. (color online) Transverse transport data from metallic CoPS 3at 10, 13, and 15 GPa. (a) The \u001axyat di\u000berent\ntemperatures. The data at 1.8 K and 20 K at 10 GPa overlap (top panel). The data at 1.8 K and 10 K at 13 GPa overlap\n(middle panel). (b) The \u0001 \u001axx(B)/\u001axx(B= 0). The dotted (black) and dashed (blue) lines in the top panel indicate the linear \ft,\ny=Ax+CwithA= 1.927(3)\u000210\u00003,C=\u00007.7772(3)\u000210\u00003) and quadratic-\fts (quad.-\ft, y=Bx2withB=4.82(4)\u000210\u00003)\nto the data at 10 GPa and 1.8 K. (c) \u0001 \u001axx(B)/\u001axx(B= 0) at low \feld. (d) The linear and quadratic components of MR under\na \feld of 4 T for CoPS 3. The broken lines are a guide for eyes.\n[75, 76]. In this model, ions with di\u000berent magnetic\nmoments coexist randomly. The inhomogeneously dis-\ntributed magnetic moments possibly a\u000bect the conduc-\ntion carrier trajectories allowing irregular current paths\nand the LMR. Based on our conclusion of the incomplete\nHS!LS spin crossover, it can be thought that HS- and\nLS-Co2+ions coexist and are arranged in a disordered\nmanner, possibly possessing a short-range magnetic or-\ndering. In Fig. 5c and 5d, we see the quadratic compo-\nnent of the LMR becomes more evident in the \u001axxvs.B\nat higher pressure, implying a more homogeneous mag-\nnetic moment distribution promoted by pressure. Be-\nsides, the linear component at 13 GPa and 15 GPa pos-\nsess almost the same value. Those observations are con-\nsistent with the interpretation that the spin crossover\nproceeds with pressure up to 12 GPa and stops or pro-\ngresses moderately above 12 GPa in the P3 phase.\nThe remaining question is how the insulator-to-\nmetal transition, the structural transition, and the spin\ncrossover relate to each other in CoPS 3. We propose two\nscenarios. The \frst is that the insulator-to-metal transi-\ntion occurs simultaneously with the structural transition.\nThe second is that metallization originates within the P3\nphase. This scenario arises from the observation thatCoPS 3is still a semiconductor at 7.4 GPa, while our Ra-\nman and XRD measurements suggest that the structural\ntransformation occurs at 7 GPa and completes within\n1 GPa. Besides, upon decompression, CoPS 3reverts\nto an insulator at 3.5 GPa, preceding the P3!C2=m\ntransition observed below 2 GPa. Currently, we do not\nhave precise and detailed data to address the discrep-\nancy in the transition pressures. The o\u000b-stoichiometry\nof the sample may also a\u000bect the transition pressures.\nFuture detailed studies including the simultaneous mea-\nsurements of electrical transport and crystal structure\nwould provide an unambiguous answer.\nTable 1 summarizes the structural and electronic evo-\nlution ofMPS3(M= V, Mn, Fe, Co, Ni, Cd) reported\nto date. All MPS3exhibitC2=m(\f\u0018107\u000e) to trigo-\nnal structural transition when subjected to pressure, de-\ncreasing their monoclinic angle to 90\u000eas a consequence\nof the inter-layer sliding. The insulator-to-metal transi-\ntion commonly occurs when MPS3compounds are in the\ntrigonal or C2=mwith\f\u001890\u000esymmetries.\nThe question is how the electronic con\fguration, mag-\nnetism, and structure correlate. We see that MPS3com-\npounds, as far as the available experimental data display,\ncan be classi\fed into two groups concerning their pressure9\nTABLE I. Structural and electronic phase diagram of MPS3s that metalize under compression. AFM outand AFM inmean that\nthe magnetic moments are pointing mostly out-of-plane and in-plane directions, respectively. The Pcis the pressure where\ncrystal structures start transformations. \u0001 Vis the volume reduction at insulator-to-metal transition.\nA.P. H.P. Ref. / Note\nV0:9PS3C2=m(\f\u0018107\u000e) C2=m(\f\u001890\u000e) [12, 22]\nAFM NAa,q= [010], ins. ins.bmet.c\nPc\u0000 2.6 GPa 12 GPa\n\u0001V\u00180.8%\nMnPS 3C2=m(\f\u0018107\u000e) P31m C 2=m(\f\u001890\u000e) [13, 18, 23, 24]\nAFM out,q= [010]or[000], ins. ins. met. spin crossover\nPc\u0000 10 GPa 28 GPa, \u0001 V\u001819.7% at 28 GPa\nFePS 3C2=m(\f\u0018107\u000e) C2=m(\f\u001890\u000e)P31m [4, 14, 21, 23, 24]\nAFM out,q= [011\n2], ins. AFM, q= [010], ins. met. spin crossover\nPc\u0000 2 GPa 14 GPa, \u0001 V\u001810.6% at 14 GPa\nCoPS 3C2=m(\f\u0018107\u000e) P3 [3, 23]\nAFM in,q= [010], ins. met. this study\nPc\u0000 7 GPa, \u0001V\u00182.9%\nNiPS 3C2=m(\f\u0018107\u000e) P3 P31m P 3m1 [16, 17, 23, 25]\nAFM in,q= [010], ins. ins. ins. met.\nPc\u0000 7.2 GPa 15 GPa 23 GPa\n\u0001V\u00182.8%\nCdPS 3C2=m(\f\u0018107\u000e) R3 R3 [20, 23]\nins.\nPc\u0000 0.25 GPa 8.7 GPa\naN.A.: information Not-Available\nbins.: insulator\ncmet.: metal\ntransformations. The \frst contains MnPS 3and FePS 3\nand exhibits the transformation between symmetries in\nthe group-subgroup relation ( C2=m$P31m). These\ncompounds align their magnetic moments mainly in the\nout-of-plane direction. The second group is comprised\nof CoPS 3and NiPS 3. These materials have moments\naligned largely in-plane. Contrary to the \frst group, the\ntransition process from the lower-pressure phase ( C2=m)\nto the \frst high-pressure phase is not in the simple group-\nsubgroup relation. As discussed, CoPS 3transforms from\nC2=m(14) toP3 (147) via a higher-symmetry phase such\nasP31m(162). NiPS 3is an insulator in the P3 phase.\nThus, Ni2+ions in the P3 phase may possibly be in the\nHS state. The potentially remaining magnetic moments\nof Co2+, and possibly Ni2+, are likely to a\u000bect the high-\npressure phase that succeeds the C2=m.\nFigure 6 is the visual summary of the TNs andVf:u:\nshown in Table I as a function of the ionic radius of M\nin HS states. In Fig. 6, the two groups (Mn and Fe,\nCo and Ni) discussed for the pressure-induced structural\ntransition are noticeable in the TNandVf:u. TheVf:u:sof NiPS 3and CoPS 3at 1 bar are similar. However, the\nVf:u:shows an obvious increase from FePS 3to MnPS 3\nwhile the ionic radius di\u000berence between Fe2+and Mn2+\nis smaller than between Ni2+and Co2+. TheTNde-\ncreases moderately with ionic radius from Ni2+to Co2+,\nfollowed by a steep decline from Fe2+to Mn2+. Remark-\nably those two groups are also observable in the volume\nreduction from the insulator to the metallic phases at\nthe metallization pressure. NiPS 3and CoPS 3commonly\nshow a smaller reduction than another group (Fe and\nMn). InMPS3compounds, the t2gandegorbitals play\nroles in bonding, and the hopping integrals between t2g\nandegshow di\u000berent anisotropy [7]. Thus, the occu-\npation of the electron orbitals, partially \flled t2gand\negorbitals of FePS 3and \flledt2gin NiPS 3for exam-\nple, has a direct in\ruence on the physical characteristics,\nmaking this group of compounds a rich platform to ex-\nplore novel quantum phenomena. Fig. 6 reveals that\nthe electronic con\fgurations at ambient pressure in\ru-\nence the high-pressure properties. We also notice that\nMnPSe 3and FePSe 3possess similar TNwith their anal-10\nantiferromagneticparamagneticmetallicinsulatorZnNiCoFeMnCdV\n2001000T (K)1.201.000.80Transition metal ionic radius (Å)TNMPS3MPSe3 1008060Vf.u. (Å3)1 barinsulatormetallicT = 300 K\nFIG. 6. (color online) MPS3phase diagram with tempera-\nture and volumes along the vertical axes and transition metal\n(M2+) ionic radius in HS state (except for V) along the\nhorizontal axis. Data are taken from Ref.[3, 4, 12{14, 16{\n18, 20, 22{24, 39, 79]. The solid marks in the upper panel\nindicate the Vf:u:at 1 bar (square), insulator phases (trian-\ngle), and metallic phases (circle). In the lower panel, the TN\nofMPS3are plotted with MnPSe 3and FePSe 3.\nogous MnPS 3and FePS 3. If Ni and Co follow this trend,\nwe may expect TNs of NiPSe 3and CoPSe 3to be close\nto NiPS 3and CoPS 3.\nV. SUMMARY\nWe have successfully grown high-quality single crys-\ntals of CoSP 3suitably large enough for conducting var-\nious high-pressure experiments. We studied the electri-\ncal transport and structural evolution of CoPS 3under\nquasi-uniaxial pressure along the layer-stacking direction\nthrough electrical resistance, Hall resistance, magnetore-\nsistance, Raman scattering, and XRD measurements.\nElectrical resistance signi\fcantly decreases as the pres-\nsure increases, consistent with the rise of the optical re-\n\rectivity of the sample. CoPS 3becomes metallic above 7\nGPa, accompanied by the monoclinic C2=m!trigonal\nP3 structural transition. Metallic CoPS 3shows no su-\nperconducting transition down to 2 K. The C2=m!P3\ntransformation induces a 2.9% reduction in Vf:u:, muchsmaller than that of the Mn and Fe analogous. The\nHall e\u000bect data indicate the metallic CoPS 3is a hole-\ndominant conductor. We observed the linear magnetore-\nsistance in a wide range of magnetic \felds. The linear\nmagnetoresistance, the small volume reduction across the\nstructural transition, and the previous theoretical pre-\ndiction [33] suggest the coexistence of HS- and LS-Co2+\nions and the inhomogeneous magnetic moment distribu-\ntion with a possible short-range magnetic ordering. Fur-\nthermore, the anomalous compression behavior of Vf:u:,\nand the pressure evolution of the electrical resistance and\nlinear magnetoresistance suggest the possibility that the\nmetallization occurs within the P3 and the spin crossover\ncompletes up to 12 GPa, or the progresses becomes mod-\nerate above the pressure in the P3. By revealing the\nhigh-pressure phase and electrical transport property of\nCoPS 3, this report summarizes the comprehensive phase\ndiagram of MPS3(M= V, Mn, Fe, Co, Ni, Cd) that\nmetalize under compression. MPS3at ambient pressure\nhas been an excellent platform for exploring emergent\nquantum phenomena due to their various electronic con-\n\fgurations. The phase diagram reveals that the elec-\ntronic con\fgurations at ambient pressure strongly in\ru-\nence the structural and electronic properties of MPS3\nat high pressures, making this series of compounds suit-\nable platforms for exploring new physical properties un-\nder compression.\nACKNOWLEDGMENTS\nThis research is funded by the Gordon and Betty\nMoore Foundation's EPiQS Initiative, Grant GBMF9069\nto D.M. XRD experiments in this study were performed\nat GSECARS (Sector 13), Advanced Photon Source\n(APS), Argonne National Laboratory. GSECARS is sup-\nported by the National Science Foundation { Earth Sci-\nences (EAR-1634415). This research used resources of\nthe Advanced Photon Source, a U.S. Department of En-\nergy (DOE) O\u000ece of Science User Facility operated for\nthe DOE O\u000ece of Science by Argonne National Labora-\ntory under Contract No. DE-AC02-06CH11357. Crys-\ntal growth and Raman characterization were performed\nunder Air Force O\u000ece of Scienti\fc Research (AFOSR)\ngrant LRIR 23RXCOR003 and AOARD-MOST Grant\nNumber F4GGA21207H002. Part of the XRD experi-\nment was supported by COMPRES under NSF Cooper-\native Agreement EAR-1606856. We thank Dr. Antonio\nM. dos Santos and Prof. Maik Lang for providing us with\nthe DACs for the XRD measurements. We thank Prof.\nHeung-Sik Kim and Dr. Sungmo Kang for the fruitful\ncomments and discussions.\n[1] M. A. Susner, M. Chyasnavichyus, M. A. McGuire,\nP. Ganesh, and P. Maksymovych, Metal Thio- and Se-lenophosphates as Multifunctional van der Waals Layered\nMaterials, Advanced Materials 29, 1602852 (2017).11\n[2] M. Zhu, H. Kou, K. Wang, H. Wu, D. Ding, G. Zhou, and\nS. Ding, Promising functional two-dimensional lamellar\nmetal thiophosphates: synthesis strategies, properties\nand applications, Materials Horizons 7, 3131 (2020).\n[3] A. R. Wildes, V. Simonet, E. Ressouche, R. Ballou, and\nG. J. McIntyre, The magnetic properties and structure of\nthe quasi-two-dimensional antiferromagnet CoPS 3, Jour-\nnal of Physics: Condensed Matter 29, 455801 (2017).\n[4] M. J. Coak, D. M. Jarvis, H. Hamidov, A. R. Wildes,\nJ. A. M. Paddison, C. Liu, C. R. S. Haines, N. T. Dang,\nS. E. Kichanov, B. N. Savenko, S. Lee, M. Kratochv\u0013 \u0010lov\u0013 a,\nS. Klotz, T. C. Hansen, D. P. Kozlenko, J.-G. Park, and\nS. S. Saxena, Emergent Magnetic Phases in Pressure-\nTuned van der Waals Antiferromagnet FePS 3, Physical\nReview X 11, 011024 (2021).\n[5] A. R. Wildes, S. J. Kennedy, and T. J. Hicks, True\ntwo-dimensional magnetic ordering in MnPS 3, Journal\nof Physics: Condensed Matter 6, L335 (1994).\n[6] A. R. Wildes, V. Simonet, E. Ressouche, G. J. McIn-\ntyre, M. Avdeev, E. Suard, S. A. J. Kimber, D. Lan\u0018 con,\nG. Pepe, B. Moubaraki, and T. J. Hicks, Magnetic\nstructure of the quasi-two-dimensional antiferromagnet\nNiPS 3, Physical Review B 92, 224408 (2015).\n[7] H.-S. Kim, K. Haule, and D. Vanderbilt, Mott Metal-\nInsulator Transitions in Pressurized Layered Trichalco-\ngenides, Physical Review Letters 123, 236401 (2019).\n[8] X. Li, T. Cao, Q. Niu, J. Shi, and J. Feng, Coupling\nthe valley degree of freedom to antiferromagnetic order,\nProceedings of the National Academy of Sciences 110,\n3738 (2013).\n[9] P. A. Joy and S. Vasudevan, Magnetism in the layered\ntransition-metal thiophosphates MPS 3(M =Mn, Fe, and\nNi), Physical Review B 46, 5425 (1992).\n[10] D. Lan\u0018 con, H. C. Walker, E. Ressouche, B. Oulad-\ndiaf, K. C. Rule, G. J. McIntyre, T. J. Hicks, H. M.\nR\u001cnnow, and A. R. Wildes, Magnetic structure and\nmagnon dynamics of the quasi-two-dimensional antifer-\nromagnet FePS 3, Physical Review B 94, 214407 (2016).\n[11] A. R. Wildes, H. M. R\u001cnnow, B. Roessli, M. J. Har-\nris, and K. W. Godfrey, Static and dynamic critical\nproperties of the quasi-two-dimensional antiferromagnet\nMnPS 3, Physical Review B - Condensed Matter and Ma-\nterials Physics 74, 1 (2006).\n[12] M. J. Coak, S. Son, D. Daisenberger, H. Hamidov,\nC. R. S. Haines, P. L. Alireza, A. R. Wildes, C. Liu, S. S.\nSaxena, and J.-G. Park, Isostructural Mott transition in\n2D honeycomb antiferromagnet V 0:9PS3, npj Quantum\nMaterials 4, 38 (2019).\n[13] Y. Wang, Z. Zhou, T. Wen, Y. Zhou, N. Li, F. Han,\nY. Xiao, P. Chow, J. Sun, M. Pravica, A. L. Cor-\nnelius, W. Yang, and Y. Zhao, Pressure-Driven Co-\noperative Spin-Crossover, Large-Volume Collapse, and\nSemiconductor-to-Metal Transition in Manganese(II)\nHoneycomb Lattices, Journal of the American Chemical\nSociety 138, 15751 (2016).\n[14] Y. Wang, J. Ying, Z. Zhou, J. Sun, T. Wen, Y. Zhou,\nN. Li, Q. Zhang, F. Han, Y. Xiao, P. Chow, W. Yang,\nV. V. Struzhkin, Y. Zhao, and H.-K. Mao, Emergent su-\nperconductivity in an iron-based honeycomb lattice initi-\nated by pressure-driven spin-crossover, Nature Commu-\nnications 9, 1914 (2018).\n[15] C. R. S. Haines, M. J. Coak, A. R. Wildes, G. I.\nLampronti, C. Liu, P. Nahai-Williamson, H. Hamidov,\nD. Daisenberger, and S. S. Saxena, Pressure-InducedElectronic and Structural Phase Evolution in the van der\nWaals Compound FePS 3, Physical Review Letters 121,\n266801 (2018).\n[16] T. Matsuoka, A. Haglund, R. Xue, J. S. Smith, M. Lang,\nA. M. dos Santos, and D. Mandrus, Pressure-Induced In-\nsulator{Metal Transition in Two-Dimensional Mott In-\nsulator NiPS 3, Journal of the Physical Society of Japan\n90, 124076 (2021).\n[17] X. Ma, Y. Wang, Y. Yin, B. Yue, J. Dai, J. Cheng, J. Ji,\nF. Jin, F. Hong, J.-T. Wang, Q. Zhang, and X. Yu, Di-\nmensional crossover tuned by pressure in layered mag-\nnetic NiPS 3, Science China Physics, Mechanics & As-\ntronomy 64, 297011 (2021).\n[18] N. C. Harms, H.-s. Kim, A. J. Clune, K. A. Smith, K. R.\nO'Neal, A. V. Haglund, D. G. Mandrus, Z. Liu, K. Haule,\nD. Vanderbilt, and J. L. Musfeldt, Piezochromism in the\nmagnetic chalcogenide MnPS 3, npj Quantum Materials\n5, 56 (2020).\n[19] R. Rao, B. S. Conner, R. Selhorst, and M. A. Susner,\nPressure-driven phase transformations and phase segre-\ngation in ferrielectric CuInP 2S6-In4=3P2S6self-assembled\nheterostructures, Physical Review B 104, 235421 (2021).\n[20] M. Niu, H. Cheng, X. Li, J. Yu, X. Yang, Y. Gao, R. Liu,\nY. Cao, K. He, X. Xie, Q. Shen, M. Lu, L. Wang, T. Yin,\nand J. Yan, Pressure-induced phase transitions in weak\ninterlayer coupling CdPS 3, Applied Physics Letters 120,\n233104 (2022).\n[21] N. C. Harms, K. A. Smith, A. V. Haglund, D. G. Man-\ndrus, Z. Liu, H.-s. Kim, and J. L. Musfeldt, Metal Site\nSubstitution and Role of the Dimer on Symmetry Break-\ning in FePS 3 and CrPS 4 under Pressure, ACS Applied\nElectronic Materials 4, 3246 (2022).\n[22] G. Ouvrard, R. Fr\u0013 eour, R. Brec, and J. Rouxel, A mixed\nvalence compound in the two dimensional MPS3family:\nV0:78PS3structure and physical properties, Materials Re-\nsearch Bulletin 20, 1053 (1985).\n[23] G. Ouvrard, R. Brec, and J. Rouxel, Structural determi-\nnation of some MPS 3layered phases (M = Mn, Fe, Co,\nNi and Cd), Materials Research Bulletin 20, 1181 (1985).\n[24] M. J. Coak, D. M. Jarvis, H. Hamidov, C. R. Haines,\nP. L. Alireza, C. Liu, S. Son, I. Hwang, G. I. Lam-\npronti, D. Daisenberger, P. Nahai-Williamson, A. R.\nWildes, S. S. Saxena, and J. G. Park, Tuning dimen-\nsionality in van-der-Waals antiferromagnetic Mott insu-\nlators TMPS 3, Journal of Physics Condensed Matter 32,\n124003 (2020).\n[25] N. C. Harms, T. Matsuoka, S. Samanta, A. J. Clune,\nK. A. Smith, A. V. Haglund, E. Feng, H. Cao, J. S. Smith,\nD. G. Mandrus, H.-s. Kim, Z. Liu, and J. L. Musfeldt,\nSymmetry progression and possible polar metallicity in\nNiPS 3under pressure, npj 2D Materials and Applications\n6, 40 (2022).\n[26] E. Ressouche, M. Loire, V. Simonet, R. Ballou, A. Stu-\nnault, and A. Wildes, Magnetoelectric MnPS 3as a candi-\ndate for ferrotoroidicity., Physical Review B 82, 100408/1\n(2010).\n[27] A. Bhutani, J. L. Zuo, R. D. McAuli\u000be, C. R. dela Cruz,\nand D. P. Shoemaker, Strong anisotropy in the mixed an-\ntiferromagnetic system Mn 1\u0000xFexPSe3, Physical Review\nMaterials 4, 034411 (2020).\n[28] A. Wiedenmann, J. Rossat-Mignod, A. Louisy, R. Brec,\nand J. Rouxel, Neutron di\u000braction study of the layered\ncompounds manganese selenophosphate (MnPSe 3) and\niron selenophosphate (FePSe 3)., Solid State Communi-12\ncations 40, 1067 (1981).\n[29] K. C. Rule, G. J. McIntyre, S. J. Kennedy, and T. J.\nHicks, Single-crystal and powder neutron di\u000braction ex-\nperiments on FePS 3: Search for the magnetic structure.,\nPhysical Review B: Condensed Matter and Materials\nPhysics 76, 134402/1 (2007).\n[30] K. Ichimura and M. Sano, Electrical conductivity of lay-\nered transition-metal phosphorus trisul\fde crystals, Syn-\nthetic Metals 45, 203 (1991).\n[31] G. Le Flem, R. Brec, G. Ouvard, A. Louisy, and P. Seg-\nransan, Magnetic interactions in the layer compounds\nMPX3(M= Mn, Fe, Ni; X= S, Se), Journal of Physics\nand Chemistry of Solids 43, 455 (1982).\n[32] G. OUVRARD, R. BREC, and J. ROUXEL, SYNTHE-\nSIS AND PHYSICAL CHARACTERIZATION OF THE\nLAMELLAR COMPOUND COPS 3, COMPTES REN-\nDUS DE L ACADEMIE DES SCIENCES SERIE II 294,\n971 (1982).\n[33] Y. Gu, S. Zhang, and X. Zou, Tunable magnetism in\nlayered CoPS 3by pressure and carrier doping, Science\nChina Materials 64, 673 (2021).\n[34] A. F. May, J. Yan, and M. A. McGuire, A practical guide\nfor crystal growth of van der Waals layered materials,\nJournal of Applied Physics 128, 051101 (2020).\n[35] H. K. Mao, J. Xu, and P. M. Bell, Calibration of the ruby\npressure gauge to 800 kbar under quasi-hydrostatic con-\nditions, Journal of Geophysical Research 91, 4673 (1986).\n[36] URL_will_be_inserted_by_publisher (2022), see the\nSupplemental Material for the experimental setups, XRD\nimages, the equation states, Gruneisen parameters, and\nRaman scattering spectra analysis.\n[37] C. Prescher and V. B. Prakapenka, DIOPTAS : a pro-\ngram for reduction of two-dimensional X-ray di\u000braction\ndata and data exploration, High Pressure Research 35,\n223 (2015).\n[38] Y. Seto, D. Nishio-Hamane, T. Nagai, and N. Sata, De-\nvelopment of a Software Suite on X-ray Di\u000braction Ex-\nperiments, The Review of High Pressure Science and\nTechnology 20, 269 (2010).\n[39] R. D. Shannon, Revised e\u000bective ionic radii and sys-\ntematic studies of interatomic distances in halides and\nchalcogenides, Acta Crystallographica Section A 32, 751\n(1976).\n[40] Q. Liu, L. Wang, Y. Fu, X. Zhang, L. Huang, H. Su,\nJ. Lin, X. Chen, D. Yu, X. Cui, J.-W. Mei, and J.-F. Dai,\nMagnetic order in XY-type antiferromagnetic monolayer\nCoPS 3revealed by Raman spectroscopy, Physical Review\nB103, 235411 (2021).\n[41] S. Klotz, J.-C. Chervin, P. Munsch, and G. Le Marc-\nhand, Hydrostatic limits of 11 pressure transmitting me-\ndia, Journal of Physics D: Applied Physics 42, 075413\n(2009).\n[42] I. Fujishiro, G. Piermarini, S. Block, and\nR. Munro, Viscosities and glass transition pres-\nsure in the methanol-ethanol-water system, in\nProceedings of the 8th AIRAPT and 19th EHPRG Conference vol. II,\nedited by C.-M. Backman, T. Johannisson, and\nL. Tengn\u0013 er (1982) p. 608.\n[43] N. Tateiwa and Y. Haga, Evaluations of pressure-\ntransmitting media for cryogenic experiments with di-\namond anvil cell, Review of Scienti\fc Instruments 80,\n123901 (2009).\n[44] K. Momma and F. Izumi, VESTA 3 for three-dimensional\nvisualization of crystal, volumetric and morphology data,Journal of Applied Crystallography 44, 1272 (2011).\n[45] L. Savary, Transverse transport, Nature Physics 14, 1073\n(2018).\n[46] I. M. Lifshits, M. I. Azbel, and M. I. Kaganov, The The-\nory of Galvanomagnetic E\u000bects in Metals, Soviet Physics\nJetp-Ussr 4, 41 (1957).\n[47] J. M. Ziman, Galvanomagnetic properties of cylindrical\nfermi surfaces, Philosophical Magazine 3, 1117 (1958).\n[48] Y. Feng, Y. Wang, D. M. Silevitch, J.-Q. Yan,\nR. Kobayashi, M. Hedo, T. Nakama, Y. \u0016Onuki, A. V.\nSuslov, B. Mihaila, P. B. Littlewood, and T. F. Rosen-\nbaum, Linear magnetoresistance in the low-\feld limit\nin density-wave materials, Proceedings of the National\nAcademy of Sciences 116, 11201 (2019).\n[49] B. Chen, J. M. Jackson, W. Sturhahn, D. Zhang, J. Zhao,\nJ. K. Wicks, and C. A. Murphy, Spin crossover equation\nof state and sound velocities of (Mg 0:65Fe0:35)O ferroperi-\nclase to 140 GPa, Journal of Geophysical Reseaerch Solid\nEarth 117, B082081 (2012).\n[50] E. Greenberg, W. M. Xu, M. Nikolaevsky, E. Bykova,\nG. Garbarino, K. Glazyrin, D. G. Merkel, L. Dubrovin-\nsky, M. P. Pasternak, and G. K. Rozenberg, High-\npressure magnetic, electronic, and structural properties\nofMFe2O4(M= Mg, Zn, Fe) ferric spinels, Physical\nReview B 95, 195150 (2017).\n[51] G. K. Rozenberg, M. P. Pasternak, W. M. Xu, L. S.\nDubrovinsky, S. Carlson, and R. D. Taylor, Conse-\nquences of pressure-instigated spin crossover in RFeO 3\nperovskites; a volume collapse with no symmetry modi-\n\fcation, Europhysics Letters (EPL) 71, 228 (2005).\n[52] J. Wang, A. M. DaSilva, C.-Z. Chang, K. He, J. K.\nJain, N. Samarth, X.-C. Ma, Q.-K. Xue, and M. H. W.\nChan, Evidence for electron-electron interaction in topo-\nlogical insulator thin \flms, Physical Review B 83, 245438\n(2011).\n[53] Y. Zhao, C.-Z. Chang, Y. Jiang, A. DaSilva, Y. Sun,\nH. Wang, Y. Xing, Y. Wang, K. He, X. Ma, Q.-K. Xue,\nand J. Wang, Demonstration of surface transport in a\nhybrid Bi 2Se3/Bi2Te3heterostructure, Scienti\fc Reports\n3, 3060 (2013).\n[54] H. Tang, D. Liang, R. L. J. Qiu, and X. P. a. Gao,\nTwo-Dimensional Transport-Induced Linear Magneto-\nResistance in Topological Insulator Bi 2Se3Nanoribbons,\nACS Nano 5, 7510 (2011).\n[55] C. M. Wang and X. L. Lei, Linear magnetoresistance on\nthe topological surface, Physical Review B 86, 035442\n(2012).\n[56] G. M. Gusev, E. B. Olshanetsky, Z. D. Kvon, N. N.\nMikhailov, and S. A. Dvoretsky, Linear magnetoresis-\ntance in HgTe quantum wells, Physical Review B 87,\n081311 (2013).\n[57] X. Wang, Y. Du, S. Dou, and C. Zhang, Room Temper-\nature Giant and Linear Magnetoresistance in Topologi-\ncal Insulator Bi 2Te3Nanosheets, Physical Review Letters\n108, 266806 (2012).\n[58] M. Novak, S. Sasaki, K. Segawa, and Y. Ando, Large\nlinear magnetoresistance in the Dirac semimetal TlBiSSe,\nPhysical Review B 91, 041203 (2015).\n[59] T. Liang, Q. Gibson, M. N. Ali, M. Liu, R. J. Cava, and\nN. P. Ong, Ultrahigh mobility and giant magnetoresis-\ntance in the Dirac semimetal Cd 3As2, Nature Materials\n14, 280 (2015).\n[60] Y. Zhao, H. Liu, C. Zhang, H. Wang, J. Wang, Z. Lin,\nY. Xing, H. Lu, J. Liu, Y. Wang, S. M. Brombosz,13\nZ. Xiao, S. Jia, X. C. Xie, and J. Wang, Anisotropic Fermi\nSurface and Quantum Limit Transport in High Mobil-\nity Three-Dimensional Dirac Semimetal Cd 3As2, Physi-\ncal Review X 5, 031037 (2015).\n[61] J. Feng, Y. Pang, D. Wu, Z. Wang, H. Weng, J. Li,\nX. Dai, Z. Fang, Y. Shi, and L. Lu, Large linear magne-\ntoresistance in Dirac semimetal Cd 3As2with Fermi sur-\nfaces close to the Dirac points, Physical Review B 92,\n081306 (2015).\n[62] C. Shekhar, A. K. Nayak, Y. Sun, M. Schmidt, M. Nick-\nlas, I. Leermakers, U. Zeitler, Y. Skourski, J. Wosnitza,\nZ. Liu, Y. Chen, W. Schnelle, H. Borrmann, Y. Grin,\nC. Felser, and B. Yan, Extremely large magnetoresistance\nand ultrahigh mobility in the topological Weyl semimetal\ncandidate NbP, Nature Physics 11, 645 (2015).\n[63] Y. Zhao, H. Liu, J. Yan, W. An, J. Liu, X. Zhang,\nH. Wang, Y. Liu, H. Jiang, Q. Li, Y. Wang, X.-Z.\nLi, D. Mandrus, X. C. Xie, M. Pan, and J. Wang,\nAnisotropic magnetotransport and exotic longitudinal\nlinear magnetoresistance in WTe 2crystals, Physical Re-\nview B 92, 041104 (2015).\n[64] R. Xu, A. Husmann, T. F. Rosenbaum, M.-L. Saboungi,\nJ. E. Enderby, and P. B. Littlewood, Large magnetoresis-\ntance in non-magnetic silver chalcogenides, Nature 390,\n57 (1997).\n[65] W. Zhang, R. Yu, W. Feng, Y. Yao, H. Weng, X. Dai,\nand Z. Fang, Topological Aspect and Quantum Magne-\ntoresistance of \f-Ag2Te, Physical Review Letters 106,\n156808 (2011).\n[66] A. A. Sinchenko, P. D. Grigoriev, P. Lejay, and P. Mon-\nceau, Linear magnetoresistance in the charge density\nwave state of quasi-two-dimensional rare-earth tritel-\nlurides, Physical Review B 96, 245129 (2017).\n[67] T. Khouri, U. Zeitler, C. Reichl, W. Wegscheider, N. E.\nHussey, S. Wiedmann, and J. C. Maan, Linear Mag-\nnetoresistance in a Quasifree Two-Dimensional Electron\nGas in an Ultrahigh Mobility GaAs Quantum Well, Phys-\nical Review Letters 117, 256601 (2016).\n[68] A. A. Abrikosov, Quantum magnetoresistance, Physical\nReview B 58, 2788 (1998).[69] A. A. Abrikosov, Quantum linear magnetoresistance, Eu-\nrophysics Letters (EPL) 49, 789 (2000).\n[70] R. A. Young, In\ruence of Localized Umklapp Scattering\non the Galvanomagnetic Properties of Metals, Physical\nReview 175, 813 (1968).\n[71] M. Naito and S. Tanaka, Galvanomagnetic E\u000bects in the\nCharge-Density-Wave State of 2H-NbSe 2and 2H-TaSe 2,\nJournal of the Physical Society of Japan 51, 228 (1982).\n[72] J. C. W. Song, G. Refael, and P. A. Lee, Linear mag-\nnetoresistance in metals: Guiding center di\u000busion in a\nsmooth random potential, Physical Review B 92, 180204\n(2015).\n[73] M. M. Parish and P. B. Littlewood, Non-saturating mag-\nnetoresistance in heavily disordered semiconductors, Na-\nture426, 162 (2003).\n[74] J. Hu and T. F. Rosenbaum, Classical and quantum\nroutes to linear magnetoresistance, Nature Materials 7,\n697 (2008).\n[75] B. Raquet, M. Viret, P. Warin, E. Sondergard, and\nR. Mamy, Negative high \feld magnetoresistance in 3d\nferromagnets, Physica B: Condensed Matter 294-295 ,\n102 (2001).\n[76] Q. Wang, W. Zhang, W. Chen, Y. Xing, Y. Sun, Z. Wang,\nJ.-W. Mei, Z. Wang, L. Wang, X.-C. Ma, F. Liu, Q.-K.\nXue, and J. Wang, Spin \ructuation induced linear mag-\nnetoresistance in ultrathin superconducting FeSe \flms,\n2D Materials 4, 034004 (2017).\n[77] W. Pan, J. S. Xia, H. L. Stormer, D. C. Tsui, C. L. Vi-\ncente, E. D. Adams, N. S. Sullivan, L. N. Pfei\u000ber, K. W.\nBaldwin, and K. W. West, Quantization of the Diagonal\nResistance: Density Gradients and the Empirical Resis-\ntance Rule in a 2D System, Physical Review Letters 95,\n066808 (2005).\n[78] S. H. Simon and B. I. Halperin, Explanation for the re-\nsistivity law in quantum hall systems, Physical Review\nLetters 73, 3278 (1994).\n[79] E. Prouzet, G. Ouvrard, and R. Brec, Structure deter-\nmination of ZnPS 3, Materials Research Bulletin 21, 195\n(1986)." }, { "title": "0707.2518v1.Positive_and_negative_pressure_effects_on_the_magnetic_ordering_and_the_Kondo_effect_in_the_compound_Ce2RhSi3.pdf", "content": "Positiv e and negative pressure effects on the magnetic o rdering and the Kondo \neffect in the compound, Ce 2RhSi 3\n \nT. Nakano,* Kausik Sengupta,a Sudhindra Rayaprol,a M. Hedo, Y. Uwatoko and E.V. \nSampathkum arana,$\nThe Institute for Solid State Physics, The University of Tokyo, 5-1-5 Kashiwanoha, \nKashiwa, Chiba 277 8581, Japan \naTata Ins titute of Funda mental Research, Homi Bhabha Road, Mumbai-400005, India \n \nAbstract \n \nThe com petition between m agnetic or dering and the Kondo effect in Ce 2RhSi 3, ordering \nantiferrom agnetically at 7 K, is investigated by the m easur ements of ma gnetization, heat \ncapacity and electrical resist ivity on the solid solutions, Ce 2-xLaxRhSi 3, Ce 2-yYyRhSi 3, and \nCe2RhSi 3-zGez, as well as by high pre ssure studies on this co mpound. The trends in the \nKondo and Néel tem perature va riations am ong these alloys are com pared to infer the \nroles of unit-cell volum e and elect ronic stru cture changes. On the basis o f the results, we \ninfer that this com pound lies at the peak of Doniach’s m agnetic phase diagram . The \nhigh pres sure electrical resis tivity d ata indi cate that the qu antum critic al poin t for this \ncompound i s in the vicinity of 4 GPa. \n \nPACS numbers: 75.20.H r; 71.27.+a; 75.30.Mb; 75.50.-y \n \n \n \n (Publised in : J. Phys.: Condensed Matter. 19 (2007) 326205)\n 1I. Introductio n \nThe Ce-based interm etallic com pounds are at the centre stage of the field of \n‘strong ly co rrelated electron b ehavior’. In this respect, the study of the com petition \nbetween the Kondo effe ct and m agnetic orderi ng continues to be a fruitful direction to \npursue, as m ost of the di scoveries of various phenom ena (e.g., heavy-ferm ion \nsuperconductivity, non-F ermi liquid behavior) in this field are essen tially a consequence \nof this direc tion of resea rch. Theref ore, it is of interes t to ca rry out such investig ation s in \nnew Ce compounds. In this article, we a ddress this com petition phenomena in the Kondo \nlattice, Ce 2RhSi 3, crys tallizing in a AlB 2-derived hexagonal structure [1, 2] as very little \nwork has been done on this com pound, though it was discovered few decades ago. In this \ncompound, Ce is trivalent and was established to order anti-ferrom agnetically near 7 K \nby bulk m easurem ents; the neutron diffraction studies [3, 4] reveal that th e magnetic \nmom ent of 1.3 µ B at 1.3 K lies parallel to the b-ax is of an orthorhom bic m agnetic unit \ncell. There is som e ambiguity in the exact space-group this class of ternary rare-earth \ncompounds belong to, but it appears that the P63/mmc space group is the m ost probable \none [ 5]. Despite the f act th at th ere are two sites for Ce (called 2(b) and 6(h)) in this \ncrysta l stru cture, the measurem ents till to date could reveal only one magnetic trans ition, \nas ind icated by a well-defined peak in the plot of m agnetic suscep tibility (χ) versus \ntemperature (T) [3]. The plot of heat -capacity (C) divided by T versus T2 is linea r in the \nparam agnetic state below 20 K and the linear term was foun d to be about 100 m J/mol K2; \nit is not clear whether it rep resents true el ectronic term , as possible in terference from \nshort range m agnetic order and Schottky ef fects due to crystal-fiel d effects in this \ntemperature range. For our purpose, we have investig ated the inf luence of positive and \nnegative chem ical pressure by gradual substitu tion of Ce by Y and La and Ge for Si on \nthe m agnetic and transport behavior of this com pound. The m ain difference between \nLa/Y doping on the one hand and Ge doping on the other is that in the form er cases there \nis a dilution of the Kondo lattice, w hereas in the latter, the Ce s ub-lattice is undisturbed. \nThe initia l results on Y and La subs tituted sam ples hav e been repor ted in a conf erence \nproceed ings [6]. In a ddition, we have car ried out m agnetization (M) and electrical \nresistivity (ρ) studies under external pressure up to 8 GPa. \n \nII. Experimental details \nThe sam ples, Ce 2-xLaxRhSi 3, Ce 2-yYyRhSi 3, and Ce 2RhSi 3-zGez, (x= y= 0.0, 0.3, \n0.5, 1.0, 1.5, 1.7 and 2. 0; z= 0.2, 0.4, 0.6, 0.8 and 1.0), w ere prepared by arc m elting \nstoichiom etric am ounts of constituent elem ents, followed by homogenization in \nevacuated sealed tubes at 800 C for 5 days . The sam ples were characterized by x-ray \ndiffraction (Cu K α) and the lattice constants are listed in Table 1. Typical x-ray \ndiffraction patterns are shown in figure 1. W e are not ab le to s ynthesize single phase \ncompositions for higher values of z in the Ge substitu ted alloys. Dc m agnetization \nmeasure ments (T= 1.8-300 K) were carried out employing a commercial superconducting \nquantum interference device (SQUID) (Quantum Design, USA). The heat-capacity data \n(1.8 – 15 K) were collected with a Physical Property M easurem ents System (PPMS) \n(Quantum Design, USA). The ρ measurem ents were perform ed (1.8-300 K) for all these \nalloys by a four-probe m ethod em ploying a c onducting silver paint for making electrical \ncontacts. For the parent Ce com pound, we have also perform ed ρ measurem ents under \nexternal pressure em ploying a cubic anvil hi gh pressure system up to 8 GPa down to 1.8 \n 2K using a mixture of Flourine rt FC-70 and FC-77 as a p ressur e tran smitting m edium ; \nmeasure ments were extended with a hybrid cylinder (NiCrA l, CuBe ) pressure cell down \nto 50 m K up to 3.2 GPa in a dilution refr igerator using Daphne oil as a pressure \ntransm itting medium . The m agnetization m easur ements (2-300 K) under pressure (Cu -Be \ncell) were also perform ed up to 1.5 GPa in the SQUID m agnetom eter. \n \nIII. Results and discussion \nA. Magnetic s usceptibility \n In figure 2, we show dc χ behavior obtained in a m agnetic field (H) of 5 kOe in \nthe form of χ-1 versus T for all the alloys. As reporte d earlier [3], for x= 0.0, the plot is \nlinear above 100 K and at lower tem peratures there is a devia tion from linearity \npresum ably due to crystal-field effects and/or the Kondo effect. The value of the \neffective m oment (µ eff= 2.46µ B/Ce) obtained fro m the linea r region is typical of trivalent \nions; the param agnetic Curie tem perature (θp) is about -65 K. Sin ce the m agnetic ordering \nsets in at m uch lower tem peratures (below 7 K) , clearly such a large m agnitude of θp \n(with a negative sign) implies the existen ce of the Kondo effect. The values of θp are \ngiven in table 1. In the cas e of Kondo lattices, naively speaking, one could expect a \ncontr ibution from indirect exchange intera ction as well to θp. However, in these alloys, \nthis contribution to θp is neglig ible, n oting tha t the values of θp even for heavy rare -earth \nmembers are m uch sm aller [1] (which means th at the de G ennes s caled θp value for t he \nCe case is s maller than 1 K). Theref ore, it is safe to assum e the well-es tablish ed fact [7] \nthat this param eter is directly re lated to single-ion Kondo tem perature (T K) for thes e \nKondo alloys. As La(Y) concentration is increased, the negative sign of θp is retained f or \nall Ce c ontaining com position s, but the m agnitude decr eases (incr eases). In the case of \nLa series, the value of θp is about -30 K for x= 1.5, whereas the corresponding \ncomposition in Y series is characterized by a value of -180 K. From these values, it is \nclear that L a(Y) substitution depresses (enhances) T K. In the case of Ge substitu ted \nalloys, θp shows a decreasing trend with increasi ng Ge concentration. Since, in the Ge \nseries, the C e sublattice is not disturbed unlike in La series, one can confidently s tate that \nthe un it-cell volum e (V) change induced by an expansion of the lattice bea rs an \nobservable effect on the depression of T K [8]. A careful com parison of the unit-cell \nvolum e values (see table 1) of La and Ge substituted all oys, however, in dicates that the \nlattice expan sion by the substitu tion at the Si site by Ge is more effectiv e in reduc ing T K, \nas an increase of V by about 3 Å3 in the case of for mer decreases θp by few Kelvin only, \nwhereas in the latter, the valu e falls by as m uch as about 15 K. This comparison reveals \nthat there a re possib le elec tronic structur e variations f ollowing Ge substitu tion f or Si \nwhich play a dom inant role to d epress T K. W ith respect to Ce valence behavior, the \nlattice com pression caus ed by Y sub stitution does not c ause any change in the v alence of \nCe, as the value of µ eff per Ce is practically the sam e as that of the parent com pound. \n In order to infer the trends in the varia tion of TN, we have perfor med χ \nmeasure ments in the presence of a field of 5 kOe after co oling the sam ple to 1.8 K in \nzero field, that is, for the zero-field-cooled (ZFC) condition of the specim en (see figure \n3). As m entioned earlier, there is a well-de fined peak due to m agnetic ordering near 7 K \nfor the parent Ce compound in χ(T). This peak shif ts to lower tem perature s with \nincreasing L a concentration; in the case of Y series, m agnetic ordering manifests itself as \na tendency of χ to flatten. The temperatu re at which these features app ear are taken as a \n 3measure of T N. It is cle ar that both L a and Y sub stitutions cause sim ilar e ffects on T N. For \ncompositions m ore than 1.0, the m agnetic transi tion shifts below 2 K, as indicated by the \ncharac teristic f eatures d escrib ed abo ve. For the Ge substitu ted alloys, th e features d ue to \nmagnetic ordering appear at the nearly sa me tem perature ; tha t is, the tran sition \ntemperature is not strongly dependent on z. It should however be noted that T K as \nindicated by θp decreases by about 25% as z is increased from 0.0 to 1.0. This im plies that \nthe peak region in the Doniach’s phas e diagram [9] is reasonably broad. \n \nB. Heat-capacity \nWe infer the trends in T N variation on the basis of the features in C(T ) plots as \nwell. The results of C measurem ents are shown in figure 4 for relevant compositions. It is \ndistin ctly clear that, for com positio ns less than 1.5 in the La and Y substitu ted alloys, \nthere are features attributable to magnetic ordering in the form of a peak in C(T). Though \nit is ve ry difficult to p recise ly de termine the v alue of TN due to broadened C(T) peaks, \none can dra w a qualitative conclus ion by com paring the C( T) curves that there is a \ngradual depression of T N with increasing La or Y. The poi nt to be noted is that both La \nand Y substitutions are alm ost equally effective in depressing T N for a given com position \nsupporting the conclusions from fi gure 3. [F ollowing Ref. 10 for such broadened C(T) \ncurves, we arbitrarily define the m iddle point at the rising cu rve in C(T) with decreas ing \ntemperature as T N, marked by an arrow in figure 4 for instance for x= 0.0, for the purpose \nof table 1]. However, for a given volum e change, say by about 7 Å3, the T N value is m uch \nsmaller for La series co mpared to that for Y series (com pare the C(T) curves for x= 1.0 \nand y= 0.3). This m ay im ply that La is m ore ef fectiv e in dep ressing T N, possibly \nemphasizing the role of electroni c structure as w ell on the m agnetic prop erties. In o rder \nto inf er the role of unit-c ell volume on T N in the alloys, we have obtained the value of T N \ndivided by 2-x (or 2-y) (consider ing validity of indirec t exchange in teraction in m etals), \nand com pared it with that of parent com pound. It is found that such scaled-values do not \nexceed th at of parent co mpound. This findi ng suggests that the parent com pound l ies \nclose to the peak of Doniach’s magnetic phase diagram [9]. Consistent with this finding, \nfor Ge substitu ted sam ples, the f eatures due to magnetic o rdering o ccur nearly at the \nsame tem perature rang e for all co mpositi ons; however, a careful lo ok at th e peak \ntemperatures indicates that there could be a marginal upward shift (by about 1 K) of T N \nas z is increased from 0 to 1, as though the parent com pound can be placed m arginally to \nthe right of the peak in the Doniach’s diagram . \n \nC. Electrical resistivity \n We now present the results of ρ measurem ents, m ainly to sup port abo ve \nconclusion. We attribute apparent large value of residual resistivit y ratio to strong Kondo \nscattering ef fects and we do not think that it is due to diso rder. For the parent com pound, \nρ is nearly constant above 150 K (see figure 5) and there is a gr adual fall below 150 K \nfollowed by an upturn below 15 K till T N. This kind of feature in ρ(T) in the \nparam agnetic state is typical of an interplay between the Kondo effect and the crystal-\nfield effect. We have als o extracted the 4f contribution ( ρ4f) to ρ and for this purpose we \nhave em ployed the ρ(T) values of th e La an alogue. Two logarithm ic regions can be found \nin the plo t of ρ4f, supporting the existence of above-m entioned interplay. The ratio of the \nhigh to low tem perature slopes of the ρ4f plot is about 0.12, which is very close to that \n 4expected [11] for the crystal-field -split ground s tate. Figu res 6 and 7 show the data for La \nand Y alloys, norm alized to respective 300 K values. As Ce is replaced by La/Y, the \ndrop due to m agnetic order gets gradually depressed to wards lower tem peratu res in \nagreem ent with the χ(T) and C(T) data and the uptur n below 20 K persists (see figure \n7). For x and y greater than 1.0, the upturn is only observed without any feature due to \nmagnetic ordering in the tem perature range of investigation. Thus, the ρ(T) curves \nexhibit the features attributab le to m agnetically-ordered Ko ndo lattice to Kondo-im purity \ntransf ormation as Ce su blattice is d iluted. There is a qualitative difference in the ρ(T) \ncurves of La and Y substituted alloys. Th ere is a distin ct broad maximum in the ρ(T) plot \naround 150 K for y>0.3 for the Y series, which is absent for the La series. Thus an \ninterplay between the Kondo effect and the crystal-field effects is visible even in the raw \ndata in the c ase of Y se ries. Fina lly, the tem peratures at which the d rop in ρ occu rs due to \nthe onset of magnetic ordering a re in qualita tive agreem ent with those inf erred f rom the \nC(T) plots. In the case of Ge s ubstituted alloys also (F igure 7), ρ(T) plots establish that \nTN values ar e essentia lly unchanged f or all com position s inve stiga ted. \n \nD. High press ure electrical res istivity and magnetiz ation behavior of Ce 2RhSi 3 \n The results of high pressure ρ experim ents e mploying cubic anvil cell are shown \nin figures 8 (2-300 K) and 9 (2-40 K) for the parent Ce compound. The shapes of the \ncurves are gradually m odified, particularly at low tem peratu res (<30 K) with increasing \npressure. The double-peaked structure du e to the interplay between the Kondo and \ncrystal-field effects vanishes for P ≥ 2 GPa establishin g that the positiv e pressure \ngradually increases 4f hybridi zation strength thereby broade ning crystal-field levels. For \nP = 6GPa and 8 GPa, we observe T2 dependence of ρ at low tem peratures withou t any \nevidence due to m agnetic ordering (above 1.8 K) , whereas the variation is linear with T \nbelow about 10 K for 4 GPa as though, at this pressure, there is a tendency for non-Ferm i \nliquid behavior. It thus app ears that there is a crossover from magnetic o rdering to \nnonm agnetis m around 4 GPa; that is, quantum critical poin t (QCP) m ay occur around this \npressure. We have extended high pressure studi es to mK range in a dilution ref rigerator \nto track how T N varies in the low pressure regim e and the m easurem ents could be \nperform ed up to 3.2 GPa only with this pressure cell. The data thus obtained are shown in \nfigure 10. It is clear that an initial application of pressure, say 0.83 GPa, depresses the \ntemperature at which ρ(T) curve changes the slope due to magnetic ordering (5.8 K). \nWith a further in crease o f pressure, the f eature due to m agnetic ordering gets sm oothened \nand hence it is rathe r difficult to f ind TN at higher pressures. Nevertheless, from a small \nchange of slope, we infer that the temperat ure m arked by arrows is a good estim ate of T N. \nThus, for P= 3.2 GPa, T N is around 2.7 K. Though there is some ambiguity on estimating \nTN in this m anner, ther e is no doubt that T N decreases with increasing p ressure as \ninferred from figure 10, in broad agreem ent with the findings based on Y substituted \nseries. W e have also confirm ed this tre nd by perform ing high pressure dc m agnetic \nsusceptibility studies (in a m agnetic field of 10 kOe) at low temperatures, the results of \nwhich are shown in figure 11. It is clear th at the features due to m agnetic ordering are \nwell-defined even und er external pressure and the peak in χ(T) sh ifts down to a lower \ntemperature with increasing pressure, with its position at about 5.5 K for 1.5 GPa, in \nqualitative agreem ent with the tre nd inf erred from the ρ data. A profile of T N as a \nfunction of P inferred from all these data is shown in the inset of figure 11. (Note: The \n 5shape of the curve belo w T N for P= 0.8 GPa is different from those in other curves and \nthe or igin of the dif ference is not clear to us at present). \n Finally, we would like to explore whet her th ere are any differences in th e \nmagnetic structure induced by positive and ne gative pressure without disrupting Ce sub-\nlattice. For this purpose, we com pare the is otherm al magnetization behavior at 1.8 K for \nGe substitu ted alloy s and pressur ized Ce 2RhSi 3 in figure 12. There is a curvatu re [3] in \nM-H plot at 1.8 K near 35 kOe for the pare nt compound due to spin reorientation, which \nis a s ignature of antif errom agnetism in zer o-field. W e observe that this curvature \ndiminishes for P= 1.1 GPa and is totally ab sent for 1.5 GPa. On the other hand, for Ge \nsubstituted sam ples, the curvat ure in M-H plots (at 1.8 K) is retained or more pronounced \nwith increas ing z. This difference m ay indicat e that there are subtle differences in the \nchanges in the m agnetic struc ture with negativ e and positiv e chemical pres sure. \n \nIV Summary \n The positive and negative pressure effects on the Kondo and m agnetic ordering \nbehavior of a com pound, Ce 2RhSi 3, have been in vestig ated. The results estab lish th at this \ncompound l ies near the peak in the Doniach ’s m agnetic phase diagram . Features \nattributable to ‘m agnetically -ordered Kondo lattice’ to ‘Kondo im purity’ transformation \nare seen in the electrical transpo rt data of La and Y substituted alloys . It appears that the \nlattice expa nsion caus ed by Ge su bstitu tion at the Si site is m uch more ef fectiv e in \ndepressing the Kondo temperature than that by La substitution at the Ce site as indicated \nby the trends in param agnetic Curie tem perature values, though the data for the latter \nserie s indicate that the elec tronic stru cture changes f ollowing Ge substitu tion play an \nobservable role. However, for a given volum e change, lattice expansion caused by La \nsubstitution is m ore effe ctive in depressing T N than that caus ed by lattice com pressio n by \nY substitution, im plying that possible changes in the electron ic stru cture, apart from \nvolum e change, also play a role on the change s in the m agnetic properties. High pressure \nresults reveal th at the s hape of ρ(T) curve undergoes profound qualitative changes with \nextern al pressure, and p articularly near 4 GPa, quantum critical point possibly exists. \nWhile we do not find evidence for superc onductivity up to 3.2 GPa down to 50 m K, it is \nof interest to perform low tem perature studies in the vicinity of QCP (say at 4 GPa) to \ncharacterize non-Ferm i liquid char acteristics as w ell as to se arch for superconductivity at \nQCP. Finally, studies on single crystals of Ce 2RhSi 3 are highly desirable, but \nunfortunately our attem pts to prepare the sing le crystals are u nsuccessful. \n \nAcknowledgem ents: \n We would like to thank Ka rtik K Iyer for hi s val uable experim ental help during \nthe course of this investigation. One of us ( EVS) woul d like to acknowledge the an \ninvitation by the Institute for Solid Stat e Physics to perform high pressure work. \n \n \nReferences: \n $Corresponding author. E-m ail address: sam path@tifr.res.in \n*Presen t add ress: Waseda University, Tokyo 169-8555, Japan \n1. B. Chevalier et al., Solid State Commun. 49, 753 (1984). \n2. R.E. Gladyshevskii et al., J. Alloys Com p. 189, 221 (1992). \n 63. I. Das and E.V. Sa mpathkum aran, J. Magn. Magn. Mater. 137, L239 (1994). \n4. J. Leceijewicz, N. Stüsser, A. Szytula, and A. Zygm unt, J. Magn. Magn. \nMater. 147, 45 (1995). \n5. W. Bazela, E. W awrzynska, B. Penc, N. Stüsser, A. Szytula, and A. Zygmunt, \nJ. Alloys and Com pounds, 360, 76 (2003); R. –D. Hoffm ann and R. Pöttgen, \nZ. Krista llogr. 216, 127 (2001). \n6. Kausik Sengupta, S. Rayaprol and E. V. Sa mpathkum aran, Proceedings of \nDepartm ent of Atom ic Energy (India) Sym posium held in 2003, vol 46, 817 \n(2003); arXiv.org cond-m at/0309701. \n7. G. Gruener and A. Zawadowski, Progre ss in Low Te mperature Physics, Vol. \nVII B, edited by D.R. Brewer (North-Holland, Am sterdam ). \n8. J.W. Allen and R.M. Martin, Phys. R ev. Lett. 49, 1106 (1982). \n9. S. Doniach, Physica B 91, 231 (1977). \n10. M. Bouvier, P. Leuthuilier, and D. Schm itt, Phys. Rev. B 43, 13137 (1991) \n11. B. Cornut and B. Coqblin, Phys. Rev. B 5, 4541 (1972). \n \n \n \n \n \n \n \nTable 1: The lattic e con stants ( a, c, ±0.004 Å ), unit-cell volum e (V), param agnetic Curie \ntemperature (θp±2K), effectiv e magnetic m oment (µ B±0.05µ B) and Néel tem perature \n(TN) for the alloys, Ce 2-xLaxRhSi 3, Ce 2-yYyRhSi3, and Ce 2RhSi 3-zGez. \nx, y, z a (Å) c (Å) V (Å3) θp (K) µeff(µB/Ce) TN (K) \n0 8.240 8.444 496.49 -65 2.46 7.0 \nx= 0.3 8.240 8.476 498.81 -63 2.47 5.8 \n0.5 8.243 8.513 500.9 -61 2.46 5.25 \n1.0 8.250 8.546 503.74 -40 2.4 3.4 \n1.5 8.277 8.597 510.01 -30 2.39 <2 \n1.7 8.283 8.638 513.24 -28 2.46 <2 \n2.0 8.280 8.650 513.95 \ny = 0.3 8.217 8.379 489.92 -90 2.38 6 \n0.5 8.211 8.373 488.90 -95 2.56 5.2 \n1.0 8.182 8.188 474.69 -96 2.33 3.4 \n1.5 8.157 8.024 462.42 -180 2.57 <2 \n1.7 8.138 7.916 454.00 -188 2.54 <2 \n2.0 8.131 7.893 454.00 \nz = 0.2 8.233 8.447 495.86 -59 2.50 7.0 \n0.4 8.230 8.464 496.44 -57 2.51 7.0 \n0.6 8.227 8.480 497.05 -56 2.56 7.0 \n0.8 8.228 8.494 497.92 -45 2.53 7.0 \n1.0 8.226 8.520 499.19 -44 2.49 7.0 \n \n \n 7 \n \n \n \n \nFigure 1: X-ray diffraction patterns (Cu K α) for Ce 2-xLaxRhSi3, Ce 2-yYyRhSi 3, and \nCe2RhSi 3-zGez, \n 8 \n \nFigure 2: Inverse m agnetic susceptibility as a fu nction of tem perature (1.8 – 300 K) for \nthe alloys, C e2-xLaxRhSi 3, Ce 2-yYyRhSi3, and Ce 2RhSi 3-zGez. A line is drawn through high \ntemperature linear reg ion for each com position. \n \n 9 \n \nFigure 3: M agnetic susc eptib ility as a function of tem perature in the low tem perature \nrange (to highlight the features due to m agnetic ordering) for Ce 2-xLaxRhSi3, \nCe2-yYyRhSi3, and Ce 2RhSi 3-zGez alloys. \n 10 \n \nFigure 4: Heat cap acity as a function of temperature for the alloys, Ce 2-xLaxRhSi 3, Ce 2-\nyYyRhSi 3, and Ce 2RhSi 3-zGez at low tem peratures. The way the Néel tem peratu re is typically \nobtained is m arked by an arrow for x= 0.0. \n \n 110 100 200 3000.81.0\n11 0 1000.40.50.60.7ρ4f (mΩ cm)\n \n \nT (K)Ce2RhSi3ρ (mΩ cm)\n \n \n \n \n \nFigure 5: Temperature dependence of electrical resis tivity (ρ) for Ce 2RhSi 3 (top) and the \n4f contribution ( ρ4f) to ρ (bottom ) for Ce 2RhSi 3 as described in the text. T he two \nlogarithm ic slopes are also show n by lines in the bottom figure. \n \n 12 \n \nFigure 6: Temperature dependence (1.8-300 K) of electrical resistivity ( ρ) for the a lloys, Ce 2-\nxLaxRhSi 3, and Ce 2-yYyRhSi 3, and Ce 2RhSi 3-zGez, norm alized to respective 300 K values. \n \n 13 \n \nFigure 7: Low tem perature (<50 K) behavior of electrical res istivity (ρ) for the alloys, Ce 2-\nxLaxRhSi 3, and Ce 2-yYyRhSi 3, and Ce 2RhSi 3-zGez, norm alized to respective 300 K values. \n \n 14100 200 3000.60.81.0\n P= 0 GPa\n 2 GPa\n 4 GPa\n 6 GPa\n 8 GPa\n ρ/ρ(290K )\nT (K)Ce2RhSi3\n \n \nFigure 8: Temperature dependence of electrical resis tivity (ρ) in the range 1.8-300 K for \nCe2RhSi 3 obtained under various extern al pressures em ploying cubic anvil pressure cell up to 8 \nGPa. \n \n10 20 30 400.60.8P= 0 GPa\n8 GPa6 GPa4 GPa\n ρ/ρ(290K)\nT (K)Ce2RhSi3\n 2 GPa\n \nFigure 9: Temperature dependence of electrical resis tivity (ρ) in the range 1.8-40 K for \nCe2RhSi 3 obtained under various external pressure s employing cubic anvil pressure cell up to \n8 GPa. \n 1505 100.81.0\n ρ/ρ(20K)\nT (K)P= 00.83 G Pa1.9 G pa\n3.2G Pa\n2.7 G Pa\n \nFigure 10: Tem perature dependence of electrical resis tivity (ρ) in the range 50 mK to 10 K \nfor Ce 2RhSi 3 obtained under various external pre ssures em ploying a hybrid cylinder in the \ndilution refrigerator. Vertical arrows for P= 2.7 and 3.2 GPa data are m arked where there is a \nweak step which can be attributed to T N. \n5 101 52 00.040.06\n P= 0 GPa\n 0.8 GPa\n 1.1 GPa\n 1.5 GPa\n TN (K)\nP (GPa)χ (emu/mol)\nT (K)12 340246 \n \n \n \nFigure 11: Magnetic susceptibility ( χ) as a function of tem perature for P= 0, 0.8, 1.1 and 1.5 \nGPa for Ce 2RhSi 3 measured em ploying a Cu-Be cell in a SQUID m agnetom eter in th e \npresence of a m agnetic field of 10 kOe. Inset shows a profile of T N as a function of pressure \ninferred from all the high pressure data. \n \n 160.30.6\n20 40 600.30.6\nH (kOe) P= 0 GPa\n 0.8 GPa\n 1.5 GPa\n \n M (µB/Ce)Ce2RhSi3\nCe2RhSi3-zGeZ\n \n z= 0.6z= 1. 0\n \nFigure 12: Isotherm al magnetizat ion behavior of Ce 2RhSi 3 under pressure as well as of Ge \nsubstituted alloys at 1.8 K. \n 17" }, { "title": "1212.2824v1.Mixing_of_Edge_States_at_a_Bipolar_Graphene_Junction.pdf", "content": "Mixing of Edge States at a Bipolar Graphene Junction\nH. Schmidt, J. C. Rode, C. Belke, D. Smirnov, and R. J. Haug\nInstitut f ur Festk orperphysik, Leibniz Universit at Hannover, Appelstr. 2, 30167 Hannover, Germany\n(Dated: January 27, 2021)\nAn Atomic Force Microscope is used to locally manipulate a single layer graphene sheet. Transport\nmeasurements in this region as well as in the unmanipulated part reveal di\u000berent charge carrier\ndensities while mobilities stay in the order of 104cm2(Vs)\u00001. With a global backgate, the system\nis tuned from a unipolar n-n' or p-p' junction with di\u000berent densities to a bipolar p-n junction.\nMagnetotransport across this junction veri\fes its nature, showing the expected quantized resistance\nvalues as well as the switching with the polarity of the magnetic \feld. The mixing of edge states at\nthe p-n junction is shown to be supressed at high magnetic \felds.\nPACS numbers: 73.23.-b, 81.07.-b, 73.43.-f\nGraphene exhibits outstanding electronic properties1\nincluding high mobilities even at room temperature and\na bandstructure with the valence and conduction band\ntouching at the Dirac points. This zero bandgap makes\nit possible not only to continuously tune the charge\ncarrier density, but also to change the type of majority\ncharge carriers from electrons to holes. To achieve\nregions with di\u000berent densities including p-n junctions,\na variety of techniques can be used including topgates2,3\nand chemical doping4,5of de\fned regions, showing\ninteresting new physics like electron-hole interference6\nand snake states along such junctions7. One way to\ngain a better insight on the properties of these systems\nare multiterminal magnetotransport experiments and\nthe study of the equilibrium of the edge states at the\njunction8.\nThe Atomic Force Microscope (AFM) has proven itself\nas a reliable tool to create low-dimensional systems\nlike quantum dots out of epitaxial grown 2D electron\nsystems by scratching or local oxidation. Recent works\nhave applied these techniques to graphene9{12. It can\nalso be used to clean and \ratten the surface of graphene\nand thereby reach higher mobilities13,14. Here we use\nthe AFM to alter the electronic structure of graphene\nin a de\fned region, leading to a local doping of our\ndevice while conserving the transport properties of\nthe graphene. The so created junction of areas with\ndi\u000berent charge carrier densities is examined using\nmagnetotransport, showing the quantized resistance\nvalues as expected from theory.\nThe graphene sample was prepared by the standard\nexfoliation technique1of natural graphite, and placed\non top of an n-doped silicon wafer with a 330 nm thick\nlayer of silicondioxide. Optical microscopy and analysis\nof the optical contrast is used to identify monolayer\ngraphene15. The so selected sample is divided into two\nareas, I and II, as shown in Fig. 1a). While region I\nremains unchanged, region II is manipulated with an\nAFM using a diamond coated tip (NanosensorsTMDT-\nNCHR) in contact mode. It is moved multiple times\nover the surface with an applied force of F= 8 \u0016N and a\nvelocity ofvtip= 10\u00006ms\u00001. As shown in the transport\nI II\n1 42 3\n6 5\nR2p(kΩ)12\n8\n4\n0\n0 -20 20\nVBG(V)0 T\n0 T\n \np p+\np n\nn+n\n-40 -20 20 0 400123n(1016/m2)R4p(kΩ)\n036\nVBG(V)VBG(V)\nd)c)a) b)\n-60 -30 0 30 60\n R1\nR2FIG. 1. a) Optical picture of the graphene Hall bar with the\nused contacts, the black scale bar corresponds to 5 \u0016m. The\nwhite dotted lines indicate the manipulated area. b) Two\nterminal resistances in the two regions (region I red, region II\nblue). c) Charge carrier densities in the two parts obtained\nby four terminal Hall measurements. The insets show the\npolarities in the di\u000berent regimes. d) Resistance across the\njunction measured at the two sides of the sample (red R 1,\nblack R 2) at zero magnetic \feld.\nmeasurements, this procedure induces local doping\nto the altered part, but does not change mobilities\nsigni\fcantly. We assume that cuts are induced due to\nthe strong force on the hard tip. A self healing process\nas described by Zan et al.16removes the cuts but leaves\nbehind local lattice defects. The e\u000bect of selective\ncleaning13can be ruled out, since before the application\nof the high forces to only one part all areas have been\nscanned multiple times with a lower force. After thearXiv:1212.2824v1 [cond-mat.mes-hall] 12 Dec 20122\nnanomachining, standard ebeam lithography is used to\netch a Hall bar and apply chromium gold contacts.\nFigure 1a) shows an optical picture of the structured\ngraphene and the used contacts, drawn in yellow. Before\nthe electrical properties of the sample are investigated\nat low temperatures, it is annealed to remove residues\nof the preparation process. To characterize the two ar-\nR1/2/RKR1/2/RK\nR1> 0\nR2= 0\nI II\nR1= 0R2> 0\n+4 T\n-4 T \n \n1/3\n0.01.01/3\n0.01.0\nVBG(V)R2R1b)\nd)\ne)c)\n-40 -20 0 20 40a)\nR1(RK)\nB(T)\nVBG(V)0.0\n 0 0\n -44\n20\n-200.51.0\nFIG. 2. a) Four terminal measurement of the longitudinal\nresistance R 1over the junction as a function of backgate volt-\nage and magnetic \feld. b) Sketch of the edge channels in the\nsample for the case of same polarity in both regions. The\nred dot marks the area of maximum non-equilibrium between\nthe channels. c) The case for opposite polarity, i.e. a p-n\njunction. d) e) Resistance measurements at the two opposite\nsites for magnetic \felds of di\u000berent polarity. The blue dotted\nlines indicate values of 1 and 1/3 in units of the von Klitzing\nconstant.\neas independently, two-terminal measurements between\nthe contacts 2-6 and 3-5 for area I and II, respectively,\nare performed. The obtained \feld e\u000bect measurements\n(Fig. 1b) of the resistance show a shift of the charge neu-\ntrality point (CNP). While for the unmanipulated region\nI it is found at 0.2 V as expected for clean graphene,\nthe one for the altered region II shows a shift to 5.5 V.\nTaking into account the thickness of the silicon dioxide,this corresponds to a di\u000berence in charge carrier den-\nsity of \u0001n= 3:5\u00011015m\u00002. The shape of both curves\nis similar indicating comparable mobilities in the altered\nand unaltered part. Figure 1c) shows the charge carrier\ndensities in the two parts, obtained from Hall measure-\nments performed at B=2 T with the current driven from\ncontact 1 to 6 and the voltage for the two regions mea-\nsured perpendicular. Both curves exhibit the same slope,\nbut the extrapolated zero value, i.e. the CNP, is shifted.\nThis shows, that a constant di\u000berence \u0001 nis present over\nthe whole range of charge carrier densities used in these\nexperiments. Due to this di\u000berence the system can be\ntuned into di\u000berent states with an applied backgate volt-\nageVBG. For large absolute voltages, both regions ex-\nhibit the same kind of majority charge carriers, but with\ndi\u000berent densities. For 0.2 V \u0014VBG\u00145.5 V, a p-n junc-\ntion is formed with electrons in region I and holes in\nregion II.\nIn the absence of a magnetic \feld (Fig. 1d) the two lon-\ngitudinal resistances R1(contacts 2-3) and R2(contacts\n5-6), measured in four terminal setup across the junction,\nexhibit similar values with an averaged \feld-e\u000bect mobil-\nity of\u0016\u001914000 cm2(Vs)\u00001. These values are compara-\nble to the ones in unmanipulated single layer graphene,\nindicating that the manipulation did not alter the trans-\nport scattering rates signi\fcantly.\nTo characterize the junction further, magnetotransport\nmeasurements are performed at T= 1:5 K. Figure 2a)\nshows the longitudinal resistance R 1as a function of\nbackgate voltage and magnetic \feld. Interestingly, there\nis a strong dependence on the polarity of the magnetic\n\feld, which is further discussed in the following.\nThe transport in the quantum Hall regime can be well\nunderstood by the edge-channel picture17. The di\u000ber-\nent situations are sketched in Fig. 2. For the case of\nsame polarity (Fig. 2b) channels being present in both\nregions travel across the sample while the additional ones\ndue to a higher carrier density and therefore \flling factor\n\u0017=nh=eB circulate in only one region. This leads to\ndi\u000berent longitudinal resistances which are described by\nthe Landauer-B uttiker formalism as fractions of the von\nKlitzing constant R K8,18,19:\nR1= 0R2=RK(1\nj\u00171j\u00001\nj\u00172j): (1)\nThe other case with di\u000berent polarities, i.e. a p-n junc-\ntion, the equilibration of the counterpropagating edge\nstates leads to longitudinal resistances of\nR1=RK(1\nj\u00171j+1\nj\u00172j)R2= 0; (2)\nif the coupling between the two regions is perfect, i.e.\nvery strong mixing exists. Figures 2d) and 2e) show the\nresistances measured over the junction at \fxed magnetic\n\felds ofB=\u00064 T. For these values the shift between\nthe CNPs corresponds to a di\u000berence in \flling factors\nof \u0001\u0017=4. This leads to an overlap of \flling factors in3\n \n \n VBG(V) VBG(V)1\n1/30.5\n0.0 01.01.5\n-10 0 10 20 -10 0 10 20\nR2(RK)R1(RK)∆R(RK)\n∆R(kΩ)a)\nc)\nd) e)b)\n \n \n1\n0\n-1/3ν1/2=6/2\nν1/2=2/2\nVBG(V)-10 0 10\nB(T) 0 4 8020\n10\n-925\n010\n-20 0 20VBG(V)0812\n4\n∆R(kΩ)B(T)4T 4T \n0.6\n0.40.81\nT(K) 100 200 0\n∆R/∆R0 ν1/2=10/6\nFIG. 3. a),b) The longitudinal resistances across the junction\natB=4 T and di\u000berent temperatures (1.5 K, 45 K, 85 K,\n125 K, 270 K from lowest to highest peak resistance). The\ndotted blue lines indicate 1 and 1/3 of the von Klitzing con-\nstant. c) Di\u000berence \u0001 R=R1\u0000R2for di\u000berent temperatures\nas in a) and b). The arrows mark the voltages at which the\nnormalized di\u000berence is obtained as plotted on a logarithmic\nscale as function of temperature in the inset. d) The di\u000berence\n\u0001Ras a function of backgate and magnetic \feld at T=1.5 K.\ne) The peak resistance in the p-n regime at VBG= 2:5 V is\nplotted for T=1.5 K (black), 125 K (red), and 270 K (cyan),\nas a function of magnetic \feld.\nthe two regions at certain backgate voltages, providing\ncombinations of \flling factors 2 and 6 in the unipolar\ncases as well as 2 and 2 in the bipolar case. As expected\nfrom equation (1), for the unipolar cases ( VBG\u0019-2\nand 8 V) at positive magnetic \feld, R2shows a value\nof 1=3\u0001RK, whileR1goes down to zero. In between,\natVBG\u00193 V for the bipolar case, equation 2 predicts\nR1= 1\u0001RK. Figure 2e) shows the measurements at\nopposite magnetic \feld, resulting in a switching of the\nbehavior of the two resistances. This indicates a change\nin the direction of the edge channels which can only be\nobserved in four terminal experiments.\nTo study the interaction of the edge channels at the\njunction in detail, especially for the bipolar case,\nmeasurements are performed as shown in Fig. 3 with\ntemperatures ranging from 1.5 to 270 Kelvin. While theresistanceR1, measured before the interaction of the\ncounterpropagating edge states, shows some changes,\na greater impact of the temperature can be seen at\nR2, measured after the equilibration. For increasing\ntemperature, the values after and before the interaction\nbecome more similar. To remove contributions of\nthe sample geometry, the di\u000berence between the two\nmeasurements \u0001 R=R1\u0000R2is analyzed (Fig. 3c). At\nlow temperatures the quantizations at R K, -1/3 R Kand\nalso -1/15 R K, which corresponds to the combination\nof \flling factors 6 and 10, can be identi\fed. Although\nthe peak heights deviate from the expected values at in-\ncreasingT, the e\u000bect is still strong at high temperatures.\nThis can be attributed to the stability of the quantum\nHall e\u000bect in graphene even at high temperatures20, but\nalso contains a contribution of the classical Hall e\u000bect\ndue to di\u000berent charge carrier densities in the two areas.\nThe inset shows the normalized resistance values on a\nlogarithmic scale as a function of temperature for the\nbipolar and the unipolar case as marked by the arrows\nin the main \fgure. Both values exhibit a monotonic\ndecrease, highlighted with linear \fts. Assuming an\nexponential behavior \u0001 R/exp(\u0000kBT=E i), the ac-\ncording \fts yield E= 64 meV for the bipolar case and\n28 meV (31 meV) for the unipolar case with electrons\n(hole) as majority charge carriers. The two slopes show\nsigni\fcantly di\u000berent values for the two cases which can\nbe attributed to the di\u000berent energetic distances between\nLandau Levels (LL). In single layer graphene the energy\nof the LLs are given by EN=vFp\n2e~BN, resulting in\na lower distance between LL 1 and 2 with respect to 0\nand 1. Since for the bipolar case only \flling factor 2\nis important, the distance is higher and therefore the\nin\ruence of temperature, i.e. of LL broadening, is less\ncompared to the unipolar case with \flling factors 2 and\n6.\nFigure 3d) shows the di\u000berence \u0001 Rin the resistances\nfor a broad range of backgate voltages and magnetic\n\felds. The quantizations for \u00171=2=2/6,\u00172=2=2/2, and\n\u00171=2=6/2 are clearly visible. In contrast to the unipolar\ncases \u0001Rsurprisingly decreases after B=4 T with\nincreasing magnetic \feld for the bipolar case. The ac-\ncording values at a \fxed backgate voltage of VBG=2.5 V\nare shown in Fig. 3e) for three di\u000berent temperatures.\nForT=1.5 K \u0001Rexhibits a linear increase due to the\ndi\u000berent Hall voltages in the two areas. Around 4 T, the\nvalues quantizes at RKas explained before, indicating\na full mixing of the edge states. For higher magnetic\n\felds, it drops, suggesting a suppression of edge-channel\nequilibration at the p-n interface. Apparently, magnetic\n\feld localizes the edge channels strongly and suppresses\nthe mixing of the counterpropagating edge states. At\nhigher temperatures, e.g. at T=270 K, the linear\nincrease for low \felds is \ratter and also the quantization\natB=4 T is not developed. For higher magnetic\n\felds, \u0001Rdoes not drop but also does not follow\nthe classical linear dependence. Interestingly, at the\nhighest magnetic \feld \u0001 Rgrows by a factor of two4\nwith respect to the low temperature measurement. We\nattribute this observation to an increased mixing of the\ncounterpropagating edge states indicating the transition\nto the classical behavior.\nIn summary, a method is introduced which makes it\npossible to manipulate the doping level of single layer\ngraphene in a de\fned region by AFM nanomachining.\nThe demonstrated technique could be used to create\ngraphene devices with small-sized and locally de\fned\npotential variations. Tuning a global gate, a junction ofdi\u000berent charge carrier densities and polarities is created\nand studied using magnetotransport measurements.\nQuantized resistance values as expected from the edge-\nchannel picture are observed as well as the switching of\nlongitudinal resistances by the polarity of the magnetic\n\feld. The transport across the p-n junction shows an\nastonishing dependence of edge-channel equilibration on\nthe magnetic \feld and temperature.\nThe authors acknowledge \fnancial support by DFG\nPriority Program Graphene and the excellence cluster\nQUEST within the German Excellence Initiative.\n1K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y.\nZhang, S. V. Dubonos, I. V. Grigorieva, and A. A. Firsov,\nScience 306, 666 (2004).\n2J. R. Williams, L. DiCarlo, and C. M. Marcus, Science\n317, 638 (2007).\n3M. Woszczyna, M. Friedemann, T. Dziomba, Th.\nWeimann, and F. J. Ahlers, Applied Physics Letters ,99,\n022112 (2011).\n4T. Lohmann, K. v. Klitzing, and J. H. Smet, Nano Letters ,\n9, 1973 (2009).\n5H.-C. Cheng, R.-J. Shiue, C.-C. Tsai, W.-HuaWang, and\nY.-T. Chen, ACS Nano ,5, 2051 (2011).\n6D. Smirnov, H. Schmidt, and R. J. Haug, Applied Physics\nLetters ,100, 203114 (2012).\n7J. R. Williams, and C. M. Marcus, Physical Review Letters\n107, 046602 (2011).\n8D. K. Ki, S. G. Nam, H. J. Lee, and B. Ozyilmaz, Physical\nReview B 81, 033301 (2010).\n9P. Barthold, T- L udtke, and R. J. Haug arXiv: ,0807.4033\n10L. Weng, L. Zhang, Y. P. Chen, and L. P. Rokhinson,\nApplied Physics Letters ,93, 093107 (2008)11R. K. Puddy et al., Applied Physics Letters ,98, 133120\n(2011)\n12A. J. M. Giesbers et al., Solid State Communications ,147,\n366 (2008)\n13A. M. Goossens, V. E. Calado, A. Barreiro, K. Watanabe,\nT. Taniguchi, and L. M. K. Vandersypen, Applied Physics\nLetters ,100, 073110 (2012)\n14N. Lindvall, A. Kalabukhov, and A. Yurgens J. Appl.\nPhys. ,111, 064904 (2012)\n15P. Blake, E. W. Hill, A. H. Castro Neto, K. S. Novoselov,\nD. Jiang, R. Yang, T. J. Booth, and A. K. Geim, Applied\nPhysics Letters ,91, 063124 (2007).\n16R. Zan, Q. M. Ramasse, U. Bangert, and K. S. Novoselov,\nNano Lett. 12, 3936 (2012)\n17R. J. Haug, Semicond. Sci. Technol. 8, 131 (1993).\n18D. A. Abanin and L. S. Levitov, Science 317, 641 (2007).\n19B.Ozyilmaz, P. Jarillo-Herrero, D. Efetov, D. A. Abanin,\nL. S. Levitov, and P. Kim, Physical Review Letters 99,\n166804 (2007).\n20K. S. Novoselov et al., Science 315, 1379 (2007)." }, { "title": "1912.03726v3.Multifilamentary_character_of_anticorrelated_capacitive_and_resistive_switching_in_memristive_structures_based_on__CoFeB_x_LiNbO3_100_x_nanocomposite.pdf", "content": "1 Multifilamentary character of anticorrelated capacit ive and resist ive switching \nin memristive structures based on (CoFeB) x(LiNbO 3)100−x nanocomposite \nM.N. Martyshov1,a), A.V. Emelyanov2,3, V.A. Demin2,3, K.E. Nikiruy2, A.A. Minnekhanov2, \nS.N. Nikolaev2, A.N. Taldenkov2, A.V. Ovcharov2, M.Yu. Presnyakov2, A.V. Sitnikov2,4, \nA.L. Vasiliev2,3, P.A. Forsh2,3, A.B. Granovsky1, P.K. Kashkarov1,2,3, M.V. Kovalchuk1,2,3, \nV.V. Rylkov2,5,6,b) \n \n1Faculty of Physics, Lomonosov Moscow State University, 119991 Moscow, Russia \n2National Research Center “Kurchatov Institute ”, 123182 Moscow, Russia \n3Moscow Institute of Physics and Technology (State University), 141700 Dolgoprudny, Moscow \nRegion, Russia \n4Voronezh State Technical University, 394026 Voronezh, Russia \n5Kotelnikov Institute of Radio Engineering and Electronics RAS, 141190 Fryazino, Moscow \nRegion, Russia \n6Institute of Applied and Theoretical Electrodynamics RAS , 127412 Moscow, Russia \n____________________ \na) mmartyshov@mail.ru ; b) vvrylkov@mail.ru \n \nKeywords \nMemristive devices, Resistive switching, Capacitive switching, Nanocomposite, Metal -\ndielectric nanogranular systems \n \nAbstract \nResistive and capacitive switching in capacitor metal/nano composite/metal (M/NC/M) structures \nbased on (CoFeB) x(LiNbO 3)100−x NC fabricated by ion -beam sputtering with metal content x 8–20 at. % is \nstudied. The peculiarity of the structure synthesis was the use of increased oxygen content ( 210−5 Torr) at \nthe initial stage of the NC growth. The NC films, along with metal nano granules of 3 -6 nm in size, contained \na large number of dispersed Co (Fe) atoms (up to ~1022 cm-3). Measurements were performed both in DC and \nAC (frequency range 5 –13 MHz) regimes . When switching structures from high -resistance ( ROFF) to low -\nresistance ( RON) state, the effect of a strong increase in their capacity was found, which reaches 8 times at \nx 15 at. % and the resistance ratio ROFF/RON 40. The effect is explained by the synergetic combination of \nthe multi filament ary character of resistive switching (RS) and structural features of the samples associated , \nin particular, with the formation of high -resistance and strongly polarizable LiNbO 3 layer near the bottom \nelectrode of the structures. The proposed model is confirmed by investigations of RS of two -layer nanoscale \nM/NC/LiNbO 3/M structures as well as by studies of the magnetization of M/NC/M structures in the pristine \nstate and after RS . 2 I. INTRODUCTION \nStructures of the type of metal/oxide/metal (MOM), demonstrat ing the effects of reversible \nresistive switching (RS), are very promising for creating multilevel memories and memristor arrays \nto emulate synapses in the development of neuromorphic ( bio-inspired) computational systems to \nsolve the so -called anthropomorphic problems: image and natural language recognition, decision -\nmaking, generalization, prediction, etc. [1 –11]. Such systems possess low power consumption and \ncan essentially surpass modern computer systems based on von Neumann architecture by efficiency \nof information processing (speed and reliability) at performance of the cognitive functions listed \nabove . \nOver the last decade, a sufficiently large number of different MOM memristive structures \nwere discovered and studied . They can be divided into several basic types depending on the RS \nmechanism. First of all, it should be noted that the RS effects in the most studied MOM structures \nare associated with the processes of electromigration of oxygen vacancies in the oxide layer (TiO x, \nTaO x, HfO x, etc.), or metal cations such as Cu, Ag into the dielectric (e.g., SiO 2) from the active \nelectrode of the MOM structure [1, 7–15]. In th ese cases, RS of the structure to the low resistive \nstate (LRS) is cause d by the formation of (i) conductive filaments (during the electro -migration of \noxygen vacancies) ; or (ii) conductive metal bridges (during the migration of metal cations) in the \noxide matrix, closing the contacts of the MOM structure ; or (iii) suppressing (bypassing) the \nSchottky barrier at one of the structure electrodes. The latter is usually formed using semiconductor \noxides with a band gap of 3 eV. When the voltage polarity is reversed, the filament (bridge) is \ndestroyed and a reversible RS to the high resistance state (HRS) is observed in the structure. For \nstable operation of anionic (with a change of valence) or cationic memristive MOM structures the \nelectro forming process at high voltages is usually required for the first filaments (bridges) \nform ation . However, other R S mechanisms, which do not require electro forming, are possible , such \nas the recharg e of localized electronic states in the Schottky barrier region and/or in the oxide \nvolume (see [1 6, 17] and Refs. there in), electric re polarization of ferroelectric oxide [1 8–20], redox \nreactions in organic materials [ 21], electron drag effect of metal atoms [22] and, finally, \ntemperature -induced metal -insulator transition in Mott materials (NbO 2, VO 2, V 2O3), which, \nalthough was discovered relatively long ago, is still the subject of discussion and studies [8, 23]. \nDespite the considerable amount of accumulated experimental results, there is still no \nmicroscopic theory of reversible RS. In particular, this is due to the difficulties in describing the \ninterrelated non -equili brium processes of thermal, electronic, and ion transport in nanometer scale, \nas well as due to the participation of several RS channels associated, for example, with the \nsimultaneous manifestation of cationic and anionic transport [1 4] or with the synerge tic \ncontributions to RS of ion transport and electric polarization of ferroelectric s [20]. 3 In recent years considerable attention has been paid to the study of phenomena that can \naccompany the effects of RS in the MOM structures and clearly reflect their features. Such \npheno mena include: integer (multiple G0) and/or half -integer ( G0/2) quantization of the structure \nconductance at room temperature ( G0 = 2e2/h, where e is the electron charge, and h is Planck's \nconstant) [2 4-26], and also the change (switching) of its capacity C during the RS [1 6, 17, 27–32]. \nThe currently known capacitive switching (CS) mechanisms can be divided into two types. \nThe first of them is related to the recharging of traps and/or movement of oxygen vacancies in the \nSchottky barrier region , which change its size and, accordingly, the capacity of MOM structure [ 16, \n17, 27]. The other is due to the formation (destruction) of the filament percolation network during \nthe movement of vacancies, which changes the effective permittivity and resistance of the MOM \nstructure [2 8–32]. In the first case, the capacitance change during RS can be significan t and exceed \n10 times [1 6, 27]. The work [16] reports as high as a 100-fold change in the capacity of the \nAu/DyMnO 3/Nb:SrTiO 3/Au structures under RS. However, such a strong CS, apparently, is entirely \ndue to the introduction into the MOM active region of the DyMnO 3/Nb:SrTiO 3 heterojunction \nstructure, which has a large cap acity. The change in the Schottky barrier capacity at the \nNb:SrTiO 3/Au interface at RS does not exceed 3 times (see inset to Fig. 2c in [16] ). Under the \nsecond mechanism, C variation typically does not exceed 3 –4 times, and the effects of CS and RS \nin this case can be both correlated (signs of change of C and R are the same) [2 9–31], and \nanticorrelated [2 8, 32] (in [ 31] at large R 104 Ω growth of C is followed by a fall). \nRecently, we have revealed significant effects of RS in metal/nanocomposite/metal \n(M/NC/M) structures based on a (Co 40Fe40B20)x(LiNbO 3)100− x NC consisting of 2 –3 nm CoFe \nnanogranules in the amorphous nonstoichiometric LiNbO 3−y matrix with a high content of dispersed \nFe2+ and Co2+ magnetic ions, up to ni ~ (2-3)1022 cm–3 at x 33-47 at.% [33, 34]. The strongest RS \nin M/NC/M structures is observed at the metal phase content x ~ 10 at. % with the ratio of \nresistance in high -resistance state to that in low -resistance one ROFF/RON up to ~102. Under these \nconditions the number of stable RS cycles (endurance) can exceed 106 and retention time of \nresistance state is more than 104 s [35-37]. But the most interesting property of these memristive \nstructures is the analog nature of their switching or their high degree of plasticity (it is possible to \nset them for more than 256 resistance states) [35]. The latter property allowed us to implement \nvarious possibilities for changing the memristor conductance (its weight) according to bio -inspired \nrules like STDP (spike -timing -dependent plasticity) and demonstrate adaptive (self -learning) \nproperties of NC memristors when using them as synap ses for implementing spiking neural \nnetworks (SNN s) [35 -37]. Note that the high level of plasticity of memristors reduces the \nrequirements to their endurance ( 105) [38] and the window of resistance changes ( ROFF/RON 10) \n[5, 6] for neuromorphic applications . 4 Another interesting feature of M/NC/M memristors is the possibility of using sufficiently \nthick layers of NC ( d 1–3 m) when fabricating them, while maintaining relat ively small \nswitching voltages from the HRS to LRS and vice versa: ULRS, UHRS 3-5 V [33, 35, 36, 39] , \nrespectively . This peculiarity makes it possible to produce memristive arrays of crossbar structures \nbased on simple technologies using electric bus with a typical thickness of ~0.1 m [40], as well as, \napparently, to avoid the problems of structure degradation associated with the influence of moisture \nand interface gas bubbles on the R S [41, 42 ]. \nRecently, we also found that RS is strongly suppressed in M/NC/M structures ( x 15 at.%, d \n 1.4 m) under conditions when the concentration of dispersed magnetic ions in the insulating \nmatrix falls to a low level of ni ~ 1020 cm–3 [43]. Under these conditions, the RS are unstable, and \nthe resistance ratio ROFF/RON does not exceed 5. \nThe RS mechanism in M/NC/M structures remained unclear in [33, 35, 36, 43]. However, \nbased on the obtained results, it can be assumed that the observed RS effect s are determined by the \nlocal injection (or extraction) of oxygen vacancies (depending on the voltage sign) into a strongly \noxidized NC layer near the electrode of the structure controlling its resistance. Regions of local \ninjection are defined by the positions of percolation chains of granules surrounded by vacancies \nand/or magnetic ions [33] (\"metallized \" granular chains), which do not change in the process of RS, \nthus ensuring their high stability. On the other hand, the high level of plasticity of NC memristive \nstructures can be associated with the multifilamentary character of RS. \nTo prove the last assumption , we performed capacitive and magnetic measurements along \nwith RS ones on M/NC/M structures specially fabricated for these purposes. We found out that \nchanges in the capacity of M/NC/M structures can reach 8 times during the RS process. The \nobser ved effect of CS is explained by the formation of numerous nanocapacitors near the bottom \nelectrode of the M/NC/M structure , with upper plates determined by the position of metallized \ngranular chains (MGC s), and by a layer of amorphous/nanocrystalline LiNbO 3, whose permittivity \nreaches d ~ (102–104) [44]. According to magnetic measurements of M/NC/M structures before and \nafter RS, the volume fraction of the resulting MGC s at the stage of \"soft electroforming\" is about Kv \n~ 1-10%, which also indicates the multi -channel nature of RS with a surface density of filaments of \n~1010 – 1011 cm-2. \n \nII. SAMPLES AND EXPERIMENTAL DETAILS \nM/NC/M structures based on the (Co 40Fe40B20)x(LiNbO 3)100− x NC are synthesized by ion -\nbeam sputtering using a composite target consisting of cast alloy Co40Fe40B20 (CoFeB) plate and \n14–15 strips of ferroelectric LiNbO 3 (see details in [ 33]). An elongated rectangular target with a \nnon-uniform arrangement of LiNbO 3 strips was used, allowing the formation of NC with different 5 concentration of the metal phase in the range of x = 6–43 at. % (with the accuracy of x ~ 0.5 -0.8 \nat. %) in a single cycle of synthesis . NC was deposited in the argon atmosphere ( PAr 8·10−4 Torr) \nthrough a shadow mask with the hole diameter of 5 mm at room temperature on the glassceramic \nsubstrates covered with a metal film. The latter served as the bottom electrode (BE) of the M/NC/M \nstructures. Note that the ion -beam sputtering of NC in comparison with the magnetron sputtering \ndoes not require RF and special cooling of substrates (the deposition process takes place outside of \nthe hot plasma). \nFor capacitive measurements, we used M/NC/M structures (CM M/NC /M structures) with a \ndeveloped ox ide interlayer between NC and the bottom electrode. For this purpose a t the initial \nstage, the NC deposition was performed in the mode of a given oxygen flow at its increased partial \npressure PO2 2.1·10−5 Torr for 8 min, after which the flow of O 2 was decreased. Further \ndeposition was carried out for 120 min at an average pressure of PO2 1.4·10−5 Torr (PO2 values are \ngiven for the chamber vacuum limit P 6·10−6 Torr). The thickness of the NC layer in the \nstructures was d 1.5 µm. The electrodes of the structures were made of three -layer Cr/Cu/Cr metal \nfilm with a thickness of 1 µm . \nNote that usually the first stage of NC synthesis takes no more than 4 min at high O2 partial \npressure of about 2·10−5 Torr; in this case, the typical switching voltages are: ULRS, UHRS 3-5 V \n[33, 35, 36, 39]. This approach was used to fabricate M/NC/M structures (d 1.2 m) for magnetic \nmeasurements (MM M/NC/M structures) with non -magnetic Cu contacts (M is Cu) . \nAdditionally, layered capacitor structures based on films of NC (CoFeB )x(LiNbO 3)100-x and \nLiNbO 3 (M/NC/ LiNbO 3/M structures) with thicknesses of 10 and 40 nm, respectively, were \nfabricated. LiNbO 3 was formed at the BE of the structure; Cr/Cu/Cr films were used as electrodes. \nThe top electrodes (TEs) in all the cases described above were deposited through the shadow \nmask with periodically located holes of size S = 0.5×0.2 mm2, the surface fraction of which was Ks \n 0.25. \nIt should be noted that the above formula for the NC was used by us to find x according to the \ndata of energy -dispersive X -ray (EDX) microanalysis [ 33]. In fact, a significant part of boron \nappears in the insulating matrix, outside the granules, in the process of synthesis of the NC [ 33, 45]. \nAt the same time, it is impossible to determine which part of boron remains in the granules by the \nexisting EDX spectroscopy methods. Therefore, following [ 33, 34], we will use the NC formula \nnotation , reflecting the composition of the target, denoting it for short as (CoFeB) x(LiNbO 3)100− x. \nThe microstructure of the NC films were studied by high -resolution transmission and \nscanning transmission electron microscopy (TEM and STEM, respectively) using a TITAN 80 -300 \nTEM/ STEM instrument (ThermoFisher Scientific, USA) operating at an accelerating voltage of U = 6 300 kV, equipped with a Cs-probe corrector, high -angle annular dark -field detector (HAADF) \n(Fischione, US) and EDX microanalysis spectrometer (EDAX, USA). For the image processing \nDigital Micrograph (Gatan, USA) software and TIA (ThermoFisher Scientific, USA) were used. \nDetails of preparation of samples for structural studies with atomic resolution are described in [ 45]. \nThe capacitance of the structures was measured with the help of the HP 4192A impedance \nanalyzer in the frequency range f from 5 Hz to 13 MHz at amplitude of an alternating signal of \n50 mV. Investigations of the electrophysical properties of the M/NC/M structures at DC, including \nmeasurements of their I –V characteristics, were carried out with the help of a four -channel source \nmeasure unit PXIe -4140 (National Instruments) using the analytical probe station PM5 (Cascade \nMicrotech). In the study of the I–V curves , current I was measured with the grounded bottom \nelectrode of the structure and with the alternating voltage U applied to the top el ectrode according \nto the linear law in the sequence from 0 → + U0 → – U0 → 0 V with the step of 0.1 V and the \namplitude of saw-tooth sweep up to U0 = 15 V. In addition, we studied the temperature dependence \nof both the I–V characteristics of these structures and their conductance in the relatively weak fields \n( 103 V/cm) in the temperature range T = 10–300 K using an evacuated insert, immersed in a \nliquid -helium Dewar flask. \nThe magnetic properties of the Cu/(CoFeB) x(LiNbO 3)100− x/Cu structures were studied with a \nQuantum Design MPMS -XL7 SQUID magnetome ter at T = 2–300 K and a magnetic field up to 7 T \noriented in the sample plane. \n \nIII. RESULTS AND DISCUSSION \n \nA. Conductance of the CM M/NC/M sandwich es vs metal content \nand their resistive switching \nFig. 1a shows the dependence of the conductance of the CM M/NC/M structures , G(x) = I/U, \non the metal content measured at DC at U = 0.3 V, after action to the saw -tooth voltage with the \namplitude up to U0 = 3–5 V, which is insufficient for RS of the structures to the LRS (ULRS 10 V; \nsee the inset to Fig. 1a). At the same time, however, a significant increase in the stability and \nreproducibility of the measured value G was provided. The dependence of G(x) is typical f or \npercolation granular systems [46]: below some threshold value x < xp (percolation threshold; in our \ncase xp 17 at. %) the function G(x) is exponential, and above it G(x) practically does not depend \non x. \nAccording to our recent studies [33, 35, 39], the strongest and most stable RS in the M/ NC/M \nstructures is observed at some optimal content of metal хopt below the percolation threshold. The \nspecific value of хopt may depend on the size of the granules and the anisotropy of their shape 7 (elongation along the NC growth axis). In the synthesized CM M/NC/M structures, the maximum \nROFF/RON ~40 ratio is observed at the хopt value of about 15 at. % (see I –V curves in the inset to Fig. \n1a), which is close to the хopt value of ~10 at. % obtained for M/NC/M structures with ROFF/RON ~ \n50 and strongly elongated granules (up to 10 nm with lateral sizes of 2 -4 nm) [33, 39]. However, \nthe switching voltage ULRS 10 V was almost 2-3 times higher than in the case of the structures \nstudied in [33, 35, 36, 39 ]. \nFig. 1b shows for comparison the I –V curves for the sufficiently thick M/NC/M structure \n(d 2.5 µm) synthesized as in [33] using an excess atmosphere (bad vacuum) at the initial stage of \nNC growth . From the presented data, it is clear that in this case the M/NC/M structure has a \nnoticeably lower switching voltage ULRS 4 V, that indicates a crucial role in RS the oxide \ninterlayer formed at the bottom electrode (this is true for structures with a hig h concentration of \ndispersed magnetic atoms ni ~ 1022 cm–3 [43]). For structures with ULRS 3-4 V, the endurance of \nRS exceeds 105-106 (see the inset to Fig. 1b and data of [35, 36, 39]; the study of endurance is \nlimited in our case by the possibilities of the measuring system used, i.e., the difficulties of \nmeasurements at pulse durations less than 10 ms). The switching voltage ULRS in such structures \ndepends on the metal c ontent and can fall up to several times in the immediate vicinity of the \npercolation threshold (see Fig. 1b in [35]). When comparing the curves in the Figs 1a and 1b, one \ncan also see that in both cases there is a maximum in the region of negative voltages U = 2-3 V. \nSuch maximum is due to the filament destruction and is observed in many types of MOM structures \nwith bipolar RS based on the valence change mechanism (see, fo r example, [14, 47 -49]). On the \nother hand, some current burst observed in the I -V characteristics for CM M/NC/M structure at \npositive voltage RS to the LRS (see Fig. 1a) is probably caused by the presence of bottlenecks in \nthe formed MGCs, which are destr oyed when the current passes, for example, due to local \nthermally -accelerated diffusion and oxidation processes [50]. With temperature lowering, the \ncurrent in such MGCs decreases and, at the same time, the thermal effects are suppressed, which \nleads to th e monotonous behavior of RS (see Fig. 9 in Sec. G). 8 \nNote that the studies of cyclic RS of the structures were performed at rather large compliance \ncurrents Ic = 50 -100 mA (Fig. 1); the compliance current reached the same value in our recent \nstudies [ 33, 35 -37, 39 ]. If we assume that this current in the M/NC/M structure flows through a \nsingle MGC , the lateral size of which slightly exceeds the diameter of the granules and is ~10 nm \n(as for the filaments of most memristors [12 -14, 31]), the current density in such MGC would reach \napproximately 1011 A/cm2. This i s a huge and unrealistic value compared t o the maximum current \ndensity ~(106-107) A/cm2 that good metal microconductors can withstand without destruction due to \n5 10 15 20 25 3010-510-410-310-210-1\n-15-10 -5 051010-510-410-310-210-1\nT = 300 Kx ~ 17 at.%\nx (at. %)G (1)x = 15 at. %I (A)\nU (V)\n(a) \n \nFig. 1. (a) The dependence of conductance G(x) = I/U of the C M M/NC/M structures ( d 1.5 m) on \nthe metal content x, measured at DC at U = 0.3 V. The inset shows the I -V curves for the C M M/NC/M \nstructure with optimal content of xopt 15 at. %. (b) The I -V characteristics of the M/ NC/M structures \nwith the NC thickness of d 2.5 m and metal content of x 8 at. % obtained by 30 cyclic \nmeasurements. The inset demonstrates the endurance to RS of this structure, i.e. the dependence of \nresistance after set and reset pulses on the switching cycle number. The arrows on the insets show the \nvoltage scanning direction. \n9 the ele ctromigration effects [22, 51]. From this simple consideration, it follows that at RS of the \nM/NC/M structure to the LRS, its conductance is determined by numerous MGC s (>105). \n \nB. Microstructure of the CM M/NC/M sandwich es with resistive switching effect \nThe bright field STEM images of the CM M/NC/M sandwich with optimal value хopt 15 \nат.% at different magnifications are shown in Fig. 2a. The CoFe nanogranules embedded in LiNbO 3 \nlayer are sandwiched between Cr/Cu/Cr layers. Close inspection of the interface between the upper \nCr layer of the bottom electrode (BE) and LiNbO 3 with CoFe nanogranules (marked as CoFe -\nLiNbO 3) revealed an amorphous layer with the thickness of dox 15 nm. The enlarged HAADF \nSTEM image of this area is presented in Fig . 2b. The EDX microanalysis unambiguously \ndemonstrated 25 at.% of Nb and 75 at .% of O stoichiometry in this amorphous layer so the layer \nexhibit s dark contrast in Fig.2b. Of course, Li cannot be d etected by EDX microanalysis . The \nresults of elemental scan along the white line (from top to bottom) are shown in Fig 2c. The \ninterface between the bottom electrode Cr layer and LiNbO 3 is relatively abrupt, the interdiffusion \nwas not observed. \nPreviously [33], we found that in HAADF STEM images, the CoFe granules have a \nnoticeably brighter contrast than the LiNbO 3 matrix. To prove that the areas with bright contrast \ncorrespond to CoFe granules we performed the detailed EDX mapping for Fe and Co elemental \ndistribution [34]. There is the unambiguous match of Fe and Co distribution and these areas \ncorrespond to the bright areas in HAADF STEM image (see Fig. 2 in [34]) . Figs 2 d and 2e show \nHAADF STEM images of bottom part (e) of the composite and its upper one (d) located at the \ndistance of about 100 nm from the BE. The corresponding histograms of the granule size \ndistribution are shown in Figs. 2g and 2f. The bottom part of composite CoFe -LiNbO 3 layer \nconsists of CoFe granules, which are approximately 6 nm in size and are significantly larger than \nthe ones in the upper part of the NC layer. That part of the layer turns out to be the NC layer with a \nhomogeneous distribution of CoFe nanogranules with the size of ag 3 nm (Fig. 2f). The high \nresolution (HR) TEM image (Fig. 2h) demonstrated that the LiNbO 3 matrix is amorphous and the \nCoFe nanogranules are crystalline. The 2D Fast Fourier Transform (FFT) spectrum corresponding \nto the CoFe granule marked in Fig.2h by red arrow and the HRTEM image of its crystal lattice in \n[001] zone axis are shown in the Figs. 2i and 2k, respectively. The last image together with the FFT \nspectra indicated that the CoFe nanogranules have a bcc crystal structure with lattice pa rameter a = \n0.29 nm. The {110} crystal planes of bcc lattice are clearly visible with interplanar distance of \n~0.21 nm. 10 \n \nFig.2. The cross -section image, results of EDX analysis and size distribution of granules of CM M/NC/M \nstructure with optimal value хopt 15 at. %. (a) Bright field STEM image of the sample. (b) Enlarged \nHAADF STEM image of the area near bottom electrode. The white line demonstrates the area of EDX \nelement analysis, shown in (c). (d), (e) HAADF STEM images of the areas marked in (b) with red and yellow \nsquares, respectively. (f), (g) Histogram of the transverse -size distribution of granules and Gaussian \napproximation of this dependence (solid curve) for the images shown in (d) and (e), respectively. (h) \nHRTEM image of the interface near the bottom electrode. (i) The 2D FFT spectrum corresponding to the \nCoFe granule marked in (h) by the red arrow. (k) The image of the granule crystal lattice in [001] zone axis. 11 C. Behavior of the CM M/NC/M structures capacity in different resistive state s \nFig. 3 shows a typical impedance hodograph (Cole –Cole plot) , i.e. the dependence of the \nimaginary part of impedance Z on the real one Z, which was obtained for CM \nМ/(CoFeB) x(LiNbO 3)100−x/М structure with х 15 at. % in the HRS. The inset to Fig. 3 also shows \nthe dependence of Z(Z), obtained for the same structure in the LRS. Similar dependencies were \nobserved for all the CM M/NC/M structures with different content of metal phase . Note, that t he \nhodographs obtained for the HRS and LRS look like semi -circles. \n0 20 40 60 80 1000204060\n0 1 2 30.00.51.0\n experiment\n approximation\n Z'' (k)\nZ' (k)\nZ'' (k)\nZ' (k)\n \nFig. 3. The dependence s of the imaginary part of the impedance on the real one for the CM M/NC/M \nstructure with х 15 at. % in the HRS and LRS (the top inset). The bottom inset shows the equivalent circuit \nof the CM M/NC/M structure (explanations are given in the text). \n \nAccording to the qualitative model of RS proposed in [ 33], to describe these dependencies it \nwould be necessary to use an equivalent electrical scheme (see the bottom inset in Fig. 3), \nconsisting of a parallel RsCs circuit and a resistance Rc connected to it in series, which are shunted \nby a parallel connected geometric capacitance \n*\ngC of the M/NC/M structure, modified because of \nthe presence of metallic nanoparticles in the dielectric. The resistance Rs and the capacitance Cs are \nnaturally related to the presence of a high -resistance layer near the BE of the structure, which \ndetermines its switching from one resistive state to another . The resistance Rc, in turn, is related to \nthe resistance of the MGC s, providing contact of the RsCs circuit with the top electrode of the 12 structure. It is obvious that the resistance Rc is much lower than the resistance of the switching layer \nRs in the HRS of the memristor. \nIt is known that an equivalent circuit, similar t o that described above, should in general lead to \ntwo semi -circles on the hodograph [ 52]. However, this is not observed in our experiments because \nthe time constant \n8 *10gcCR s (see below) is rather small and lies far beyond the range of \nrelaxation times ( 1/f 10−7 s). Therefore, the hodographs shown in Fig. 3 are described quite \nsatisfactorily by the separate \nssCR circuits (corresponding curves are shown in solid lines in Fig. 3). \nIt should be noted that the centers of the semi -circles o f impedance hodographs do not lie on \nthe axis of the real Re( Z) impedance, but are below it. This fact may be related to the presence of \nsome distributed elements in the nanocomposite/high impedance layer/electrode system. This leads \nto the conclusion that the relaxation time τ is not a constant value, but is continuously or discretely \ndistributed with respect to its mean value [ 52]. \nIn this situation, we should expect a fairly strong dependence of the measured capacitance on \nthe frequency C(f). In Fig. 4a the dependencies of C(f) in the HRS and LRS of the structure on the \nalternating signal frequency for a sample with х 15 at. % are shown. \n10-1010-910-810-7\n1011021031041051061071011021031041051011021031041051061070.1110\n LRS \n HRS C (F)\n(a)\n(b)R ()\nf (Hz) LRS\n HRS\ntg\nf (Hz) LRS\n HRS\n \nFig. 4. The frequency dependences of capacitance (a) and resistance (b) in the HRS and LRS for the \nM/(CoFeB) x(LiNbO 3)100− x/M structure with x 15 at. %. The inset shows the frequency dependences \nof loss tangent in the HRS and LRS for this structure. \n 13 As can be seen from the figure , the capacitance of the structure decreases in both cases with \nincreasing the frequency. Meanwhile at high frequencies ( f 107 Hz) the capacitances in the HRS \nand LRS practically coincide . Similar dependencies of C(f) were obtained for the other samples \nstudied. It is necessary to note that the resistances in the HRS and LRS at the high frequency (RHF) \nof f 107 Hz also coincide (see Fig. 4b). And, according to the equivalent scheme (Fig. 3), Rc RHF \n~ 100 Ω. In addition, note that the structure resistance, when below the percolation threshold, is \nalmost frequency -independent up to ~104 Hz in the HRS and up to ~105 Hz in the LRS. Such \nbehavior is typical for granulated NC films, including those with hopping c onductivity, in which the \nreal part of the conductance practically does not depend on the frequency up to f ~104 Hz (see, for \nexample, [53]). \nThe tangent tg of dielectric losses is presented in the inset to Fig. 4a. In both resistive states, \nthe tg value decreases monotonously with increasing frequency. However, at high frequencies, \nthere is a clear tendency for the dissipation factor to increase, especially in the HRS. It is known \nthat the drop in tg with the frequency is typical for a parallel RC circuit with a weak dependence of \nresistance and capacitance versus frequency (tg = 1/RC, = 2f), whereas for a series RC \ncircuit under these conditions, an increase in the tg with f should be observed (tg = RC) [52] . \nFrom this one can conclude that at f less than 106 Hz, the dissipation factor is mainly determined by \nthe high -resistance oxide interlayer formed at the BE of the structure ( RsCs circuit in the inset to Fig. \n3), which decreases with increasing frequency. However, at f above 106 Hz, losses probably begin \nto manifest in the MGC s (Rc resistance in the inset to Fig. 3) and the tg tends to grow. \nA significant decrease in capacitance with frequency growth (Fig. 4a) can be attributed both \nto a decrease in the permittivity of the amorphous LiNbO 3 matrix with an increase in the frequency \nof the alternating signal [ 44] and to the shunting effect of the effective geometric capacitance \n*\ngC of \nthe M/NC/M structure, which can be significantly increased due to the presence of metallic \nnanoparticles in the dielectric matrix. In the Maxwell -Garnett approximation, which is valid for a \nsmall volume fraction of spherical nanoparticles xv << 1, the complex effective permittivity of the \ncomposite medium is determined b y the expression [ 54]: \n) ( 2) (2 2\nd m v d md m v d m\nd MGxx\n\n, (1) \nwhere d and m are permittivity of the dielectric and metal, respectively. Considering, as usual, that \nfor metal the imaginary part of the permittivity Im(m) is much larger than its real part Re(m) and \nalso much larger than the permittivity of the dielectric d, which is obviously fulfilled up to high \nfrequencies, we obtain that the real part of the effective permittivity of the composite m edium is \nequal to: 14 \n)31( Rev d MG eff x . (2) \nThe equivalent circuit in Fig. 3 shows that when the capacitance values in the HRS and LRS \nare close, the capacitance measured is defined as : \n)31(4)31(*\nvd\nv g g xdSx C CC \n, (3) \nwhere Cg is the geometric capacitance of the structure in the absence of metallic nanoparticles in the \ndielectric. At х 15 at. % the volume fraction of metal xv 8 vol. % for the (CoFeB) x(LiNbO 3)100− x \nNC. Taking this into account, substituting the nominal value of d 50 [44] in (3), we obtain \nС 40 pF, which is close to the experimentally measured value of С 60 pF (Fig. 4a). It should be \nnoted here that the elongation and/or short -circuit of the granules along the growth axis of the NC \ncan strongly increase their polarizability and the total capacit y of the structure . In a more general \ncase, for ellipsoidal granules: \n)/ 1( Lxv d eff , where L is a form -factor less than 1/3 for oblong \nellipsoid (L = 1/3 for the sphere ). The experimentally found value of С = \n*\ngC 60 pF corresponds to \nthe form -factor L ~ 0.1, inherent in the granules in the shape of prolate ellipsoid of revolution with \nthe long to short axis ratio of ~ 3. Note that in our case \n8 *10~\ng HFCR s, which is much less than 1/f. \nThe switching of the M/NC/M structure to the LRS should be accompanied by a reduction in \nthe thickness of the high -resistance layer, which in turn should lead to an increase in capacitance. \nThis effect, obviously, should be the stronger the greater the Roff /Ron ratio, which is quite clearly \nmanifested in our case in the study of correlation between the relative change in resistance and \ncapacitance in the structures with different metal content (Fig. 5). The maximum relative \ncapacitance change of up to 8 times , as well as the maximum relative resistance change (about 40 \ntimes ), is observed below the percolation threshold for the structures with х 14–16 at. %. \nA fairly significant change in C during RS with formation of metal filaments was observed in \n[31, 32 ], where capacity variations reached 3 –4 times. Apparently, the multifilament mechanism of \nRS close to our case was realized in [31]. At the same time, in [31] the capacitance at first slightly \nincreased with decreasing resistance, and then strongly (4 times) fell, which was associated with the \nformation of solid (without ruptures) metal Ni bridges. In our case, when the resistance decreases, \nthe capacitance experiences only growth. 15 \n6 8 10 12 14 16 18 20110 RHRS/RLRS & CLRS/CHRS \n \nx (at. %) R\n C \nFig. 5. Dependence of the relative change in resistance and capacity of the CM M/NC/M structure \nduring its RS between the HRS and LRS on the content of metallic phase at a frequency of 1 kHz. \n \nD. Qualitative model of resistive /capacit ive switching \nLet one consider a possible multifilament mechanism of RS in our case, taking into account : \n(i) the high content of dispersed Co and Fe atoms in the insulating LiNbO 3−y matrix (up to ~31022 \ncm–3 [33,34]) , and (ii) the presence in the CM M/NC/M structures the high -resistance layer of \namorphous/nanocrystalline LiNbO 3 with d reaching ~ (102–104) [44] which is formed near the \nbottom electrode during the NC growth under a large excess of oxygen ( see Fig. 2b ). \nFig. 6 illustrates the qualitative RS model under the above stated conditions. In a pristine state \nthe dispersed atoms are uniformly distributed in the isolating matrix (Fig. 6a) . At the first stage of \nswitching, there is a \" soft\" electroforming, i.e. after applying a voltage and passing a current, the \nnucleation of dispersed atoms a round chains of granules produc ing percolation paths can occur , and \nas a consequence the formation of metalized granular chains or MGCs. It is obvious that the \nmanifestation of the nucleation effects is due to the strong oversaturation of the considered system \nby dispersed atoms and the presence of metallic nuclei (nanoparticles) in it. Previously, similar \neffects were observed, for example, in SiO 2-based mem ristive structures with d ispersed Pt or W \natoms [ 55, 56]. \nThe appearing MGCs in Fig. 6 are shown isolated for simplicity, i.e. as an array of 1D \nnanowires. In fact, it is well known that in the case of hopping (tunneling) electron transport , the \nconductivity of disordered system is percolation in nature and is determined by the percolation \nnetwork with a characteristic size equal to the correlation radius L of the percolation cluster [ 57]. In \nthe case of NC, the correlation radius is noticea bly greater than the granule size, L ~ 10 nm (see \nSec. A in [45]). Therefore, when the NC layer thickness dnc >> L, numerous conducting connections 16 can be formed between the MGCs . However, these links should not inhibit the multifilamentary \ncharacter of RS (see the next Sec. E). \n \nFig. 6. The qualitative model of RS in the M/NC/M structures. ( а) The M/NC/M structure in a pristine state after \nsynthesis. Green color shows amorphous/nanocrystalline LiNbO 3-y matrix, containing metal CoFe nanogranules \n(black ovals) and nonequilibrium phase of Co and Fe atoms with a concentration reaching ni ~1022 cm−3 (gray \ncircles). The red lines show the percolation paths that determine the current after applying a voltage t o the \nstructure. The green dashed line separates the high resistance layer near the bottom electrode of the structure \nfrom predominantly stoichiometric LiNbO 3, in which there is no nonequilibrium atomic metal phase. (b) The \nM/NC/M structure in HRS after soft electroforming (see text) and applying negative potential to the top \nelectrode. The gray areas surrounding the chains of granules are metallic condensate, which occurs due to the \nnucleation processes of Co and Fe atoms and oxygen vacancies when the current flows through the struct ure. (c) \nThe M/NC/M structure is in the LRS after applying positive potential above a certain value to the top electrode. \n \nAt the second stage, regular reversible switching is possible, but only after the soft \nelectroforming process has taken place. At a small content of dispersed metal atoms ( ni ~1020 cm–3), \nwhen the nucleation is insignificant, there are no repeated regular RS [43]. Otherwise, w hen a \nsufficiently large negative voltage is applied to the top electrode, the structure switches to the HRS \ndue to the movement of oxygen vacancies (cations) to the top electrode by means of M GCs and \nincreasing the effective gap lg between the M GCs and the bottom electrode ( Fig. 6b). Obviously, the \ncapacitance of the structure in this situation must fall, since in a rough approximation the value of C \n 1/lg. The reverse situation arises when a sufficiently large positive voltage is applied to the \nstructure (Fig. 6c). In this case, the migration of vacancies (cations) from M GCs to the bottom \nelectrode during switching the structure to the LRS leads to a reduction of the gap, but not to its \ncomplete “collapse”. This is probably due both to the relatively thick oxide layer and to the \nconsiderable resistance of M GCs, which causes the redistribution of voltage drop between Rs and Rc \nduring switching the structure to the LRS (see inset to Fig. 3) and, as a consequence, the absence of \nshort circuits between the M GCs and the bottom electrode . \n17 Simple estimates confirm the reasonableness of the proposed RS model. Assuming lg ~ 1 nm \nin LRS , d ~ 103, lateral M GCs size Sc1/2 of ~10 nm, and their number Nc ~107 on the area S = 10-3 \ncm2 (corresponds to the distance between MGCs ~100 nm and fill factor Kc = NcSc/S ~ 10-2), we \nobtain the structure capacity C ~9000 pF, which is consistent with the results of the experiment : C \n9000 pF at f 400 Hz (Fig. 4 a). \nNote that the proposed model is qualitative. For the development of the microscopic model of \nRS one needs to take into account several phenomena such as ionic, electronic, phonon transport on \na nanoscale as well as effects of atomic nucleation and percolation . However, such a complex study \nlies beyond the scope of this work. \nThe proof of the proposed model could be obtained from direct TEM/STEM observations of \nfilaments that form at the BE during RS to LRS. However, in MOM structures with RS based on \nthe valence change mechanism with the small surface filling of filaments ( Ks ~ 1 % or less) , their \ndirect observation is an extremely difficult task. At present, there are few works on their \nTEM/STEM observation, obtained mainly on thin structures with defects of the TE that occur due \nto the release of O2 at RS [ 12, 13, 31, 47 ]. In this situation, to obtain further insight into \npeculiarities of the RS that confirm our model, we performed additional experiments described \nbelow. \n \nE. Breakdown and resistive switching of thin two -layer M/NC/LiNbO 3/M structures \nFrom the model presented above, it follows that the main reason for the multifilamentary RS \nregime is the percolation character of the conductivity of NC , which together with the TE forms a n \nelectrical nanowires type contact s to the LiNbO 3 interlayer at the BE that determines the RS of \nM/NC/M structure. When the NC film thickness dnc decreases, it can be expected that the transverse \nconductivity of the film will begin to be determined by isolated chains of granules with an optimal \nlow resistance (based on the analogy with the conductivity of amorphous semiconductor films [57 -\n60]). It is also obvious that the number of such chains can begin to increase sharply with increasing \nx under conditions when the correlation radius L dnc (while the structure area S >> L2). Recall [33] \nthat L ~ (ag+bt)(bt/)v, where bt is the effective tunneling intergranular distance (bt ag(xp-x)/xp at \n(xp-x) << xp), is the depth of subbarrier penetration of the electron wavefunction, and v = 0.88 is \nthe critical index of the percolation theory [57]. In other words, the correlation radius for hopping \nconductivity decreases with increasing x, tending to zero at the percolation threshold . (In fact, the \nradius tends to a certain finite value due to the finite width of the percolation threshold, where the \nconductivity of the medium is determined by both the “dielectric ” and “metal ” components [61]) . \nTherefore , if the model described above is valid, we can expect the manifestation of transition to \nmultifilamentary RS regime in M/NC/M structures with a thin NC layer at increasing x. 18 We used in experiments M/NC/ LiNbO 3/M structures with x = 6-20 at.%, dnc 10 nm and the \nLiNbO 3 layer thickness of dox 40 nm, which is usually used to fabricate M/LiNbO 3/M memristors \n[62]. As we have already noted, one of the natural manifestations of the multifilament RS \nmechanism (see Sec. A above ) is associated with the strong increase in switching currents, in \nparticular, the limiting ones preceding the electrical breakdown of the structure . To find the \nbreakdown current Ib, the I-V characteristics were measured by scanning the current before the \nirreversible transition of the M/NC/ LiNbO 3/M structure to a conducting state with the resistance of \nabout 10 Ω (see the bottom inset to Fig. 7 ). The measurements were performed at different current \nsweep rates determined by the value of the current change step (0.1 µA and 1 .0 µA) with the same \nstep duration of 20 ms. \nFig. 7 shows the dependence of the Ib value versus x in the NC layer for M/NC/ LiNbO 3/M \nstructure . The presented data clearly indicate the existence of two breakdown mechanisms, one of \nwhich is realized when the metal phase content is less than 13.5 at.%. The limit current of \n \n6 8 10 12 14 16 1810-210-1100101102\n-3-2-1 012310-410-310-210-1\n0.05 0.10 0.150.00.51.01.52.02.5 step 0.1 A\n step 1.0 AI (mA)\nx (at.%)I (mA)\nU (V)x =15 at.%\nx =11 at.%U (V)\nI (mA)\n \nFig. 7. The dependence of the breakdown current Ib versus х for M/NC/ LiNbO 3/M structure measured \nat different current sweep rates determined by the value of the current change step (0.1 µA and 1.0 \nµA). The bottom and top insets show I -V curves measured by scanning the current and voltage, \nrespectively. \n \nirreversible RS is in this case Ib 20-30 µA. However, at high values of x 13.5 at.% , a sharp \n(almost 4 orders of magnitude) increase in Ib up to ~100 mA is observed. The found effect of the \nstrong increase in the limiting current at RS with the increasing of x, we naturally associate with the \nmanifestation of multifilament RS mechanism. Note that at measuring I -V curves under current -\nlimiting conditions Ic < Ib, reversible RS is observed in the region of relatively low voltages: U 19 2V at Ic = 0.1 mA, x 15 at.% (see top inset in Fig. 7) . The observed minimum on the I -V curve at \nnegative U is probably due to the manifestation of a nano -battery effect or electric polarization of \nthe LiNbO 3 layer (see [ 63] and Fig. 2 in this work). \n \nF. Magnetization before and after RS of MM M/NC/M structures \nLet's now consider the behavior of M/NC/M structure magnetization M at its transitions from \none resistive state to another. According to the picture described above (see Fig. 6) , the maximum \nconcentration ni of dispersed metal atoms (magnetic ions) is expected in the pristine state of the \nstructure. At the same time, the maximum magnetic moment per ion is reac hed: mi = 5.9, 5.4, and \n4.8B for Fe3+, Fe2+ and Co2+, respectively [ 64] (here B is a Bohr magneton) . Meanwhile, when Co \nand Fe atoms are nucleated into metal granules, their magnetic moment must fall: mm = 1.72, 2.22, \nand 2. 33B for Co, Fe, and CoFe, respectively [6 4, 65]. Therefore, at the M/NC/M structure \ntransition from the pristine state (Fig. 6a) to the state with stable RS after soft electroforming (Fig. \n6b, c), the maximum change in the magnetic moment J is expected. Negl ecting the effects of spin \nloss (gain) due to electro -thermally -accelerated oxidation (reduction) processes during \nelectroforming [50], for the change of J we have: \n m i ncccsi m i ci ncnc RS PS mmdSNnn mmVn dSM MJ \n, where MPS, MRS are \nmagnetization before and after RS processes, respectively, ns = KsSnc/S is the number of M/NC/M \nstructures on the NC film with an area of Snc, and Vc is the volume of MGC s. Taking into account \nthe presence of a ferromagnetic component in the magnetization MFM of the film, in addition to the \nparamagnetic component MPM, we obtain a relative change in the magnetization after \nelectroforming : \n\n\n\n\n\n\n\n \nPM FMs\nim i cc\nPS RS PSM MK\nmmm\nSSNM M M/ 1/) (\n. (4) \nIn our case, the change in the magnetic moment per atom is m = (mi - mm)/mi 0.6. It follows from \n(4) that in this case, the change in magnetization coincides in order of magnitude with the MGC fill \ncoefficient , Kc = NcSc/S, for NC films with Ks 1, in which the paramagnetic component is \nnoticeably higher than the ferromagnetic one. \nFor magnetic measurements, we used MM M/NC/M structures with Cu contacts (see Sec. II), \nwhich were placed in an amount of about 50 pieces on the 0.2 cm2 substrate covered with the NC \nlayer. The freshly prepared samples were measured using SQUID magnetometer at room and \nhelium temperatures ( T 10 K) . Then the samples were taken out of the magnetometer and each of \nthe sample structure s was subjected to 5 -6 cyclic RS , which ended when the structures returned to \nthe LRS. Then the magnetization was measured again in the se samples . By measuring samples with 20 x 18-19 at.% above percolation threshold in which there are no RS, we estimated that in our case \nthe systematic error of measuring the magnetic moment J does not exceed J 10-4 emu when the \nsample J is 210-2 emu. \nFigure 8 a shows the magnetic field dependences of magnetization measured at T = 300 K and \nT ≤ 10 K for MM M/NC/M structures with x 15 at .%. From the presented data, it follows that at \nlow temperatures there is a noticeable contribution to the M value of PM component, which is 1.6 \ntimes higher than the contribution of the FM one at 300 K. Fitting difference of the field \ndependences of magnetization M(H,T) measured at several temperatures from the low temperature \nrange (T ≤ 10 K ) by difference of Brillouin's functions (see details in [34]), we find the \nconcentration of dispersed ions for this sample to be ni 6.51021 cm-3. M/NC/M structures with \nsuch content of dispersed atoms demonstrate stable RS [43] (see I -V curves in the inset to Fig. 8a). \n-4000400800\n-3 -2 -1 0 1 2 310-310-210-1100101102\n-20 0 20 40 60-4000400800\n-20 0 20 40 60-20-1001020x = 15 at.%M (emu cm-3) 2 K\n 5 K\n 10 K\n 300 K\n Nd ~ 6.5*1021 cm-3I (mA)\nU (V)x = 15 at.%\n(b)M (emu cm-3)\nH (kOe) before RS \n after RS \nT = 2K(a)\n 15 at.%\n 14 at.% \n M (emu cm-3)\nH (kOe)M = (MPS - MRS)\n \nFig. 8 . The magnetic field dependences of magnetization M(H) for MM M/NC/M structures with x \n15 at.% measured at different temperatures (a), and at T = 2 K before and after cyclic RS (b). The top \ninset shows 6 cyclic I-V curves; the bottom one demonstrate s dependencies of magnetization \nreduction M(H) = (MPS – MRS) after RS . \n 21 Fig. 8b shows the dependencies M(H) obtained at T = 2 K for the sample with x 15 at.% \nbefore and after RS. It can be seen that there is a slight reduction in the M = (MPS – MRS) after RS . \nExamples of M(H) dependencies are shown in the inset to Fig. 8b for samples with x 14 and 15 \nat.%. In both cases, the value of M (5-15) emu/cm3, although small, clearly goes beyond the \nsystematic error of measurement s M 4 emu/cm3. According to data presented in Fig. 8b, the \nrelative decrease in magnetization M/MPS ~ 10-2, which corresponds according to (4) to the MGC \nfill factor Kc ~ 10-1, taking into account that in our case Ks 0.25, MPM/MFM 1.6, and m/mi 0.6. \nIn Sec . D, an estimat ion of Kc ~ 10-2 is obtained based on capacitance measurements, \nassuming that the permittivity of amorphous LiNbO 3 d ~ 103. However, this d value corresponds \nto LiNbO 3 films that were heated to the T 100-200 oC [44]. At room temperature for amorphous \nLiNbO 3 the value of d 50-90 [44], which corresponds to an order of magnitude lower values of \nKc ~ 10-1. In addition, it is necessary to keep in mind possible discrepancies between the data of \nmagnetic and capacitive measurements related to the effects of thermally -accelerated oxidation \n(reduction) [50], which were not taken into account in the derivation of (4). \nNote also that after soft electroforming, in our case, a ferromagnet/insulator/non -magnetic \nmetal junction is formed at the BE, which does not exhibit spin -dependent magnetoresistance [ 66], \nin contrast to the ferromagnet/insulator/ferromagnet junction s that occur, for example, under RS of \nM/NiO/M structures [31]. Therefore, at this stage, we have limited ourselves to the study only of \nthe effect of RS on magnetization. \n \nG. Temperature dependencies of resistance for CM M/NC/M structures \nTo obtain further insight into peculiarities of the RS , confirming our model, the temperature \ndependencies of resistance R(T) were investigated for structure in both the HRS and LRS. \nSwitching to the LRS was carried out at the temperature of 250 K (Fig. 9a) in order to avoid the \ninfluence of possible conduct ance relaxation s on the dependence of R(T). Note, that the RS were \nnot observed below 200 K in the whole voltage range from −15 to +15 V. Under these conditions \nthe I–V curves were symmetrical and nonlinea r (Fig. 9b). 22 \n10-310-210-1100101\n-15 -10 -5 0 5 10 15-1.5-1.0-0.50.00.51.01.5x=15 at.%T = 250KI (mA)(a)\n(b)\nx=15 at.%I (mA)\nU (V) 50 K\n 100 K\n 200 K \nFig. 9. Typical I –V curves measured for the М/(CoFeB) x(LiNbO 3)100− x/М structure with х 15 at. % at \n(a) Т = 250 K and (b) Т = 50, 100 and 200 K. \n \nFig. 10 shows the R(T) dependencies in the HRS and after switching the structure to LRS, as a \nresult of which its resistance decreases by 4 times. In both cases, the activation dependences are \nobserved , moreover, in a wide temperature range ( T = 15–120 K) they are approximated with high \naccuracy (better than 1%) by the function ln R on (1/T)1/2. For comparison, the inset to Fig. 10 also \nshows the dependence of ln R vs 1/T, which is not linear in the studied temperature range. In other \nwords, the conduct ance follows the law of lnR (T0/T)1/2, often observed in systems with hopping \nconductivity [ 33, 57], and with almost identical values of the parameter T0 1440 K (in HRS) and \n1410 K (in LRS). This clearly indicates that in our case RS of the structure to lower resistance state \nis accompanied by a decrease in the lg value and absence of metal short circuits. The large value of \nT0 is obviously related with the large energy depth of traps in the oxide and the strong localization \nof electrons on them. Indeed, in the case of doped semiconductors (or highly defective dielectrics), \nthe law “1/2” in the R(T) depend ence is associated with the formation of a Co ulomb gap near the \nFermi level. The parameter T0 is determined by the localization radius aB of the electron at the 23 defect [ 57]: \nBd B a eTk/~2\n0 , where kB is Boltzmann's constant, e is the charge of the electron . In the \ncase of the strong localization ( of about the lattice constant), the permittivity at optical frequencies \nshould be used to estimate aB, assuming d opt. In LiNbO 3 the value of opt is about 10 [67]. Using \nthe expression for T0 and the value found in the experiment of T0 ~ 1400 K, we obtain aB ~ 1 nm, \nwhich is a reasonable result (hexagonal lattice parameters for LiNbO 3: a = 0.5148 nm and \nc = 1.3863 nm [67]). \n0.05 0.10 0.15 0.20 0.25 0.3081012141618\n0.00 0.02 0.04 0.06810121416x = 15 at. %ln(R, )\nT -1/2 (K-1/2) LRS\n HRS\nln(R, )\nT -1 (K-1) LRS\n HRS\n \nFig. 10. The temperature dependencies of resistance R(T) for the М/(CoFeB) x(LiNbO 3)100− x/М \nstructure in the two different resistive states in coordinates of lnR vs (1/T)1/2. The inset shows ln R as a \nfunction of 1/T. \n \nIV. CONCLUSION \nThus, the experimental results presented above and their analysis show that the originality of \nthe memristive properties of the M/NC/M samples based on the (Co 40Fe40B20)x(LiNbO 3)100− x \nnanocomposite is determined both by their structural features associated with the formation of high -\nresistance and highly polarizable ( high-) oxide interface layer and by the multifilamentary nature \nof the resistive switching. The latter is due to the presence of a large number of granules in the \noxide matrix as well as dispersed metal atoms in it, whose nucleation causes formation of many \nchannels of resistive switching. As a result of synergetic combination of these factors, not only a \nsignificant change in the structure resistance is observed, but also in its capacity, which reaches 8 \ntimes at the ratio of ROFF/RON ~ 40. \nThe proposed qualitative model of multifilamentary character of RS allows explaining high \nlevel of endurance (more than 106) and plasticity of our samples (the possibility of a smooth change \nof the resistance in the (ROFF – RON) window , more than 300 resistive states), which, in particular, 24 allow emulating the unique properties of biological synapses using them. The obtained results pave \nthe way for creating hardware neural networks based on nanocomposite memristors with \ncontrollable characteristics . \nFinally, we note that the proposed multifilamentary RS model is confirmed by investigation s \nof breakdown and RS of two -layer nanoscale M/NC/LiNbO 3/M structures , studies of the \nmagnetization of M/NC/M structures in the pristine state and after RS , as well as the study of \nresistance temperature dependences of these structures in various resistive states. However, \nadditional experiments are needed to study the RS mechanism of nanocomposite memristive \nstructures aimed, in particular, at visualizing r esistive switching channels using methods of high-\nresolution electron microscopy. \n \nAcknowledgments \nThis work was supported by the Russian Science Foundation (project No. 16 -19-10233). \nMeasurements were carried out with the equipment of the Resource Center s (NRC “Kurchatov \nInstitute”) . \n \nReferences \n[1] D. Ielmini, Resistive switching memories based on metal oxides: mechanisms, reliability and \nscaling , Semicond. Sci. Technol. 31, 063002 (2016). \n[2] M. Prezioso, F. Merrikh -Bayat, B.D. Hoskins, G.C. Adam, K.K. Likharev, and D.B. Strukov, \nTraining and operation of an integrated neuromorphic network based on metal -oxide \nmemristors, Nature 521, 61 (2015). \n[3] G.W. Burr, R.M. Shelby, A. Sebastian, S. Kim, S. Kim, S. Sidler, K. Virwani, M. Ishii, P. \nNarayanan, A. Fumarola, L.L. Sanches, I. Boybat, M. Le Gallo, K. Moon, J. Woo, H. Hwang, \nand Y. Leblebici , Neuromorphic computing using non -volatile memory , Advances in Physics -\nX 2 (1), 89 (2017). \n[4] M.A. Zidan, J.P. Strachan, and W.D. Lu, The future of electronics based on memristive \nsystems , Nature Electr. 1, 22 (2018). \n[5] C. Li, M. Hu, Y. Li, H. Jiang, N. Ge, E. Montgomery, N. Davila, C. E. Graves, Z. Li, J. P. \nStrachan, P. Lin, W. Song, Z. Wang, M. Barnell, Q. Wu, R. S. W illiams, J. J. Yang, and Q. \nXia, Analogue signal and image processing with large memristor crossbars, Nature Electr. 1, \n52 (2018). \n[6] Q. Xia, J.J. Yang, Memristive crossbar arrays for brain -inspired computing, Nature Materials, \n18, 309 (2019). \n[7] J.J. Yang , D.B. Strukov, and D.R. Stewart, Memristive devices for computing, Nature \nNanotech., 8, 13 (2013). 25 [8] J. del Valle, J. G. Ramírez, M. J. Rozenberg, and I. K. Schuller, Challenges in materials and \ndevices for resistive -switching -based neuromorphic computing, J. Appl. Phys. 124, 211101 \n(2018). \n[9] Y. Li, Z. Wang, R. Midya, Q. Xia, and J. J. Yang, Review of memristor devices in \nneuromorphic computing: materials sciences and device challenges, J. Phys. D: Appl. Phys. \n51, 503002 (2018). \n[10] N. Du, N . Manjunath, Y . Li, S . Menzel, E . Linn, R . Waser, T . You, D . Bürger, I . Skorupa, D . \nWalczyk, C . Walczyk, O . G. Schmidt, and H . Schmidt , Field -Driven Hopping Transport of \nOxygen Vacancies in Memristive Oxide Switches with Interfa ce-Mediated Resistive \nSwitching, Phys. Rev. Applie d 10, 054025 (2018). \n[11] A. Mehonic, A. L. Shluger, D. Gao, I. Valov, E. Miranda, D. Ielmini, A. Bricalli, E. Ambrosi, \nC. Li, J. J. Yang, Q. Xia, and A. J. Kenyon, Silicon Oxide (SiO x): A Promising Material for \nResistance Switching? , Adv. Mater. , 1801187 ( 2018). \n[12] D.-H. Kwon, K.M. Kim, J.H. Jang, J.M. Jeon, M.H. Lee, G.H. Kim, X. -S. Li, G. -S. Park, B. \nLee, S. Han, M. Kim, and C.S. Hwang, Atomic structure of conducting nanofilaments in TiO 2 \nresistive switching memory, Nat. Nanotechnol. 5, 148 (2010). \n[13] J.-Y. Chen, C. -W. Huang, C. -H. Chiu, Y. -T. Huang, and W. -W. Wu, Switching Kinetic of \nVCM -Based Memristor: Evolution and Positioning of Nanofilament, Adv. Mater. 27, 5028 \n(2015). \n[14] H. Jiang, L. Han, P. Lin, Zh. Wang, M.J. Jang, Q. Wu, M. Barnell, J.J. Yang, H.L. Xin, and \nQ. Xia , Sub-10 nm Ta Channel Responsible for Superior Performance of a HfO 2 Memristor, \nSci. Rep . 6, 28525 (2016) . \n[15] Y. Yang, P. Gao, S. Ga ba, T. Chang, X. Pan, and W. Lu, Observation of conducting filament \ngrowth in nanoscale resistive memories, Nat. Commun . 3, 732 (2012) . \n[16] Z.B. Yan, and J. -M. Liu, Coexistence of high performance resistance and capacitance \nmemory based on multilayered metal -oxide structures , Sci. Rep. 3, 2482 (2013). \n[17] Y. Shuai, Y. Peng, X. Pan, L. Jin, C. Wu , W. Luo, H. Zeng, and W. Zhang, Coexistence of \nmemristive and memcapacitive effects in oxide thin films , Jpn. J. Appl. Phys. 57, 121502 \n(2018) . \n[18] V. Garcia, S. Fusil, K. Bouzehouane, S. Enouz -Vedrenne, N. D. Mathur, A. Barthelemy , and \nM. Bibes, Giant tunnel electroresistance for non -destructive readout of ferroelectric states, \nNature Lett. 460, 81 (2009). \n[19] H.Y. Yoong, H.Wu, J. Zhao, H. Wang, R. Guo, J. Xiao, B. Zhang, P. Yang, S.J. Pennycook, \nN. Deng, X. Yan, and J. Chen , Epitaxial Ferroelectric Hf0.5Zr0.5O2 Thin Films and Their \nImplementations in Memristors for Brain -Inspired Computing , Adv. Funct. Mater ., 28, \n1806037 ( 2018). \n[20] M. Qian, I. Fina, M.C. Sulzbach, F. Sánchez, and J. Fontcuberta, Synergetic Electronic and \nIonic Contributions to Electroresista nce in Ferroelectric Capacitors, Adv. Electron. Mater. 5, \n1800646 (2019 ). \n[21] D.A. Lapkin, A.V. Emelyanov, V.A. Demin, V.V. Erokhin, P.K. Kashkarov, M.V. \nKovalchuk, and L.A. Feigin, Polyaniline -based memristive microdevice with high switching \nrate and endurance , Appl. Phys. Letters, 112, 043302 (2018). \n[22] A.S. Vedeneev, V.V. Rylkov, K.S. Napolskii, A. P. Leontiev, A. A. Klimenko, A. M. Kozlov, \nV. A. Luzanov, S. N. Nikolaev, M. P. Temiryazeva, and A. S. Bugaev, Effects of electron \ndrag of gold in pores of anodic aluminum oxide: Reversible resistive switchi ng in a chain of \npoint contacts, JETP Lett. 106, 411 (2017). 26 [23] Y. Kalcheim, N. Butakov, N.M. Vargas, M. -H. Lee, J. del Valle, J. Trastoy, P. Salev, J. \nSchuller, and I.K. Schuller, Robust Coupling be tween Structural and Electronic Transitions in \na Mott Material, Phys. Rev. Lett. 122, 057601 (2019) . \n[24] A. Mehonic, A. Vrajitoarea, S. Cueff, S. Hudziak, H. Howe, C. Labbe, R. Rizk, M. Pepper, \nand A.J. Kenyon, Quantum Conductance in Silicon Oxide Resistive Memory Devices, Sci. \nRep. 3, 270 8 (2013) . \n[25] A. A. Minnekhanov, B. S. Shvetsov, M. M. Martyshov, K. E. Nikiruy, E. V. Kukueva, M. Yu. \nPresnyakov, P. A. Forsh, V. V. Rylkov, V. V. Erokhin, V. A. Demin, and A. V. Emelyanov, \nOn the resistive sw itching mechanism of pa rylene -based memristive devices, Organic \nElectronics 74 89 (2019) . \n[26] W. Xue, S. Gao, J. Shang, X. Yi, G. Liu, and R. -W. Li , Recent Advances of Quantum \nConductance in Memristors , Adv. Electron. Mater ., 1800854 (2019 ). \n[27] A.A. Bessonov, M.N. Kirikova, D.I. Petukhov, M. A llen, T. Ryhänen, M.J.A. Bailey, Layered \nmemristive and memcapacitive swi tches for printable electronics, Nature Materials, 14, 199 \n(2015). \n[28] I. Salaoru, A. Khiat, Q. Li, R. Berdan, and T. Prodromakis, Pulse -induced resistive and \ncapacitive switching in TiO 2 thin film devices, Appl. Phys. Lett. 103, 233513 (2013) . \n[29] I. Salaoru1, Q. L i, A. Khiat, and T. Prodromakis, Coexistence of memory resistance and \nmemory capacitance in TiO 2 solid -state devices, Nanoscale Research Letters, 9:552 (2014) . \n[30] Q. Li, I. Salaoru, A. Khiat, H. Xu, and T. Prodromakis, Correlated resistive/capacitive state \nvariability in solid TiO 2 based memory devices, Appl. Phys. A, 123, 372 (2017). \n[31] Y. Luo, D. Zhao, Y. Zhao, F. Chiang, P. Chen, M . Guo, N. Luo, X. Jiang, P. Miao, Y. Sun, A. \nChen, Z. Lin, J. Li, W. Duan, J. Cai, and Y. Wang, Evolution of Ni nanofilaments and \nelectromagnetic coupling in the resistive switching of NiO, Nanoscale, 7, 642 (2015). \n[32] M.S. Kotova, K.A. Drozdov, T.V. Dubinina , E.A. Kuzmina, L.G. Tomilova, R.B. Vasiliev, \nA.O. Dudnik, L.I. Ryabova, and D.R. Khokhlov, In situ impedance spectroscopy of filament \nformation by resistive switc hes in polymer based structures, Sci. Rep. 8, 9080 (2018) . \n[33] V.V. Rylkov, S.N. Nikolaev, V.A. Demin, A. V. Emelyanov, A. V. Sitnikov, K. E. Nikiruy, \nV. A. Levanov, M. Yu. Presnyakov, A. N. Taldenkov, A. L. Vasiliev, K. Yu. Chernoglazov, \nA. S. Vedeneev, Yu. E. Kalinin, A. B. Granovskii, V. V. Tugushev, and A. S. Buga ev. \nTransport, Magnetic, and Memristive Properties of a Nanogranular (CoFeB) x(LiNbO y)100–x \nComposite Material, J. Exp. Theor. Phys. 126, 353 (2018). \n[34] V.V. Rylkov, A.V. Sitnikov, S.N. Nikolaev, V.A. Demin, A.N. Taldenkov, M.Yu. \nPresnyakov, A.V. Emelyanov, A.L. Vasiliev , Yu.E. Kalinin, A.S. Bugaev,V.V. Tugushev, \nand A.B. Granovsky, Properties of nanogranular (CoFeB) х(AlO y)100-х and \n(CoFeB) х(LiNbO y)100-х nanocomposites: Manifestation of superferromagnetic ordering \neffects , J. Magn. Magn. Mater. 459, 197 (2018) . \n[35] K.E. Nikiruy, A.V. Emelyanov, V.A. Demin, A.V. Sitnikov, A.A. Minnekhanov, V.V. \nRylkov, P.K. Kashkarov, and M.V. Kovalchuk, Dopamine -like STDP modulat ion in \nnanocomposite memristors, AIP Advances 9, 065116 (2019). \n[36] A.V. Emelyanov, K.E. Nikiruy, A. V. Serenko, A.V. Sitnikov, M.Yu. Presnyakov, R.B. \nRybka, A.G. Sboev, V.V. Rylkov, P.K. Kashkarov, M.V. Kovalchuk, and V.A. Demin, Self-\nadaptive STDP -based learning of a spiking neuron with n anocomposite memristive weights, \nNanotechnology 31, 045201 (2020) . 27 [37] K. E. Nikiruy, I. A. Surazhevsky, V. A. Demin, and A. V. Emelyanov, Spike -Timing -\nDependent and Spike -Shape -Independent Plasticities with Dopamine -Like Modulation in \nNanocomposite Memristive Synapses, Phys. Status Solidi A, 1900938 (2020) . \n[38] T. Zhang, K. Yang, X. Xu , Y. Cai, Y. Yang, and R. Huang, Memristive Devices and \nNetworks for Brain -Inspi red Computing, Phys. Status Solidi RRL, 1900029 (2019 ). \n[39] V.A. Levanov, A.V. Emelyanov, V.A. Demin, K.E. Nikirui, A.V. Sitnikov, S.N. Nikolaev, \nA.S. Vedeneev, Yu.E. Kalinin, and V.V. Rylkov, Memristive Properties of Structures Based \non (Co 41Fe39B20)x(LiNbO 3)100–x Nanocomposites , J. Commun. Technol. Electron. 63, 491 \n(2018) . \n[40] K.E. Nikiruy, A.V. Emelyanov, V.V. Rylkov, A.V. Sitnikov, M.Yu. Presnyakov, E.V. \nKukueva, Yu.V. Grishchenko, A.A. Minnekhanov, K.Yu. Chernoglazov, S.N. Nikolaev, I.A. \nChernykh, M.L. Zanaveskin, and V.A. Demin , Formation of a Memristive Array of Crossbar -\nStructures Based on (Co 40Fe40B20)x(LiNbO 3)100-x Nanocomposite , J. Commun. Technol. \nElectron. 64, 1135 (2019). \n[41] I. Valov and T. Tsuruoka, Effects of moisture and redox reactions in VCM and ECM resistive \nswitching memories , J. Phys. D: Appl. Phys. 51, 413001 (2018). \n[42] M. Lübben, S. Wiefels, R. Waser , and I. Valov, Processes and Effects of Oxygen and \nMoisture in Resistively Switching TaO x and HfO x, Adv. Electron. Mater. 4, 1700458 (2018) . \n[43] V. Rylkov, A. Sitnikov, S. Nikolaev, A. Emelyanov, K. Chernohlazov, K. Nikiruy, A. \nDrovosekov, M. Blinov, E. Fadeev, A. Taldenkov, V. Demin, A. Vedeneev, A. Bugaev, A. \nGranovsky , Properties of Nanocomposites with Different Concentrations of Magnetic Ions in \nan Insulating Matrix , IEEE Magnetics Letters 10, 2509504 (2019). \n[44] T. Mitsuyu and K. Wasa, High dielectric constant films of amorphous LiNbO 3 prepared by \nsputtering deposition, Jpn. J. Appl. Phys., 20, L48 (1981). \n[45] V.V. Rylkov, S.N. Nikolaev , K.Yu. Chernoglazov, V.A. Demin, A.V. Sitnikov, M.Yu. \nPresnyakov, A.L. Vasiliev , N.S. Perov, A.S. Vedeneev, Yu.E. Kalinin , V.V. Tugushev , and \nA.B. Granovsky , Tunneling anomalous Hall effect in nanogranular CoFe -B-Al-O films in the \nvicinity of metal -insulator transition, Phys. Rev. B 95, 144202 (2017). \n[46] A. Milner, A. Gerber, B. Groisman , M. Karpovsky, and A. Gladkikh, Spin-Dependent \nElectronic Tra nsport in Granular Ferromagnets, Phys. Rev. Lett. 76, 475 (1996). \n[47] M.K. Yang, H.Ju, G.H. Kim, J. -K. Lee, and H.-C. Ryu , Direct evidence on Ta -Metal Phases \nIgniting Resist ive Switching in TaOx Thin Film, Sci. Rep . 5, 14053 (2015) . \n[48] Q. Wang and D. He , Time -decay Memristive Behavior and diffusive dynamics in one forget \nprocess operated by a 3D vertical Pt/Ta 2O5−x/W device, Sci. Rep. 7, 822 (2017) . \n[49] M.K. Yang and G.H. Kim, Post-Annealing Effect on Resistive Switching Performance of a \nTa/Mn 2O3/Pt/Ti Stacked Device, Phys. Status Solidi RRL , 1800031 ( 2018) . \n[50] D. Ielmini, F. Nardi, and C. Cagli, Physical models of size -dependent nanofilament formation \nand rupture in N iO resistive switching memories, Nanotechnology 22, 254022 (2011) . \n[51] J.R. Lloyd, Electromigration in thin film conductors , Semicond. Sci. Technol. 12, 1177 \n(1997). \n[52] J. Ross Macdonald, Impedance Spectroscopy. Theory, Experiment, and Applications. 2015, \nISBN: 0 -471-64749 -7. \n[53] T.N. Koltunowicz, P. Zukowski, M. Milosavljevic, A.M. Saad, J.V . Kasiuk, J.A. Fedotova, \nYu.E. Kalinin, A.V. Sitnikov, and A.K. Fedotov , AC/DC conductance in granular \nnanocomposite films (Fe 45Co45Zr10)x(CaF 2)100-x, Journal of Alloys and Compounds 586, S353 \n(2014). 28 [54] G.A. Niklasson and C.G. Granqvist , Optical properties and solar selectivity of coevaporated \nCo-Al2O3 composite films , J. Appl. Phys. 55, 3382 (1984). \n[55] B.J. Choi, A.C. Torrezan, K.J. Norris, F. Miao, J.P. Strachan, M.X. Zhang, D.A. Ohlberg, \nN.P. Kobayash i, J.J. Yang, and R.S. Williams, Electrical Performance and Scalability of Pt \nDispersed SiO 2 Nanometallic Resistance Switch , Nano Letters 13, 3213 (2013). \n[56] W. Li, X. Liu, Y. Wang, Z. Dai, W. Wu, L. Cheng, Y. Zhang, Q. Liu, X. Xiao, and C. Jiang , \nDesign of high -performance memristor cell using W -implanted SiO 2 films , Appl. Phys. Lett. \n108, 153501 (2016). \n[57] B.I. Shklovskii and A.L. Efros, Electronic Properties of Doped Semiconductors (Springer -\nVerlag, New York, 1984). \n[58] M. Pollak and J.J. Hauser, Note on the Anisotropy of the Condu ctivity in Thin Amorphous \nFilms, Phys. Rev. Lett. 31, 1304 (1973). \n[59] M.E. Raikh and I.M. Ruzin, Mesoscopic behavior of the temperature dependence of the \ntransverse hopping co nductivity of an amorphous film, JETP Lett. 43, 562 (1986). \n[60] M.E. Raikh and I.M. Ruzin in Transmittancy Fluctuations in Randomly Non -Uniform \nBarriers and Inc oherent Mesoscopics , In Mesoscopic Phenomena in Solids , edited by B.L. \nAltshuler, P.A. Lee and R.A. Webb (Elsevier Science Publishers B.V., 1991), pp. 315-368; \nhttps://doi.org/10.1016/B978 -0-444-88454 -1.50014 -0. \n[61] B.I. Shklovskii, Critical behavior of the Hall coefficient near the perco lation threshold , Sov. \nPhys. JETP 45, 152 (1977). \n[62] S. Wang, W. Wang, C. Yakopcic, E. Shin, G. Subramanyam, and T.M. Taha, Experimental \nstudy of LiNbO 3 memristors fo r use in neuromorphic computing, Microelectronic \nEngineering 168, 37 (2017) ; C. Yakopcic, S. Wang, W. Wang, E. Shin, G. Subramanyam , and \nT.M. Taha, Methods for high resolution programming in lithuim niobate memris tors for \nneuromorphic hardware, 2017 International Joint Conference on Neural Networks (IJCNN) , \nAnchorage, AK, 2017, pp. 1704 -1708, doi : 10.1109/IJCNN.2017.7966056. \n[63] L. Qingjiang, A. Khiat, I. Salaoru, C. Papavassiliou, X. Hui, and T. Prodromakis, Memory \nImpedance in TiO 2 based Metal -Insulator -Metal Device, Sci. Rep. 4, 4522 (2014) . \n[64] C. Kittel, Introduction to Solid State Physics , 4thed. (JohnWiley & Sons Inc., New York, \n1971). \n[65] M. A. W. Schoen, J. Lucassen, H. T. Nembach, T. J. Silva, B. Koopmans, C. H. Back, and J. \nM. Shaw, Magnetic properties of ultrathin 3 d transition -metal binary alloys. I. Spin and \norbital moments, anisotropy, and confirma tion of Slater -Pauling behavior, Phys. Rev. B 95, \n134410 (2017) . \n[66] V. K. Joshi, Spintronics: A contemporary review of emerging electronics devices , Eng. Sci. \nTechnol. an Int. J. 19, 1503 (2016). \n[67] MolTech GmbH crystal properties database: http://www.mt -\nberlin.com/frames_cryst/descriptions/lnb_lta.htm \n 29 Figure captions \nFig.1. (a) The dependence of conductance G(x) = I/U of the CM M/NC/M structures ( d 1.5 m) \non the metal content x, measured at DC at U = 0.3 V. The inset shows the I -V curves for the \nCM M/NC/M structure with optimal content of xopt 15 at. %. (b) The I -V characteristics of \nthe M/NC/M structures with the NC thickness of d 2.5 m and metal content of x 8 at. % \nobtained by 30 cyclic measurements. The inset demonstrates the endurance to RS of this \nstructure, i.e. the dependence of resistance after set and reset pulses on the switching cycle \nnumber. The arrows on the insets show t he voltage scanning direction. \nFig.2. The cross -section image, results of EDX analysis and size distribution of granules of CM \nM/NC/M structure with optimal value хopt 15 at. %. (a) Bright field STEM image of the \nsample. (b) Enlarged HAADF STEM image of the area near bottom electrode. The white line \ndemonstrates the area of EDX element analysis, shown in (c). (d), (e) HAADF STEM images \nof the areas marked in (b) with red and yel low squares, respectively. (f), (g) Histogram of the \ntransverse -size distribution of granules and Gaussian approximation of this dependence (solid \ncurve) for the images shown in (d) and (e), respectively. (h) HRTEM image of the interface \nnear the bottom el ectrode. (i) The 2D FFT spectrum corresponding to the CoFe granule \nmarked in (h) by the red arrow. (k) The image of the granule crystal lattice in [001] zone axis. \nFig.3. The dependences of the imaginary part of the impedance on the real one for the CM M/N C/M \nstructure with х 15 at. % in the HRS and LRS (the top inset). The bottom inset shows the \nequivalent circuit of the CM M/NC/M structure (explanations are given in the text). \nFig.4. The frequency dependences of capacitance (a) and resistance (b) in the HRS and LRS for the \nM/(CoFeB) x(LiNbO 3)100− x/M structure with x 15 at. %. The inset shows the frequency \ndependences of loss tangent in the HRS and LRS for this structure. \nFig.5. Dependence of the relative change in resistance and capacity of the CM M/ NC/M structure during its \nRS between the HRS and LRS on the content of metallic phase at a frequency of 1 kHz. \nFig.6. The qualitative model of RS in the M/NC/M structures. ( а) The M/NC/M structure in a \npristine state after synthesis. Green color shows amorphous/nanocrystalline LiNbO 3-y matrix, \ncontaining metal CoFe nanogranules (black ovals) and nonequilibrium phase of Co and Fe \natoms with a concentration reaching ni ~1022 cm−3 (gray circles). The red lines show the \npercolation paths that determine the current after applying a voltage to the structure. The \ngreen dashed line separates the high resistance layer near the bottom electrode of the structure \nfrom predominantly stoichiometric LiNbO 3, in which there is no nonequilibrium atomic metal \nphase. (b) Th e M/NC/M structure in HRS after soft electroforming (see text) and applying \nnegative potential to the top electrode. The gray areas surrounding the chains of granules are \nmetallic condensate, which occurs due to the nucleation processes of Co and Fe atoms and \noxygen vacancies when the current flows through the structure. (c) The M/NC/M structure is \nin the LRS after applying positive potential above a certain value to the top electrode. \nFig.7. The dependence of the breakdown current Ib versus х for M/NC/ LiNbO 3/M structure \nmeasured at different current sweep rates determined by the value of the current change step \n(0.1 µA and 1.0 µA). The bottom and top insets show I -V curves measured by scanning the \ncurrent and voltage, respectively. 30 Fig.8. The magnetic field dependences of magnetization M(H) for MM M/NC/M structures with x 15 at.% \nmeasured at different temperatures (a), and at T = 2 K before and after cyclic RS (b) . The top inset \nshows 6 cyclic I-V curves; the bottom one demonstrates dependencies of magnetization reduction \nM(H) = (MPS – MRS) after RS. \nFig.9. Typical I –V curves measured for the М/(CoFeB) x(LiNbO 3)100− x/М structure with х 15 at. % at (a) Т \n= 250 K and (b) Т = 50, 100 and 200 K. \nFig.10. The temperature dependencies of resistance R(T) for the М/(CoFeB) x(LiNbO 3)100− x/М structure in the \ntwo different resistive states in coordinates of ln R vs (1/ T)1/2. The inset shows ln R as a function of 1/ T. " }, { "title": "1602.06801v1.Resistive_method_for_measuring_the_disintegration_speed_of_Prince_Rupert_s_drops.pdf", "content": "Resistive method for measuring the disintegration\nspeed of Prince Rupert's drops\nDaria Gusenkova, Mark Bochkov, Evgenii Glushkov, Julia\nZotova and S. N. Zhabin\nMoscow Institute of Physics and Technology\nE-mail: eugene.glushkov@phystech.edu\nAbstract. We have successfully applied the resistance grid technique to measure the\ndisintegration speed in special type of glass objects, widely known as Prince Rupert's\ndrops. We use a digital oscilloscope and a simple electrical circuit, glued to the surface\nof the drops, to detect the voltage changes, corresponding to the breaks in the speci\fc\nparts of the drops. The results obtained using this method are in good qualitative and\nquantitative agreement with theoretical predictions and previously published data.\nMoreover, the proposed experimental setup doesn't include any expensive equipment\n(such as a high-speed camera) and can therefore be widely used in high schools and\nuniversities.\nPACS numbers: 06.60.Jn\nKeywords : Prince Rupert's drop, disintegration, resistance grid techniquearXiv:1602.06801v1 [physics.ins-det] 18 Feb 2016Resistive method for measuring the disintegration speed of Prince Rupert's drops 2\n1. Introduction\nPrince Rupert's drops are widely known tear-shaped glass objects with a thin tail\nobtained by dropping hot molten glass into water. During the process of their formation\nthe surface of the molten glass is quickly cooled, while the inner portion of the drop\nremains signi\fcantly hotter. After complete cooling this leads to large compressive\nstresses on the surface, while the core of the drop is in the state of tensile stress.\nThe earliest study of Prince Rupert's drops was performed by Robert Hooke after\nthey were introduced to the Royal Society of London in 1660 by King Charles II.\nThese glass objects were named after his nephew, Prince Rupert of Bavaria, who has\nbrought these droplets of molten glass from Germany to England and shown them to\nthe King. Later the detailed illustration of Prince Rupert's drop appeared in Hooke's\nMicrographia [1], where he described the process of its formation and cooling. The\nfurther history of these peculiar objects can be found in a review by Brodsley et al. [2],\nwhile experimental and analytical characterization of temperatures, residual stresses and\ndensities of Rupert's drops for various types of glass and at di\u000berent stages of formation\ncan be found in the paper by Johnson and Chandrasekar [3].\nOne special feature, that Prince Rupert's drops possess, is the ability to withstand\nlarge mechanical pressure, applied to their head, without any deformation and turn into\nglass powder after smallest crack at the tail of the droplet. After the initial crack is\nformed, the destruction process moves from the tail to the head of the drop with high\nspeed (in the order of kilometers per second).\nFirst precise measurements of this disintegration speed were performed by\nChandrasekar and Chaudri [4] using a high-speed camera, which was able to shoot up to\nhalf a million frames per second. This is an expensive piece of equipment, which is rarely\navailable for regular students at most universities, especially in the developing countries.\nThat is why we decided to apply another method, known as resistance grid technique [5]\nto measure the disintegration velocity of Prince Rupert's drops and investigate how it\ndepends on the environmental conditions during the drop formation and properties of the\nglass used. This experiment was initially designed and performed for the International\nPhysicists' Tournament - a team competition for students [6], which was held in Warsaw\nin April, 2015.\n2. Theoretical overview\nIn this brief overview we focus on the physical picture behind the peculiar cracking\nbehavior of Prince Rupert's drops. As described above, the ability of Prince Rupert's\ndrops to withstand large mechanical pressure and quickly disintegrate after breaking\ntheir tail is due to the process of their formation, when a liquid glass drop \ries through\nair and comes in contact with water. After cooling quickly, the outside of the drop\nbecomes compressed, while the inner part is under tension. This leads to a large amount\nof elastic energy, stored in the drop, that is released when the tail is broken, which leadsResistive method for measuring the disintegration speed of Prince Rupert's drops 3\nto fast disintegration of the whole drop.\nThere were several attempts to theoretically estimate the disintegration speed\nof Prince Rupert's drops, but the exact mechanism behind the cracking process still\nremains the topic of ongoing research. The foundation of the crack's dynamics in brittle\nmaterials was set by Gri\u000eth [7] and Mott [8], followed by the work of Yo\u000be [9], and\nthe estimation of the crack's limiting velocity was given by Roberts and Wells [10] in\nthe case of static stress (0 :38c0, wherec0=q\nE=\u001a is the longitudinal sound velocity)\nand by Steverding and Lehnigk [11] in the case of pulsed stress (0 :52cR, wherecRis the\nvelocity of the Rayleigh surface waves). All this work gave rise to the \feld of fracture\nmechanics, which was recently reviewed by Bouchbinder et al. [12].\nTo address the question of the complicated structure of the crack, which looks\nsimilar to fractals, another approach was used, called fractal fracture mechanics [13, 14].\nThe estimation of the propagation speeed of the crack in the framework of fractal fracture\nmechanics was given by Yavari and Khezrzadeh [15] in the range [0 :318c0;0:321c0].\nPrince Rupert's drops can be also viewed as a speci\fc example of a broader\nphenomena, know as failure waves or self-sustaining fracture waves [16, 17, 18], that\noccurs in glass and other brittle materials.\nHowever, unlike ordinary tempered glass, which breaks into small cubical fragments,\nPrince Rupert's drops explode upon rupture and the precise mechanism of this\ndisintegration still hasn't been explained. The high-speed photographic studies [4, 19]\nsuggested crack bifurcation as the main mechanics and the experimental statistical\nanalysis of the disintegrated drops, done by Silverman et al. [20], tested various\ntheoretical fragmentation models that predict the form of the particle, mass densities\nand the fractal dimension of the set of fragments. An important contribution to \fnding\nthe disintegration mechanism of Prince Rupert's drops comes from computer simulations\nof such structures [21, 22]. The next step to answer this puzzle would be to investigate\ndrops, exploded within some sort of con\fning matrix to permit statistical analysis of\nfragments drawn separately from areas of tension and compression.\n3. Experiment\n3.1. Fabrication of samples\nTo produce the drops we heated glass sticks with a gas burner. The temperature of the\n\rame was crucial at this point it had to be greater than the melting temperature and\nless than boiling temperature of the glass used. If the temperature of the \rame is too\nhigh the process of boiling creates local irregularities in the structure of the drop, which\ncauses its immediate destruction upon contact with cooler medium.\nAfter melting the drop falls into a tank, \flled with liquid, and cools in it. We used\nfour di\u000berent types of glass (soda-lime, borosilicate, blue- and red-colored) to produce\nthe drops and both water (warm and cold) and liquid nitrogen to cool the drops. The\ncolored drops contained some inclusions of heavier elements: cobalt for blue glass andResistive method for measuring the disintegration speed of Prince Rupert's drops 4\n(a)\n (b)\nFigure 1: Fabrication of the Prince Rupert's drop by melting a glass stick with a gas\nburner (a) and the residual stresses in the drop after cooling (b).\nselenium for red glass. The stress distribution in the created Prince Rupert's drops was\nobtained using crossed polarizers and is shown in the \fgure 1b.\n3.2. Experimental setup\nThe main idea behind the method used to measure the disintegration speed of Prince\nRupert's drops is to convert breaking of glass into an electrical signal that could be\neasily observed with an average oscilloscope. This can be achieved by a simple electric\ncircuit with resistors that could be turned \"on\" or \"o\u000b\" by the propagating fracture\nwave. The proposed setup is schematically shown in \fgure 2a.\n(a)\n (b)\nFigure 2: Proposed experimental setup for measuring the disintegration velocity of\nPrince Rupert's drops (a) and its \frst hands-on implementation (b).\nIt consists of a voltage source driving current through a chain of resistors connected\nin series. The \frst resistor limits overall current in the circuit. All other resistors are\nconnected to a common conductive bus that is formed on the surface of a measured\nsample. A digital oscilloscope measures the overall voltage drop on control resistorsResistive method for measuring the disintegration speed of Prince Rupert's drops 5\nR1:::R 4. Nominal resistance for all resistors used in the experiment was chosen to be\n1 KOhm.\nIn the initial state all electrical current \rows through the bus on the drop's surface\nignoring control resistors (provided their resistance is high in comparison with the\nresistance of the bus). When the drop is disintegrating the fracture wave moves from its\ntail and gradually breaks conductive bus, which sequentially adds control resistors into\nthe circuit. That's why electric current starts to \row through resistors and it causes\nabrupt increase of the control voltage drop.\nThe assembled experimental setup is shown in \fgure 2b. It consists of an electrical\ncircuit with wires attached to control resistors hanging freely in the air above the mount\npoint of a studied drop. Those wires are attached to the drop's surface using a conductive\nglue. Drops are \fxed to the base with cyan-acrylic glue in order to maintain the same\nposition during preliminary preparations. The conductive bus is also formed with the\nsame conductive glue on the surface of the drop, connecting all wires.\nAfter the setup was ready for taking measurements, we initiated the disintegration\nprocess by breaking the free-hanging tail of the drop with a wire-cutter. An oscilloscope\n(RIGOL DS1102E) with time span of 200 ns and temporal resolution of 4 ns was used to\nrecord changes of electrical \row through control resistors. Knowing the distance between\ncontrol wires and time taken by each step it is possible to estimate the propagation speed\nof the fracture wave.\nFigure 3: Processed signal from the digital oscilloscope, \ftted using 1.\n3.3. Measured data\nWith the digital oscilloscope we collected several sets of data, the typical look of which\nis presented in \fgure 3. One can see distinct voltage steps, each of which corresponds toResistive method for measuring the disintegration speed of Prince Rupert's drops 6\nthe next section of wires being torn away. Knowing the lengths of conductive sections\nand time of their destruction we can estimate Prince Rupert's drop disintegration speed.\nTo \ft the obtained individual data traces (red line in \fgure 3) we used the following\nexpression, taking into account non-zero bus resistance:\nUsig=U0Rsum\nR0+Rsum; (1)\nwhereRsumis the equivalent resistance of the control resistors in parallel to the \fnite\nresistance of the conductive bus, which varies for di\u000berent samples. For the data\nset, shown in \fgure 3, the values of additional bus resistances are r0= 0:3k\n,\nr1=r2=r3= 0:05k\n, whereriis the resistance of the corresponding bus segment.\nWe conducted the same experiment independently varying the type of glass, from\nwhich the samples were made, and cooling conditions during the formation of the drop\nand estimated disintegration speed for each case. The main results are presented in\nthe \fgure 4, \fgure 5 and in the Table. 1. All the measured velocities are around\n2000m=s, which is in good agreement with 1450 \u00001900m=s, measured in [4, 19], and\nwith theoretical estimates, mentioned earlier, resulting in velocities from 1265 m=s to\n2145m=s for various types of glass.\n0 1 2 3 4 5024681012\ny = 2·x+0.016\nv = 1997 ±82 m/s\nTime [ µs]Distance [mm]Borosilicate Glass\n(a)\n0 1 2 3 4 50246810\ny = 1.92·x+0.2\nv = 1921 ±148 m/s\nTime [ µs]Distance [mm]Red Glass (b)\n0 1 2 3 4 50246810\ny = 1.9·x+0.17\nv = 1898 ±71 m/s\nTime [ µs]Distance [mm]Blue Glass (c)\nFigure 4: Disintegration speed of Prince Rupert's drops, estimated from measured\noscilloscope traces, for the borosilicate (a), blue (b) and red glass (c). All the samples\nfor this experiment were cooled at T cool\u001918\u000eC.\nAs one can see from \fgure 4, the measured disintegration velocities do not\ndepend much on the material used to fabricate the samples. However, with a better\nmeasurement precision we would expect to see lower fracture wave velocity in glasses\ncontaining more inclusions of heavy elements, as the sound velocity c0/q\n1=\u001a. This\nmight be the reason of higher disintegration speed in soda-lime glass (\fgure 5a) in\ncomparison to the other three types of glass with heavier inclusions. For the case of\ndi\u000berent cooling conditions, shown in \fgure 5, we observe a higher disintegration speed\nwhen cooling the drops in cold water, due to larger stresses in the produced samples.\nWe tried to make the temperature contrast even larger by cooling the falling drops\nin liquid nitrogen at T\u001977 K, but the produced drops were not disintegrating in anResistive method for measuring the disintegration speed of Prince Rupert's drops 7\nexplosive manner as regular Prince Rupert's drops do. This probably happens due to fast\nevaporation of liquid nitrogen around the drop which prevents further heat exchange,\nso the drop is cooling more steadily than in water, and the resulting stress inside the\nglass is not enough for explosive destruction.\n0 1 2 3 4 5024681012\ny = 2.08·x+0.34\nv = 2084 ±107 m/s\nTime [ µs]Distance [mm]Cold Water\n(a) T cool\u001918\u000eC\n0 1 2 3 4 50246810\ny = 1.93·x+0.18\nv = 1930 ±128 m/s\nTime [ µs]Distance [mm]Hot Water (b) T cool\u001960\u000eC\nFigure 5: Disintegration speed of Prince Rupert's drops, fabricated out of soda-lime\nglass, when cooling the drops in cold (a) and in hot water (b).\nTable 1: Experimentally measured disintegration velocities of Prince Rupert's drops\nfor all types of glass and cooling conditions, used in the experiment.\nType of glass Estimated speed, km/s\nborosilicate 1.997 \u00060.082\nred 1.921 \u00060.148\nblue 1.898 \u00060.071\nsoda-lime (cold water) 2.084 \u00060.107\nsoda-lime (hot water) 1.930 \u00060.128\n4. Discussion\nAs we have shown, such simple setup as in \fgure 2 allows measuring the disintegration\nspeed of Prince Rupert's drops using the resistance grid technique. However, to achieve\nmaximum precision using this approach one should consider the following remarks.\nThe biggest in\ruence on the measurement results is made by relations between\ncontrol resistors. If their nominal values are chosen equal as shown above it leads to a\nsigni\fcant decrease of signal amplitude as the fracture wave propagates. When the nth\nbus connection is broken the corresponding voltage step decreases as n\u00001.\nIn order to achieve equal per-step voltage drop one should use control resistors\nwith di\u000berent nominal values. A simple algorithm could be used to estimate maximalResistive method for measuring the disintegration speed of Prince Rupert's drops 8\nnumber of steps achievable for a speci\fc setup. For this estimation we assume that\ntime distortion of a single step is negligible compared to its length and resistance of the\nconductive bus is close to zero.\nIn this case the only limiting factor is the electric noise that can be seen on the\nscreen of the oscilloscope. Having its amplitude one should de\fne minimal voltage step\nthat can be distinguished from noise. This level corresponds to a single step on the plot\nwhich limits the maximal number of observable steps N. Applying Ohm's law to the\ncircuit one can achieve a non-recurrent expression for the value of nthcontrol resistor:\nRn=N\n(N\u0000n)(N\u0000n+ 1)R0 (2)\nHowever, considering small size of a typical object of investigation it would not\nalways be easy to achieve this limit due to both high contacts density and step front\ndistortion.\nSecond biggest point of consideration is decreasing front distortions. This e\u000bect\ncorresponds to the fact that bus connection with the linking wires takes some time to\nbe broken. It depends on the physical size of the wire, width of the conducting bus\nstrip, the thickness of its layer, physical dimensions of a glue drop that links the wire\nwith the bus and on the fact that the disintegration front itself is distorted.\nThere are several ways to suppress this e\u000bect. One should consider making both\nthickness and width of the conductive bus on the surface of the drop as small as possible.\nThe best way to achieve this might be to deposit the bus as a metal \flm by evaporation.\nAnother way is using mechanical \fxtures instead of glue, proposed in our experiment.\nBut this method requires being careful and keeping stress on the drop's walls several\norders of magnitude less than its inner tension. Otherwise it may result in local stresses\nthat may distort the propagation speed of the fracture wave.\nAnother way to get more data from a single measurement is to track several paths\nsimultaneously. An easy way to do it would be to connect several circuits to a multi-\nchannel oscilloscope, but to encode di\u000berent paths into various height of the voltage\nsteps using several control sub circuits connected in parallel to one power source. There\nis one principal limitation of the technique used: it is impossible to measure propagation\ntime of the wavefront between two last contacts (closest to the 'head' of the drop). One\nshould develop the map of contacts bearing this fact in mind.\nAn important point to take care of is safety during the experiment described,\nas Prince Rupert's drops are disintegrating into numerous sub-millimeter size shards\ntraveling at very high velocities. In order to use the setup for demonstration and training\nlab experiments, a transparent shield should be added to prevent small pieces of glass\nfrom scattering around. Also it is strongly advisable to use protective goggles and gloves\nwhen dealing with Prince Rupert's drops.Resistive method for measuring the disintegration speed of Prince Rupert's drops 9\n5. Conclusion\nWe showed that the resistance grid technique can be used to measure disintegration\nspeed of prince Rupert's drops. Moreover, the obtained results are in good agreement\nwith theoretical estimates and are close to the values, obtained using other measurement\nmethods, such as \flming the process with a high-speed camera. We also discussed how\nto make further improvements to achieve even higher measurement precision.\nThe proposed method of measuring fast processes could be used not only to measure\nthe disintegration speed of Prince Rupert's drops, but of any other fast disintegration\nprocesses such as various explosions. Aside from scienti\fc research this method is ideally\nsuited for demonstration and training experiments in a laboratory setting at universities\nand high-schools, due to its simplicity, low cost and visual attraction.\nAcknowledgments\nWe would like to thank A. Afanasyev for glass supplies, A. Glushkova for visual materials\nand V. Mislavskiy for helping us with fabrication of Prince Rupert's drops.\nReferences\n[1] Robert Hooke. Micrographia: or some physiological descriptions of minute bodies made by\nmagnifying glasses, with observations and inquiries thereupon . Courier Corporation, 2003.\n[2] Laurel Brodsley, Charles Frank, and John W Steeds. Prince rupert's drops. Notes and Records\nof the Royal Society of London , pages 1{26, 1986.\n[3] W. Johnson and S. Chandrasekar. Rupert's glass drops: Residual-stress measurements and\ncalculations and hypotheses for explaining disintegrating fracture. Journal of Materials\nProcessing Technology , 31(3):413{440, 1992.\n[4] S. Chandrasekar and M. M. Chaudhri. The explosive disintegration of Prince Rupert's drops.\nPhilosophical Magazine Part B , 70(6):1195{1218, 1994.\n[5] S. R. Anthony, J. P. Chubb, and J. Congleton. The crack-branching velocity, 1970.\n[6] Vladimir Vanovskiy. International physicists tournamentthe team competition in physics for\nuniversity students. European Journal of Physics , 35(6):064003, 2014.\n[7] Alan A Gri\u000eth. The phenomena of rupture and \row in solids. Philosophical transactions of the\nroyal society of London. , pages 163{198, 1921.\n[8] N F Mott. Brittle fracture in mild steel plates. Engineering , 165:16{18, 1948.\n[9] Elizabeth H Yo\u000be. Lxxv. the moving gri\u000eth crack. The London, Edinburgh, and Dublin\nPhilosophical Magazine and Journal of Science , 42(330):739{750, 1951.\n[10] DK Roberts and AA Wells. The velocity of brittle fracture. Engineering , 178(4639):820{821,\n1954.\n[11] B Steverding and SH Lehnigk. Response of cracks to impact. Journal of Applied Physics ,\n41(5):2096{2099, 1970.\n[12] Eran Bouchbinder, Jay Fineberg, and M Marder. Dynamics of simple cracks. Annual Review of\nCondensed Matter Physics , 1:371{395, 2010.\n[13] AB Mosolov. Cracks with fractal surfaces. In Dokl Akad Nauk SSSR , volume 319, pages 840{4,\n1991.\n[14] Genady P Cherepanov, Alexander S Balankin, and Vera S Ivanova. Fractal fracture mechanicsa\nreview. Engineering Fracture Mechanics , 51(6):997{1033, 1995.Resistive method for measuring the disintegration speed of Prince Rupert's drops 10\n[15] Arash Yavari and Hamed Khezrzadeh. Estimating terminal velocity of rough cracks in the\nframework of discrete fractal fracture mechanics. Engineering Fracture Mechanics , 77(10):1516{\n1526, 2010.\n[16] LA Galin and GP Cherepanov. Self-sustaining failure of a stressed brittle body. In Soviet Physics\nDoklady , volume 11, page 267, 1966.\n[17] Genady P Cherepanov. Fracture waves revisited. International journal of fracture , 159(1):81{84,\n2009.\n[18] Stephan J Bless. Failure waves and their possible roles in determining penetration resistance of\nglass. International Journal of Applied Ceramic Technology , 7(3):400{408, 2010.\n[19] M. Munawar Chaudhri. Crack bifurcation in disintegrating Prince Rupert's drops. Philosophical\nMagazine Letters , 78(2):153{158, 1998.\n[20] MP Silverman, W Strange, J Bower, and L Ikejimba. Fragmentation of explosively metastable\nglass. Physica Scripta , 85(6):065403, 2012.\n[21] HJ Herrmann, J Kert\u0013 esz, and L De Arcangelis. Fractal shapes of deterministic cracks. EPL\n(Europhysics Letters) , 10(2):147, 1989.\n[22] HJ Herrmann. Patterns and scaling in fracture. Physica Scripta , 1991(T38):13, 1991." }, { "title": "2011.01098v1.Development_of_antibacterial_compounds_that_block_evolutionary_pathways_to_resistance.pdf", "content": "Development of evolution drugs - antibacterial compounds that \nblock pathways to resistance. \nYanmin Z hang1,2#, Sourav Chowdhury2#, João V. Rodrigues 2, Eugene. Shakhnovich2 \n1School of Science, China Pharmaceutical University \n639 Longmian Avenue, Jiangning District \nNanjing, Jiangsu 211198 \nP.R China\n2Department of Chemistry and Chemical Biology \nHarvard University \n12 Oxford Street Cambridge MA 02138 \n# contributed equally \n \nAbstract \nAntibiot ic resistance is a worldwide challenge. A potential approach to block resistance is to \nsimultaneously inhibit WT and known escape variants of the target bacterial protein. Here we \napplied an integrated computational and experimental approach to discover compounds that \ninhibit both WT and trimethoprim (TMP) resistant mutants of E. coli dihydrofolate reductase \n(DHFR). We identified a novel compound (CD15 -3) that inhibits WT DHFR and its TMP \nresistant variants L28R, P21L and A26T with IC50 50-75 µM against WT and TMP -resistant \nstrains . Resistance to CD15- 3 was dramatically delayed compared to TMP in in vitro evolution. \nWhole genome sequencing of CD15- 3 resistant strains showed no mutations in the target folA \nlocus . Rather, g ene duplication of several efflux pumps gave rise to weak (about twofold \nincrease in IC 50) resistance against CD15- 3. Altogether, our results demonstrate the promise of \nstrategy to develop evolution drugs - compounds which block evolutionary escape routes in \npathogens . \n \n1. Introduction \nFast paced artificial selection in bacteria against common antibiotics has led to the emergence of \nhighly resistant bacterial strains which potentially render a wide variety of antibiotics clinically \nineffective. Emergence of these “superbugs” including ESKAPE (Enterococcus faecium , \nStaphylococcus aureus , Klebsiella pneumoniae , Acinetobacte r baumannii , Pseudomonas \naeruginosa, and Enterobacter spp.) (Peneş et al., 2017) call for novel approaches to design \nantibiotic compounds that act as “evolution drugs” by blocking evolutionary escape from \nantibiotic stressor. \nSelectively targeting bacterial proteins which are critical to essential bacterial life processes like \ncell wall biosynthesis, translation, DNA replication etc. with novel compounds forms the basis of antibiotics development programs. Dihydrofolate reductase (DHFR) is one such protein, which, due to its critical role in nucleotide biosynthesis, has been a central drug target (Lin and Bertino, \n1991; Schweitzer et al., 1990) . Based on the chemical scaffold , DHFR inhibitors can be divided \ninto classical and non- classical ones. The classical DHFR inhibitors generally contain a 2,4-\ndiamino -1,3-diaza pharmacophore group (Bharath et al., 2017) which constitute structural \nanalogues of its subst rate dihydrofolate and competitively bind the receptor -DHFR active site. \nInhibitors of this type such as methotrexate (MTX) (Bleyer, 2015) and pralatrexate (PDX) \n(Izbicka et al., 2009) are approved as anticancer drugs. In addition, predominant classes of \ninhibitors derived from dihydrofolate analogues also include diaminoquinazoline, diaminopyrimidine, diaminopteridine, and diaminotriazines (Bharath et al., 2017) . Non -classical \nantifolate drugs like trimethoprim (TMP) (Finland and Kass, 1973) trimetot rexate (TMQ) (Lin \nand Bertino, 1991) , that interact selectively with bacterial but not human DHFR are approved as \nantibacterial drugs. Without the solvent accessible group of glutamic acid, they are more fat -\nsoluble, passively diffuse into cells, and are also not substrates for folylpolyglutamate s ynthetase \nenzymes. However, due to rapid emergence of resistant mutations in DHFR, the development of \ndrug resistance to antifolate antibiotics belonging to any of the above -mentioned classes presents \na significant challenge (Huovinen et al., 1995) . Both clinical and in vitro studies have shown \nthat accumulation of point mutations in critical amino acids residues of the binding cavity represent an important mode of trimethoprim resistance. \nMutations conferring resistance in bacteria to anti -DHFR co mpounds are primarily located in the \nfolA locus that encodes DHFR in E. coli (Oz et al., 2014; Tamer et al., 2019; Toprak et al., 2012) \nmaking DHFR an appealing target to develop evolution antibiotic drugs. A possible approach is \nto design compounds that can inhibit the wild type (WT) DHFR along with its resistant variants \nthus blocking multiple evolutionary pathways towards drug- resistance. In this work, we \ndeveloped an integrat ive computational modeling and biological evaluation workflow to discover \nnovel DHFR inhibitors that are active against WT and re sistant variants. Structure -based virtual \nscreening (SBVS) including molecular docking with subsequent molecular dynamics (MD) (Cheron and Shakhnovich, 2017; Leonardo et al., 2015; Liu et al., 2020; Zhang et al., 2018) \nvalidation were used to screen a large compound database. A series of DHFR inhibitors with \nnovel scaffolds that are active against both the WT and several mutant DHFR proteins and are \ncytotoxic against WT E. coli along with E. coli strains with chromosomally incorporated TMP \nresistant DHFR variants (Palmer et al., 2015) . Those inhibitors are more potent against the \nescape variants than the WT DHFR. This makes them promising candidates for further \ndevelopment of next generation of antibiotics that prevent fast emergence of resistance. Together , \nthese results represent a comprehensive multiscale and multitool approach to address antibiotic resistance. \nResults \nIn silico search for potent broad DHFR inhibitors. \nThe key objective of our approach is to find compounds that simultaneously inhibit WT and drug \nresistant variants of a target protein. Firstly, we developed an integrat ive computational \nworkflow including molecular docking, molecular dynamics and evaluation of protein- ligand \ninteraction along with Lipinski’s rule of five (Manto et al., 2018) filter to screen two commercial \ndatabases that include about 1.8 million compounds (Figure 1A). First, we assessed which \nconformation of M20 loop of DHFR (closed, open or occluded) should be used for molecular \ndocking. To that end we evaluated which conformation of the M20 loop in the target structure \ngives rise to best agreement between docking score and experimental binding affinity for known \nDHFR inhibitors. By classification (see Supplementary Information. Crystal structure selection ), \nwe selected four representative crystal structures ( closed: PDB 1RX3, open: PDB 1RA3, \noccluded: PDB 1RC4 and PDB 5CCC, Figure S1) as putative target structures for docking. \nUsing the closed conformation of M20 loop (PDB 1RX3), we were able to recover the largest \nproportion of known inhibitors ( Table S1 and Figure S2- S3). Therefore , the closed conformation \nof M20 loop (PDB 1RX3) was adopted as the most representative crystal structure for the initial \nSBVS of compound databases for novel broad range inhibitors . It was also used for subsequent \nin-depth evaluation of most promising candidates using molecular dynamics simulation. The \ndetailed discussion of the rationale behind selection of closed conformation is provided in the \nSupplementary Information ( Crystal structure selection ). \n \nFigure 1 - Computational design of broadly neutralizing DHFR inhibitors effective against \nWT and resistant DHFR mutant strains. A) Integrative virtual screening workflow. Detailed \ndescription of the virtual screening workflow can be found in Supplementary Information \n(Selection of virtual screening hits ) B) Linear m odel for binding affinity prediction constructed \nusing known binding affinities of eight known inhibitors of WT E. coli DHFR (Figure S4) \nobtained from (Carroll et al., 2012) . C) Linear model for binding affinity prediction constructed \nusing experimental inhibitory activity for TMP against WT DHFR and seven resistant DHFR \nmutants (Rodrigues et al., 2016) . MD simulation and MM/PBSA affinity evaluation protocol \n(Cheron and Shakhnovich, 2017) was applied to calculated binding free energy of complexes of \nE. coli DHFR with eight known inhibitors and the calculated values were compared with the \nreported experimental binding affinities (Kd or Ki values) . \nA total of 307 candidate compounds with strongest docking score that form hydrogen bond with \nthe critical residue Asp27 in the DHFR binding pocket, were submitted for more accur ate \nprediction of binding free energy (Cheron and Shakhnovich, 2017) (Figure 1A). Our approach to \npredict binding free energy is based on a series of relatively short MD simulations of binding \nconformations with subsequent MMPBSA scoring as presented in (Cheron and Shakhnovich, \n2017) . Next, we assessed the accuracy of this approach for WT and mutant DHFR in \nreproducing binding affinities of known ligands. To that end we built linear regression equation models (see Figure 1B and Figure 1C and Supporting Information. Binding Affinity Prediction \nModel ) to predict bindin g free energies calculated by MMPBSA . \nThe models re produced known binding affinities with high accuracy (see Fig ure 1 B,C and \nFigures S4 and S6) . Additionally , we constructed linear regression equation models to predict \nbinding free energy of TMP against WT and mutant DHFR from Listeria grayi (L. grayi ) and \nChlamydia muridarum ( C. muridarum ) again showing highly significant correlation between \npredicted and experimental values (Figure S7) , demonstrating broad predict ive power of the \nmethod. More detail on the construction of binding affinity prediction models can be found in \nthe Supplementary Information ( Model to Predict Binding Affinity ). \nFurther , the analysis of the DHFR crystal structures showed that Asp27 forms hydrogen bond \nwith almost all DHFR inhibitors in the ligand binding cavity . Thus, compounds predicted by \ndocking that make hydrogen bond with Asp27 (Figure 1A) and having MMPBSA predicted \nbinding free energies less than - 20 kcal/mol (See Supplementary Materials . Compound \nInformation) against both the WT and all TMP -resistant variants of DHFR were selected for \nfurther evaluation. Out of this set , we select ed the novel compounds that differ substantially from \n183 known DHFR inhibitors (see Supplementary Information. Selection of Virtual Screening \nHits)). Generally, compounds with similar properties tend to have similar activity (Kumar, \n2011) . Based on that pri nciple , we compared two- dimensional (2D) physicochemical propert ies \n(Zhang et al., 2013) and protein- ligand interaction fingerprint (PLIF) features (Marcou and \nRognan, 2007) of the prospective set with those of the known inhibit ors. Results showed that the \nselected hits have high similarity in both 2D-physicochemical properties and PLIF features when \ncompared with known DHFR inhibitors, which suggest their potential inhibitory activity against \nDHFR (Figure S8 -S9). On the other hand, they show relatively low chemical similarity (Figure \nS8) with the known DHFR inhibitors , suggesting that the selected hits are chemically novel . \nAltogether, a total of 40 prospective active compounds were purchased for evaluation. The \ndetailed information o n all compounds can be found in Supplementary Materials ( Compound \nInformation) . Further details on SBVS can be found in the Supplementary Information (Selection \nof Virtual Screening Hits ). \nAssaying prospective compounds in vitro \nSpectrophotometry assay (Rodrigues et al., 2016) (See Materials and Methods) was employed to \nevaluate possible inhibition of catalytic activity of the 40 selected compounds against WT DHFR \nand its TMP resistant mutants including P21L, A26T and L28R (Figure 2) . The drop in \nfluorescence upon conversion of NADPH to NADP+ for the reaction system reported on \ninhibition of DHFR catalytic activity. All 40 prospective compounds were initially assayed for \ninhibition of DHFR at a single fixed concentration of 200 µM. As shown in Figure 2A -2B and \nFigure S10, a total of 13, 8, 6 and 14 compounds result ed in more than 20% loss of the DHFR \ncatalytic activity at that concentration for WT, P21L, A26T and L28R DHFR, respectively. \nAmong them, compounds CD15, CD17 and CD08 showed more than 30% inhibition against \nboth WT and all three DHFR mutants (Figure 2C and Figure S10). Compound CD20, with \nsimilar scaffold to that of TMP, showed inhibition against WT , P21L and A26T, but not L28R \nDHFR and was no longer considered. Thus, a total of three hits including compounds CD15, \nCD17 and CD08 (Figure 2C) w ere further evaluated for concentration -dependent inhibition of all \nDHFR variants \nFigure 2 –Evaluation of the potential hits in vitro and their optimization . A-B) An in vitro \nkinetic assay of DHFR catalytic activity was used to screen inhibitors against WT DHFR and \nthree single mutants resistant to TMP (P21L, A26T and L28R). C) Chemical structures of the top \nthree compounds showing simultaneously the highest potency against WT and mutant DHFR \nvariants. The structure of trimethoprim is shown for comparison. \nTwo of the three compounds, CD15 and CD17 with two novel scaffolds, inhibited WT and \nmutant DHFRs in concentration- dependent manner (Figure 3). We used inhibition curves (Figure \n3), to obtain IC 50 values and converted them into corresponding Ki values (Table S2) . For \ncompound CD15, the Ki values were all less than 5μ M against WT and three single -point DHFR \nmutants. For the L28R mutant the Ki values were 1.04μ M, outperforming the WT, P21L or \nA26T mutant DHFR. It is worth noting that L28R is a strong TMP escape var iant (Rodrigues et \nal., 2016; Toprak et al., 2012) , thus the discovered CD15 series appeared a promising candidate \nfor an “evolution drug” that has a potential to suppress even most intractable escape variants. \nBased on these data we decided to proceed with compounds CD15 and CD17 for in depth \nevaluation. In addition, we evaluated the inhibition of catalytic activity of the two most \npromising inhibitors, CD15 and CD17 against WT DHFR from two more species: L.grayi and \nC.muridarum . We found that both compounds showed similar inhibitory activity against the two \nspecies (See Figure 3 and Table S 2). These results suggest that those two compounds could be \nused as broadly efficient potential antibacterial leads . \n \nFigure 3 – The concentration -dependent inhibition of WT and mutant DHFR from different \nspecies by compound s CD15 and CD17. A) Concentration- dependent inhibi tion curves for \ncompound CD15 for WT and mutant DH FR of E.coli (left panel) and for WT and mutant DHFR \nfrom L.grayi, and C.muridarum, respectively (rig ht panel). B) C oncentration- dependent \ninhibition curves for compound CD17 on WT and mutant DH FR of E.coli (left panel) and of WT \nand mutant DHFR from L. grayi, and C. muridarum, respectively (right panel). The %Activity of \nthe y- axis is represented by the decrease of fluorescence at 340nm for the reaction system (see \nMethods for more detail) \nWe also evaluated inhibitory activity of CD15 against double resistant mutants and found that \nthey are approximately as active or better than against single mutants (see Table S 2) \nBroad antimicrobial activity of new compounds \nSince two of the 40 compounds inhibit both WT and mutant proteins in vitro, we proceeded to \ntest their efficacy to inhibit growth of E. coli. Previously (Rodrigues et al., 2016) we used strains \nwith chromosomal replacement of WT folA with folA gene encoding three single mutants \nincluding P21L, A26T and L28R (Palmer et al., 2015) . All mutant E. coli strains with the \nchromosomal folA replaced by three drug -resistant variants including P21L, A26T and L28R \nexhibit elevated resistance to TMP (Rodrigues et al., 2016) . In particular, IC 50 of TMP for E. coli \nstrain with chromosomal L28R DHFR is about 50 times higher than of WT strain (Palmer et al., \n2015; Rodrigues et al., 2016) . As shown in Figure 4A and 4B, dose -response curves clearly \ndemonstrated that both CD15 and CD17 inhibit growth of the WT and three single folA mutant \nE. coli strains. The IC 50 values of CD15 and CD17 can be found in Table 1. Importantly, in terms \nof IC50 CD15 outperformed TMP about 4- fold on the most resistant variant L28R. These results \nare consistent with the in vitro enzymatic activity assays (Figure 2C) sh owing that both CD15 \nand CD17 inhibited DHFR activity of L28R variant stronger than WT, accordingly these \ncompounds inhibited growth of the L28R variant stronger than the WT and the other two mutants. \n \nFigure 4. Compounds CD15 and CD17 inhibit growth of WT and TMP -resistant mutant E. \ncoli strains. A) Growth curves for WT strain (left panel) at different concentrations of CD15 and \nnormalized (by maximal growth in the absence of stressor) inhibition by CD15 curves f or WT \nand various TMP resistant DHFR mutants. B) same as A for CD17. M easurements in the \npresence of different drug concentrations were performed in a 96 -well microplate at 37ºC. C) \nOptimization of compounds CD15 (upper panel) and CD17 (lower panel) lead to hits with \nincreased in vitro inhibitory potency towards WT E. coli DHFR. D) The CD15 series compounds \ninhibit growth of WT and resistant mutant E. coli strains. For each strain, growth measurements \nwere performed in the presence of varying concentrations of compounds. E) Comparison of IC 50 \nof inhibition of growth of WT and TMP -resistant mutant E. coli strains for CD15- 3 and TM P. \nCompound optimization \nWhile both CD15 and CD17 showed desired biological activity their IC 50 for growth inhibition \nwere relatively weak, so we proceeded to optimize both compounds to improve their efficacy. To \nthat end, we searched the ChemDiv database ((http://www.chemdiv.com/ ) for compounds that \nare similar to CD15 and CD17. The search yielded a total of 12 extra compounds which were \nsubsequently obtained and evaluated for their inhibitory activity against DHFR in vitro and as \ninhibitors of E. coli bacterial growth. The inhibit ory iK values against WT DHFR were in the \nrange of 2.22 μM to 7.86 μM for the 6 compounds from the CD15 series and in the range of 2.57 \nμM to 27.83 μM for the 6 compounds from the CD17 series ( Figure 4C). Four compounds \nincluding CD15- 2, CD15- 3, CD15- 4 and CD15- 6 showed better or comparable inhibition for \nWT and L28R DHFR than CD15 (Figure 4C ). Next, we evaluated in vivo activity of these \ncompounds. Res ults showed that the compound CD15- 3 with a naphthalene group ( Figure 5A ) \ninstead of the trimethoxybenzene of CD15 ( Figure 2C) showed marked improvement of efficacy \nwith 3 - to 4 times lower IC 50 values compared to that of CD15 (Figure 4D) . Particularly, CD15 -3 \nshowed about 18 -fold better efficacy than that of TMP on L28R E. coli variant strain (Figure \n4E). Improved topological polar surface area (tPSA) and clogP of CD15- 3 is likely to be \nresponsible for its superior efficacy o f the bacterial growth inhibition on WT and mutant strains \nthan other CD15 series compounds (Figure 5A) . The IC 50 values of growth inhibition activity are \nlisted in Table 1 . Most of the CD17 series compounds did not show significantly better efficacy \nagainst L28R than the original CD17 (see Table 1). To address a possibility that CD17 series is a \n“false positive” targeting another protein(s) we turned to pan assay interference compounds \n(PAINS) filter that seeks compounds which tend to react non- specifically with numerous \nbiological targets simultaneously rather than specifically affecting one desired target (Baell and \nHolloway, 2010) . Thus, all 12 CD15 and CD17 series compounds were filtered through the \nPAINS ( http://cbligand.org/PAINS/ )(Baell and Holloway, 2010) . All six CD17 series \ncompounds did not pass the PAINS test and therefore were not considered for further analysis. \nTable 1 The IC 50 values for the in vivo inhibition of several CD15 and CD17 serie s compounds \nDHFR Bacterial growth inhibition IC50 (μM) \nCD15 STDa CD15 -2 STD CD15 -3 STD CD15 -4 STD CD15 -6 STD TMP \nWT 170.97 12.12 129.04 21.68 57.14 6.46 302.37 73.53 174.50 4.05 13 \nP21L 176.24 10.12 124.88 23.52 45.57 6.78 92.25 15.37 158.87 6.26 111 \nA26T 197.24 18.20 118.94 10.81 58.18 6.12 122.52 24.94 172.14 4.38 38 \nL28R 159 14.63 87.72 6.46 38.67 4.61 67.10 4.22 166.95 10.18 691 \nDHFR Bacterial growth inhibition IC50 (μM) \nCD17 STD CD17 -3 STD CD17 -4 STD \nWT 1774.27 22.22 2945.81 966.63 NDb ND \nP21L 5048.24 809.68 2845.06 436.83 ND ND \nA26T 1920.51 135.16 2151.64 265.43 ND ND \nL28R 932.82 44.32 907.11 56.59 1856.89 271.63 \naSTD means the standard error from three duplicate experiments. \nbND (not determined) indicates no result was obtained for the compound against WT and three mutant \nDHFR. \n \nThe binding mode of the two most promising compounds CD15 and CD15 -3 were evaluated \nusing molecular docking (Figure 1a, Glide XP mode) with the target E. coli DHFR (PDB 1RX3). \nAs shown in Figure 5B and 5C, both compounds formed two hydrogen bonds with the key \nresidue Asp27 by the hydroxy group in the 6- hydroxy- 3-methylpyrimidine -2,4(1H,3H) -dione \nscaffold. The b inding modes of CD15 and CD15- 3 overlapped perfectly with the binding \nconformation of TMP, providing rationale for the inhibitory activity of CD15 series. However, in \naddition to the hydrogen bond formed with Asp27, TMP also forms hydrogen bond with another \ncritical residue Ile94 as well as the conserved wat er molecule HOH302. Nevertheless, unlike \nTMP, our hit compounds showed broad inhibitory activity in vitro and in vivo on both the WT \nand mutant DHFR strains. The broad activity of CD15 compounds can be explained, in part by a dihydro- 1H-pyrazole group in t he same position as methylene group pf TMP . Naphthalene group \nof CD15- 3 extends further in DHFR binding pocket than the corresponding trimethoxybenzene of TMP, potentially resulting in additional hydrophobic interaction with L28R in DHFR which \nprovides str uctural rationale for strong potency of CD15- 3 against resistant L28R variant. \n \nFigure 5 Optimization of the compounds of CD15 series A) Chemical structures of 2nd \ngeneration variants of compound CD15 selected for further experimental testing. B) The binding \ninteraction of CD15 with DHFR (left panel) and the alignment of CD15 (cyan stick) with TMP \n(purple stick) in the binding pocket (right panel) . C) The binding interaction of CD15- 3 with \nDHFR (left panel) and the alignment of CD15- 3 (cyan stick) with TMP (purple stick) in the \nbinding pocket (right panel) . \nTarget validation in vivo. \nTo confirm DHFR as the intrac ellular target of CD15 -3 we overexpress ed DHFR to assess \nwhether it rescues growth inhibition by CD15- 3. To that end we transformed E. coli BW27783 \ncells with pBAD plasmid (empty plasmid for the control and with folA gene for DHFR \nexpression). BW27783 cells constitutively express arabinose transporters providing rather \nhomogeneous response from the cell pool under arabinose induction (Bhattacharyya et al., 2016) . \nInterestingly controlled expression of folA (encoding DHFR) under pBAD promoter with 0.005% \narabi nose induction partially rescued growt h in a certain range of CD15 -3 concentrations \n(Figure. 6A). This improvement of growth rate was less pronounced at higher concentration of \nCD15 -3. For control we used WT cells transformed with empty pBAD plasmids (without folA \ngene ) and observed no effect on growth. \nTo further probe whether CD15- 3 inhibits intracellular DHFR we overexpressed an inactive \nvariant of DHFR, D27F mutant using the same pBAD -promoter where the expression was \ninduced by 0.005% external arabinose (Rodrigues and Shakhnovich, 2019; Tian et al., 2015) . In \nour experiment when D27F mutant form of DHFR was overexpressed we did not observe any \ngrowth rescue in cells treated with CD15 -3. Growth rate profiles (Figure 6B) were almost \nidentical, and no rescue of growth rate was observed in any of the concentration regi mes of \nCD15 -3 in this case . This result showed that inhibition of DHFR was, at least partially, \nresponsible for inhibition of cellular growth induced by CD15- 3. As TMP is a known inhibitor of \nDHFR (WT) we wanted to check if these overexpression plasmid systems behave in a similar \nway as in the case o f CD15 -3 inhibition. Similar trend was observed for cells treated with TMP . \nOverexpression of WT DHFR recovered growth rate in TMP treated cells (Figure S1 1). No \ngrowth rescue from TMP induced inhibition was observed upon overexpression of D27F mutant \n(Figure S1 1). \nThese results indicate that DHFR is a n intracellular target for the new compound CD15- 3. \nHowever, a possibility remains that CD15 -3 does not target DHFR exclusively. To understand if \nCD15 -3 is targeting cellular DHFR and thereby disrupting folA pathway, we further performed \ngrowth experiments in the presenc e of folA mix. folA mix w hich comprises of purine, thym ine, \nglycine and methionine functions as a metabolic supplement for cells with di minished DHFR \nfunction (Singer et al., 1985) . E.coli cells were grown in M9 media supplemented with “folA \nmix” under conditions of the presence and absence of CD15 -3. We found that growth of CD15- 3 \ntreated cells w as partially rescued by folA mix and the effect was more prominent at relatively \nlower concentrations of CD15- 3 (Figure 6C) . \nAt the same time , we observed only partial rescue of CD15 -3 inhibited growth by folA mix or \nDHFR complementation at higher concentrations of CD15- 3 suggesting that at high \nconcentrations this compound might inhibit other proteins besides DHFR. In the subsequent \npublication we will use system- level approaches to discover poss ible additional targets of CD15-\n3, be sides DHFR. \n \nFigure 6 Overexpression of functional (WT) DHFR shows partial recovery from CD15- 3 \ninduced growth inhibition. (A) Overexpression of WT DHFR u sing pBAD -promoter and at \n0.005% arabinose induction showed improvemen t in growth rates compared to cells with empty \npBAD -plasmid (lacking DHFR gene) under conditions of CD15- 3 treatment. (B) Comparative \ngrowth rate profiles of WT (with empty pBAD -promoter) and WT overexpressi ng D27F defunct \nmutant of DHFR . The growth rate profiles clearly show that D27F mutant of DHFR could not \nrescue cells from CD15 -3 induced growth inhibition. (C ) Comparative growth rate profiles of \ncells grown in presence of folA mix under conditions of CD15- 3 treatment. Cells grown in \npresence of folA mix metabolic supplementation showed partial rescue in growth under \nconditions of CD15- 3 treatment. \nCD15 -3 largely prevents evolution of resistance in E . coli. \nThe prime focus in our approach to design evolution drugs is on the search for inhibitors of a \nsingle protein target which would be equally effective against WT and resistant variants , and \nthus blocking possible escape routes for this target . To determine how fast WT E. coli can \nacquire resistance to CD15- 3, we evolved E. coli under continuous exposure to the drug for over \none month. We used a previously described automated serial passage protocol (Rodrigues and \nShakhnovich, 2019) that adjusts the drug concentration in response to increase of growth rate \ncaused by emergent resistant mutations thus maintaining evolutionary pressure to escape \nantibiotic stressor (see Methods and Materials section for details) . We found that, a t early stages \nof evolution, the CD15 -3 concentration necessary to reduce the growth rate of the culture to 50% \n(with respect to non- inhibited naïve cells ) increased to a value about 2.7 times higher than the \nIC50 of the naïve strain. However, at subsequent time points, the CD15 -3 concentration remained \nconstant , indicating that th e cells were unable to further develo p resistance to CD15- 3. We found \nno mut ations in the folA locus of the evolved strains upon Sanger sequencing analysis, indicating \nthat the modest increase in resistance to CD15.3 is not associated with target modification. In \nparallel, we also studied evolution of resistance to TMP using the same approach. At the end of the evolutionary experiment, the cells evol ved TMP resistance with IC\n50 two orders of magnitude \ngreater than original naïve E. coli strain (Figure 7A). Sanger sequencing of the folA locus \nrevealed one single point mutation (D27E ) in the DHFR active site, which is associated with \nresistance to TMP (Oz et al., 2014) . \nTo verify the results of evolutionary experiment, t he population of cells evolved in presence of \nCD15 -3 was fu rther plated and two colonies were isolated. We measured growth of evolved \nvariant of E. coli in M9 media using the same concentration range of CD15- 3 as was used for \nWT cells. Evolved strains exhibited an IC 50 for CD15 -3 of 131.5µM, about 2- fold higher \ncompared to IC 50 for WT (Figure 7B). Interestingly CD15 -3 inhibited the D27E TMP escape \nmutant with IC 50 close to naïve WT strain (Figure 7C) . \n \nFigure 7. Resistance to CD15 -3 evolves slowly. (A) Red and grey traces show evolution of \nantibiotic resistance against TMP and CD15- 3. Under pressure from TMP cells evolved TMP \nresistance with IC 50 two orders of magnitude greater than original naïve E. coli strain. Cells \nevolved under CD15 -3 treatment showed an IC 50 for CD15- 3 of 131.5µM which is about 2- fold \nhigher in comparison to IC 50 for naïve WT. The antibiotic concentrations represented were \nobtained for a single evolutionary trajectory and are normalized to IC 50 of naïve cells to TMP \nand CD15- 3 (1.4 and 71.75 µM respectively) . Cells which evolved under TMP treatment (TMP \nescape) showed D27E mutation in the folA locus along with several other mutations outside of \nfolA. \nNo mutation in the folA locus was observed in the CD15- 3 evolved cells. (B) Growth rates in \nWT and evolved strain in a range of CD15 -3 concentrations showing weak resistance of evolved \nstrains. Inset shows the differences in IC 50 values in WT and evolved form. (C) CD15 -3 also \ninhibits the growth of the TMP escape mutant with an IC 50 almost comparable to WT (naive). \nWhole Genome sequenci ng of the evolved variant \nWe performed whole genome sequencing for the strains evolved under CD15- 3 using two \nisolated colonies (mentioned as E1 and E2 in Figure. 8A ) keeping naïve BW25113 strain as the \nreference strain sequence Surprisingly, no mutation associated in or upstream of folA locus was \nfound. Therefore, the developed moderate resistance against CD15- 3 could not be att ributed to \ntarget modification. \nFurther analysis of the sequencing results revealed regions of duplication in the genome of the \nevolved strain as observed by the double depth- height (Figure.8A). Depth or coverage in \nsequencing outputs refer to the number of unique reads that include a given nucleotide in the \nsequence. The duplicated segment was found to be a stretch of above 81 KB. In the context of \nevolution of antibiotic resistance, the relatively frequent occurrence of genome duplications by \namplification suggests that evolution of gene dosage can be a faster and more efficient mechanism of adaptation than rare downstream point mutations (Sandegren and Andersson, 2009) . The gene that confers the limitation is often amplified in this mechanism, however, \nsometimes increased dosage of an unrelated non -cognate gene can resolve the problem. \nMultiple genes belong to the region of genome duplication in the evolved strain (Figure. 8B) \nincluding transporter and efflux pump genes, transposable elements , stress response genes and \nmetabolic genes viz. oxidoreductases, dehydrogenases, kinase regulators etc. The duplicated \nsegment in the genome of the evolved variant contained genes encoding porin proteins, ABC \ntransporter permeases and cation efflux pump genes (cus -genes). The CusCFBA system is a \nHME (heavy metal efflux) -RND system identified in E. coli . Resistance- nodulation- division \n(RND) family transporters refer to a category of bacterial efflux pumps primarily observed in \nGram -negative bacteria. They are located in the membrane and actively transport substrates. \nCus-efflux system was initially identified for the extrusion of silver (Ag+) and copper (Cu+). \nThey have been found to induce resistance to fosfomycin (Nishino and Yamaguchi, 2001), \ndinitrophenol, dinitrobenzene, and ethionamide (Coutinho et al., 2010) . The set of genes \nconstituting cus -system viz. cusCFBA, are all located in the same operon (Gudipaty et al., \n2012) . The system is composed of the RND efflux pump (CusA); the membrane fusion protein, \nMFP (CusB); and of the outer membrane protein, OMP (CusC). The assembly of these proteins \nhave been reported to be identical to the Ac rB, CusA(3):CusB(6):CusC(3) (Delmar et al., 2013) . \nIn the duplicated segment of the evolved variant the entire cus -efflux system was found to be \npresent. We carried out the metabolic characterization of strains evolved in the presence of CD15.3 and \nnaïve strains by LC -MS to further investigate the mechanism of resistance to the drug (a detailed \nanalysis will be reported in a subsequent publication). Interestingly, our data revealed markedly \nlower abundance of the compound CD15 -3 in the evolved strain compared to WT cells \nsuggesting a possible efflux pump mediated compound depletion (Figure. 8C). After fou r hours \nof CD15- 3 treatment the abundance of the drug was found to be around 10 percent of the initial \nabundance. Drug efflux is a key mechanism of resistance in Gram -negative bacteria (Masi et al., \n2017; Sandegren and Andersson, 2009) . Pumping out drug compound under conditions of drug \ntreatment is probably the mos t direct and nonspecific way of combating the toxic effect of a drug. \nIt is interesting to note that we observed higher IC 50 in the evolved strain compared to the naïve \nstrain for some other antibiotics which we tested. Both the naïve and CD15- 3 evolved cells were \ntreated with TMP and Sulphamethoxazole. TMP inhibit s bacterial DHFR while \nSulphamethoxazole a sulfanilamide, is a s tructural analog of para -aminobenzoic acid (PABA) \nand binds to dihydropteroate synthetase. Under both the treatment conditions CD15- 3 evolved \ncells partially escaped the drug inhibition and showed about 3- fold higher IC 50 for TMP and \nSulphamethoxazole (Figure 8D and E) .These results show that the efflux mediated drug \nresistance in the evolved strain is non- specific. It demonstrates a potential strategy for antibiotic \ncross resistance and helps bacteria to escap e inhibitory actions of CD 15-3 and other antibacterial \ncompounds with completely different protein targets. In the same vein we note that the efflux \npump mechanism shows only moderate increase of IC 50 for a variety of antibiotics in contrast to \nalmost 1000- fold increase in strains evolved under TMP (Fig.7) . \n \n \nFigure 8. Whole genome sequence of evolved variant revealed region of genome duplication. \n(A) Whole genome sequence display of the e volved form on alignment to BW25113 reference \ngenome. The display shows regions of duplication as observed by increased height as per depth \naxis. (B) Bar plot showing the relative abundance of genes which constitutes duplicate segment \nin the genome of evolved form. (C) Bar plot showing the relative intracellular concentration of \nCD15 -3 (with respect to the intracellular concentration in naïve cells at zero hour ) in naive and \nevolved strains at various time points of treatment. (D) CD15 -3 evolved cells show cross \nresistance to other antibiotics. Growth rate profiles of WT (naïve) and CD15- 3 evolved cells \ngrown under varying concentrations of trimethoprim (TMP) . CD15- 3 evolved cells grow better \nunder TMP treatment and have almost 3 fold higher IC 50 (shown in inset) compared to WT \n(naive). (E) Growth rate profiles of WT (naive) and CD15- 3 evolved cells grown in presence of \nSulfamethoxazole shows CD15- 3 evolved cells grow better compared to WT (naive) cells (as \nreflected by the growth rates). CD15- 3 evolved cells show somewhat higher IC 50 compared to \nWT (naive) when grown in presence of Sulfamethoxazole (shown in in inset). \nMorphological changes induced by CD15- 3 treatment \nAs cellular filamentation and concomitant morphological changes are one of the visible \nhallmarks of stress responses to inhibition of proteins on the folate pathway of which DHFR is a \nmember, (Ahmad et al., 1998; Justice et al., 2008; Sangurdekar et al., 2011; Zaritsky et al., 2006) \nwe proceeded to image E. coli cells in absence of CD15 -3 (control) and with added CD15- 3. . \nExperiments were performed at 42 degrees Celsius . Cells were grown for 4 hours before image \nacquisition. DIC imaging results of the CD15- 3 treated WT cells (Figure 9B) showed \nfilamentation of the cells as compared to the cells grown in absence of CD15- 3 (Figure 9A) . \nTreated cells showed a wide distribution of cell length with a media n length which was more \nthan double than that in the untreated sets (Figure 9E). \nSimilar imaging experiment was also carried out for the CD15- 3 resistant variant of E. coli cells \nobtained in our evolution experiment. These cells (Figure 9C) were found to have similar median \ncell lengths as WT (naïve) cells before CD15- 3 treatment. Upon CD15- 3 treatment these evolved \ncells showed slightly higher median cell length , although no visible filamentation was observed \n(Figure 9D). As efflux genes comprise 38% of the duplicated genome segment and CD15- 3 \nabundance after 4 hours of treatment was markedly lower (compared to naive WT) an efflux \nmediated drug resistance mechani sm could potentially explain as to why evolved cells did not \nshow any CD15 -3 induced stressed morphology. The slight increase in median cell length in \nevolved cells upon treatment could be attributed to the fact that the transporter and efflux pump \nmediate d resistance is a rather generic way to escape drug action and is not a perfect way to \ncompletely evade drug action. \n \n \n \n \nFigure 9. CD15- 3 treatment leads to stress induced morphological changes in WT E. coli \ncells. DIC image of WT cells under (A) control (no CD15- 3 treatment) and (B) treated (CD15 -3 \ntreatment) conditions. (C) DIC image of evolved E. coli cells under control (no CD15- 3 \ntreatment ) and (D) CD15 -3 treated condition. (E) Distribution of cell lengths as de rived from \nDIC imaging of WT and evolved cells under control and CD15- 3 treat ment conditions. Untreated \nWT (naive) and e volved cells had comparable cell lengths with median cell lengths of 1.07 µ m. \n(n.s. indicates the distribution of cell lengths were not significantly different, M ann-Whitney test, \np-value =0.961 ). Both WT and evolved cells were subjected to CD15- 3 treatment at IC50 \nconcentration s. WT treated cells we re observed to have a filamentous morphology and the \nmedian cell length (2.343 µm) double of that of the untreated WT set. Evolved cells after CD15 -\n3 treatment h ad a median cell length of 1.269 µ m which is slightly higher than that of untreated \nset. But the cell size distribution of the evolved cells showed much less change after CD15- 3 \ntreatment compared to that observed for the WT (* indicates the distribution of cell lengths were \nsignificantly different, Mann- Whitney test, p- value <0.001) . \n \nDiscussion \nAntibiotic resistance has emerged as a critical threat in the treatment of infectious diseases. T his \nchallenge is primarily an evolutionary problem which could be attributed to factors like \nantibiotic induced selection, the population structure of the evolving microbes and their genetics \nof adaptation (Roemhild and Schulenburg, 2019) . Traditional antibiotic design protocols and \ndevelopment pipelines do not essentially consider this high intrinsic potential of bacterial \nadaptation in antibiotic stressed growth conditions and hence do not properly address the problems associated with drug resistance. This problem has taken an even more compl icated \nshape with the emergence of multidrug resistant bacteria which can potentially escape the actions of a wide array of antibiotic formulations and have a complex landscape of evolutionary \nadaptation . To tackle these problems , complex treatment strateg ies for infectious diseases like \ncombination- drug therapies or sequential drug therapies have been developed (Baym et al., \n2016; Vestergaard e t al., 2016) . However, in many cases these strategies enjoyed only limited \nsuccess in constraining the emergence of evolutionary escape variants and rare multidrug \nvariants (Hegreness et al., 2008) . Furthermore, none of the se strategies act proactively by \nsuppressing future evolutionary escape variants and remain more as a monotherapy or a \ncombination therapy targeting WT variants. The current work presents a design protocol and a multi- tool drug development pipeline which addresses specifically the evolutionary issue by \ndeveloping a strategy which aims at inhibiting both WT and evolutionary escape variants. \n \nAntibiotics are generally designed to block the action of essential bacterial proteins thereby disrupting bacterial growth . As an important enzyme in the de novo pathway of amino acid, \npurine and thymidine synthesis, DHFR has long been regarded as a critical target for the \ndevelopment of a ntibiotic and anticancer drugs (Bharath et al., 2017; Lin and Bertino, 1991; \nSchweitzer et al., 1990) . However rapid clinical resistance to available antifolates like TMP \nemerges merely after three rounds of directed evolution and sequential fixation of mutations \nthrough ordered pathways has been shown to contribute to the evolutionary paths for antibiotic \nresistance (Tamer et al., 2019; Toprak et al., 2012) . Even though s everal classes of small \nmolecules have been investigated for their potential antifolate activity , the rapid emergence of \nresistance by readily accessible mutational pathways in the folA gene pose an immense \nchallenge (Toprak et al., 2012) . It is thus urgent to develop new tools for the systematic \nidentification of novel scaffolds and discover y of inhibitors that interact simultaneously with \nboth WT DHFR and antifolate resistant DHFR mutants . By an efficient virtual screening \nprotocol to scan large databases, two hits of novel scaf folds were identified, which showed \ninhibitory activity against both WT and resistant mutant forms of DHFR. Unlike conventional \napproaches primarily focused on chemical synthesis of derivatives based on known inhibitor \nscaffolds (Francesconi et al., 2018; Hopper et al., 2019; Lam et al., 2014; Reeve et al., 2016) , we \ndeployed an efficient novel multi -tier approach to come up with chemically novel inhibitors . To \nfurther improve DHFR inhibitory act ivity of the two hits, a rapid round of compound \noptimization was conducted through a structural similarity search . This approach turned out to be \nvery effective as we tested only 12 candidate compounds and found CD15- 3 with improved \nantimicrobial activity against both the WT and trimethoprim- resistant DHFR mutant E. coli \nstrains. Among trimethoprim- resistant mutations , P21L, A26T, L28R, and their combinations are identified as an interesting set that recurrently appeared in two out of five independent evolution \nexperiments, and their order of fixation in both cases was similar (Toprak et al., 2012) . Fitness \nlandscape of TMP r esistance showed that the L28R variant exhibits the highest resistance among \nall three single mutants (Rodrigues et al., 2016) . Introduction of another mutation on the L28R \nbackground, either P21L or A26T, does not change IC 50 significantly (Rodrigues et al., 2016; \nToprak et al., 2012) . Thermal denaturation experiments demonstrate that L28R mutation is \nstabilizing show ing an increase in Tm of 6 °C above WT DHFR , in contrast to the de stabilizing \nmutation s P21L and A26T (Rodri gues et al., 2016) . In addition, the L28R mutation cancels out \nthe destabilization brought by P21L and A26T, restoring the Tm of the double and triple mutants \nto WT values. The L28R mutation also shows improved compactness, inferred from its reduced \nbis-ANS binding (Rodrigues et al., 2016) . Thus, a mong the evolved mutant E. coli strains, L28R \nis one of the most frequently encountered res istance mutations in folA gene which render \ntraditional anti- folate drugs like TMP clinically inef fective (Rodrigues et al., 2016; Toprak et al., \n2012) . Effectively L28 R serves as a stability “reservoir” providing a gateway to multiple \nevolutionary trajectories leading to resistance. The discovered DHFR inhibitor CD15 -3 shows \nabout 18- fold better efficacy than that of TMP on L28R E. coli variant strain, indicating its \npotential in combating resistance conferred by gateway point mutations of DHFR. \nOur in vivo DHFR over -expression experiment showed partial recovery from the CD15- 3 \ninduced growth inhibition, thereby validating DHFR as a target for the intracellular inhibition by \nCD15.3. That DHFR is being targeted by CD15 -3 is further supported by our imaging results \nshow ing antibiotic -stress induce d filamentation in the WT cells, a clear sign indicating that the \nfolate pathway is indeed impacted by the drug (Bhattacharyya et al., 2019) . However, th e partial \nrecovery upon DHFR overexpression strongly suggests the presence of at least one additional \nprotein target of CD15 -3. As CD15 -3 was designed to allow interaction with both WT and \n“modified” active site pockets in the mutant forms of DHFR, this might make CD15- 3 active \nagainst other enzyme s that bind structurally similar substrates , most likely of the folate pathway. \nIn the subsequent work we will present integrat ive metabol omic analysis that allowed us to \nidentify additional targets of CD15- 3. \nAs resistant mutants arise under selection pressure induced by stressor drugs , a prime objective \nbehind our effort to design novel evolution drug was to block these escape routes. We carried a \n30-day long evolution experiment to evolve CD15 -3 resistant cel ls. Unlike TMP resistan ce \nwhich emerged quickly and resulted in strains having almost 1000- fold higher IC 50, CD15- 3 \nresistant cell achieved an IC 50 which was only 2.7 times greater than that observed in WT. \nFurther our whole genome sequence analysis revealed that there was no mutation in the target \nfolA gene. Rather, we found that partial genome duplication was responsible for evolution of \nmodest resistance against CD15 -3. Transporters and efflux pump genes were disproportionately \nrepresented in the duplicated segment. Efflux pump driven resistance is potentially a plausible \nfirst escape strategy to evade drug actions and a generic way to evolve resistance. The importance of efflux pump genes and transporters as first line of defence against antibiotic \nstressors was shown in studies where deletion of such genes leads to inferior mutational paths \nand escape strategies (Lukačišinová et al., 2020) . The modest resistance phenotype observed \nwith CD15 -3 evolved cells can hence be attributed to a more gener ic phenomenon of drug efflux and not target modification as observed with traditional anti- folate drug like TMP . This could be \npotentially attributed to the multivalent nature of CD15 -3. TMP specifically interacts with DHFR \nand hence mutation in the target l oci (folA) provides optimal solution to escap e the TMP stress. \nAs CD15 -3 potentially interacts with more than one target apart from DHFR , point mutations in \none of the target proteins do not provide optimal solutions. While point mutations at multiple \ntarget loci can complicate the evolutionary fitness landscape, e fflux mediated resistance on the \nother hand provides a generic and rapid rescue strategy bypassing the possibilities of multiple \npoint mutations. However, this strategy apparently has its drawback as overexpression of \nadditional pumps can incur fitness cost and lead to only modest 2- 3 fold increase in IC 50. \nBacterial fitness/adaptation landscape under conditions of antibiotic induced stress could be \nextremely complicated with multiple escape strategies. The method and the plausible solutions \nwe have presented here considers the common antifolate evolutionary escape route which \nhappens by target modification. CD15- 3 was found to be effective in inhibiting the most p otent \nDHFR escape mutants along with the WT form. Surprisingly, w e observed CD15- 3 was equally \neffective in inhibiting the growth of the TMP escape mutant D27E which emerged in our \nevolution experiment under TMP stress. \nCD15 -3 resist ance mechanism appears to be efflux driven. Pumps can be considered as \nevolutionary capacitors which store and release adaptive variations under conditions of stress. \nEfflux pumps have been reported to contribute to the rise in the mutation rates by influencing \ngrowth alone or by exporting compounds which participate in cell -to-cell interactions and the \nmethyl cycle (Cirz et al., 2005) . As CD15 -3 is designed to block escape routes associated with \nfolA mutations, resistance ensues with the generic efflux mediated escape route. H igher gene \ndosage of transporters and pumps has it s own fitness cost potentially providing an interim \nevolutionary solution to the challenge of antibiotic stress. . Evolution on a much longer time scale might reveal novel escape routes associated with other genes on and/or off -target. \nExploration of long term evolutionary mechanism of potential escape from CD15 -3 can help us \nunderstand the complexity of fitness landscape for this novel prospective antibiotic . This can \nfurther help in refining the drug development protocol which can also address the issue of efflux \nmediated evol utionary escape routes. A plausible futuristic anti- evolution strategy could be using \ncombination of potential evolution drug formulations like CD15- 3 and adjuvants which could \nblock the pumps, thereby potentially also obstruct ing the generic escape routes. We suggest that \nthe currently popular drug development protocols could be sub-optimal as they overlook ways to \nlimit bacterial adaptation. \nAs a caveat we note that novel compounds discovered here , while promising as candidates for \nnew class of anti -evolution antibiotics , represents only initial leads in the drug development \npipeline. A detailed characterization of pharmacokinetics of the prospective compounds using the standard approaches adopted in pharmaceutical industry need to be carried out to establish \ntheir plausibility as drug candidates. However, our approach that integrates computational and in \nvitro experimental components in a mutual feedback loop allows considerable power and \nflexibility in optimization of emerging compounds potentially significantly shortening the \ndevelopment cycle. To summarize, we believe that o ur comprehensive multiscale- multitool approach to address the \nclinically challenging issue of antibiotic resistance and the d iscovered CD15 -3 can be an \nattractive starting point for further o ptimization and development of evolution drugs and \nadopting a broad strategy to combat evolution of drug resistance . \n \n2. Methods and Materials \nPreparation of Databases and Proteins \nTwo commercially available databases ChemDiv ( http://www.chemdiv.com/ , about 1.32 million \ncompounds) and Life Chemicals ( http://www.lifechemicals.com/ , about 0.49 million compounds) \nwere selected for virtual screening. Both databases contain a large amount of diverse structures \nwhich are useful as potential hits for drug deve lopment and providing integrative drug discovery \nstrategies for pharmaceutical and biotech companies (Zhang et al., 2015) . In addition, the known \nE.coli. DHFR inhibitors ( 183 compounds) with IC 50 values ranging from 1 nM to 100 μM were \ndownloaded from BindingDB ( https://www.bindingdb.org/bind/index.jsp )(Liu et al., 2006) used \nas a reference database. All compounds from the two databases were add ed hydrogens and then \noptimized by a user -defined protocol in Pipeline Pilot (Warr, 2012) . A couple of \nphysicochemical properties including molecular weight, AlogP, number of hydr ogen donors \n(HBD) and acceptors (HBA), number of rings, aromatic rings, rotatable bonds and molecular fractional polar surface area (Molecular_FPSA) were calculated in Pipeline Pilot (Warr, 2012) \nusing the Calculate Molecular Properties protocol. All the compounds were further prepared by the LigPrep module in Maestro 10.2 in Schrodinger (Steffan and Kuhlen, 2001) to ensure they \nwere appropriate for molecular docking. \nMolecular Docking \nAll E. coli DHFR crystal structures ( issued before 09-10-2016) were downloaded from Protein \nData Bank (PDB) (Berman et al., 2006) and classified in to three categories. Among them four \nrepresentatives including PDB 1RX3 (M20 loop closed), 1RA3 (M20 loop open), 1RC4 and 5CCC (M20 loop occluded) were selected for molecular docking and molecular dynamics studies. All these protein stru ctures were prepared by the Protein reparation wizard in Maestro \n10.2 of Schrodinger (Madhavi Sastry et al., 2013) to add hydrogens and missing atoms and to \nminimize the energy. All water molecules in the original crystal structures were removed except \nthe 302\nth H2O which is located in the active site and forms a key hydrogen bond with the cognate \nligand. Hydrogen atoms and partial charges were added to the protein, and then a restrained \nminimization of only the hydrogens was conducted. A grid box centered on the cognate ligand was generated by the Receptor Grid Generation module in Schrodinger. To soften the potential for nonpolar parts of the receptors, one can scale the van der Waals radii (scaling factor set to 1.0) of the receptors with partial atomic charge less than the specified cutoff (set to 0.25Å). Due to its \nexcellent performance through a self -docking analysis (Zhang et al., 2017; Zhang et al.) , the \nGlide module in Schrodinger was selected for molecular simulations. All three precision modes \nincluding the high throughput virtual screening (HTVS), the standard precision (SP) and the extra precision (XP) were utilized sequentially according to their speed and accur acy. For each docking run, the 10 best poses of each ligand were minimized by a post docking program with \nthe best pose saved for further analysis and the root mean standard deviation (RMSD) between the output and input structures were calculated. \nMolecul ar Dynamics \nProtein -compound complexes resulted from molecular docking were employed for molecular \ndynamics simulation. Proteins were prepared using the Protein Preparation Wizard (Madhavi \nSastry et al., 2013) tool of Maestro in Schrodinger by adding missing atoms and hydrogens and \nminimizing the energy. Ligands were preprocessed by assigning the correct protonation state using Chimera 1.9 (Pettersen et al., 2004) . Then, they were optimized by an implicit water model \nunder the M06- 2X/6 -31+G** level of theory and the ir RESP charges were computed at the \nHF/6 -31G* level of theory using Gaussian 09 (Chai and Head -Gordon, 2009) . Finally, their \nligand topologies were generated by acpype which is an interface to antechamber (Wang et al., \n2006) . The TIP3P water model (Jorgensen, 1981) and AMBER03 force field (Chillemi et al., \n2010) were used for the simulation using GPU processors with GROMACS, version 5.0.2 \n(Spoel et al., 2005) . Firstly, the system was solvated in a water filled rhombic dodecahedron box \nwith at least 12 Å distance between the complex and box edges . Then, the charges of system \nwere neutralized by adding counter ions (Na+ or Cl -) by the genion tool in Grom acs. The system \nwas then relaxed through an energy minimization process with steepest descent algorithm first,\n \nfollowed by a conjugate gradient algorithm . The energy of the system was minimized until the \nmaximum force reached 5.0 kJ mol-1 nm-1. After a primary constraint NVT simulation of 200 ps \nwith protein atoms being fixed at 100 K, an NVT simulation of 400 ps without restraints was \nperformed with simulated annealing at the temperature going from 100 to 300K linearly. Then \nwe performed an NPT simulation of 500 ps to equilibrate the pressure. Eventually, a production \nMD was conducted for 10 ns at 300 K twice. Bond lengths were constrained using LINCS algorithm during the simulation (Hess, 2008) . It is commonly accepted that nanosecond MD \nsimulations are reliable to investigate local conformational changes (Yeggoni et al., 2014) . Thus, \nthe last 2 ns of each 10 ns production simulation were extracted at every 10 ps interval (400 snapshots in total) for calculating the molecular mechanics/Poisson -Boltzmann surface area \nbinding energy (MM -PBSA) by the AMBER12 package (Miller et al., 2012) . Average energy for \n400 snapshots was saved for binding free energy calculation using MMPBSA (Cheron and \nShakhnovich, 2017) . More details about th is protocol are described elsewhere (Cheron and \nShakhnovich, 2017) . \nEnzymatic Activity Assay \nAll reagents and chemicals were of high quality and were procured from Sigma -Aldrich Co., \nUSA, Amresco , or Fisher Scientific. According to our former study, wild- type (WT) E.coli , C. \nmuridarum and L. grayi DHFR as well as mutant E. coli DHFR proteins including P21L, A26T \nand L28R point mutants were expressed and purified in the same way as previously descr ibed \n(Rodrigues et al.; Rodrigues et al., 2016) . The inhibitory activity of the hit compounds against \nDHFR was evaluated according to our previous protocol (Rodrigues et al., 2016) . At fixed \nsubstrate concentration 100μM NADPH and 30μM dihydrofolate, inhibition constants were determined from kinetic competition experiments performed based on varying inhibitor concentrations (Srinivasan and Skolnick, 2015) . Spectrophotometric assay on 96- well multiplate \nreader at 25 °C was conducted by monitoring the conversion of NADPH as a decrease in \nabsorbance at 340 nm for 180 s. Through a molar -extinction coefficient (ε) of 6.2×10 3M−1cm−1 \nfor β -NADPH at 340 nm, the amount of product formed was calculated and the nonenzymatic \nhydrolysis of NADPH was normalized. The DHFR enzyme was added to initiate the reaction, and the initial velocities with product formation less than 5% were measured for reac tion \nmixtures containing 100 mM HEPES, pH 7.3, at ∼22°C. The concentration- dependent inhibition \ncurves were determined in 100 mM HEPES, pH 7.3, 50μM DHF, 60μM NADPH, and variable concentration of each inhibitor. The enzyme concentration was 16.7nM. The curves were fit to \nthe equation \n()50100%\n1/yI IC=+ , where I is concentration of the inhibitor and y is the percentage \nof catalytic activity of DHFR . The values of inhibition constant iK were obtained by fitting a \ncompetitive inhibition equation (Krohn and Link, 2003) from plots of activity vs. inhibitor \nconcentration using the equation 50\n[]1i\nMICKS\nK=\n+, where the MK values of DHFR enzyme for both \nsubstrates (DHF and NADPH) have been measured before (Rodrigues et al., 2016) and [S] is the \nsubstrate concentration of DHF. The concentration of the test hits was varied to obtain IC 50 \nvalues and then converted to Ki values. The concentration of the substrate DHF is fixed at 50 μM \n(Rodrigues et al., 2016) All the measurements were conducted in duplicate, and the error values \nare indicated by standard errors. All the data were fit using the nonlinear curve -fitting \nsubroutines OriginPro (Seifert and E., 2014) . \nBacterial Growth Measurements and Determination of IC 50 values \nCultures in M9 minimal medium was grown overnight at 37 °C and were then normalized to an \nOD of 0.1 using fresh medium . A new normalization to an OD=0.1 was conducted after \nadditional growth during 5–6 h. Then, the M9 medium and six different concentrations of the \npositive control TMP and all hit compounds in the 96- well plates (1/5 dilution) were incubated. \nThe incubation of the plates was performed at 37 °C and the orbital shacking and absorbance \nmeasurements at 600 nm were taken every 30 min during 15 h. By integration of the area under \nthe growth curve (OD vs. time ), the growth was quantified between 0 and 15 h, as described \nelsewhere (Palmer et al., 2015) . For the WT DHFR or a given mutant, the growth integrals \nnormalized by corresponding values of growth for that same strain without the hit compounds. \nBy fitting a logistic equation to plots of growth vs. compound concentrations, the IC 50 values \nwere determined. The reported IC 50 values are an average based on at least three replicates and \nstandard errors are indicated. \nWhole Genome sequencing \nUsing single isolated colonies, whole genome sequencing for the evolved variants was \nperformed resorting to Illumina MiSeq 2 x 150 bp paired- end configuration (Novogene). We used breqseq pipeline ( Deatherage et al., 2014 ) on default settings, using the E. coli K-12 substr. \nBW25113 reference genome (GenBank accession no. CP009273.1 ). \nEvolution experiments \nEvolution of E. coli strains in the presence of prospective compound CD15 -3 or TMP was \ncarried out by serial passaging using an automated liquid handling system (Tecan Freedom Evo \n150), a procedure similar to the one described previously (Rodrigues and Shakhnovich, 2019) . In \nthis setup, cultures are grown in wells of a 96- well microplate, and the optical density (600 nm) \nis measured periodically using a plate reader (Tecan Infinite M200 Pro). In each serial passage, the cultures are diluted with fresh growth media into the wells of the adjacent column. The \ngrowth rate measured for each culture at a given passage is compared with the growth rate determined in the absence of antibiotic and the concentration of antibiotic is increased by a factor of 2 if it exceeds (75 %), otherwise maintained. To avoid excessive antibiotic inhibition, the concentration was increased only once in every two consecutive passages. This procedure forces \ncells to grow under sustained selective pressure at growth rates close to 50% of that of non-\ninhibited cells. \nDifferential interference contrast (DIC) \nWT cells were grown in M9 media supplemented with 0.8gL\n-1 glucose and casamino acids \n(mixtures of all amino acids except tryptophan) in absence and presence of CD15 -3 at 420C for \nincubation and 300 rpm constant shaking. Drop in DHFR activity has been associated with \ncellular filamentation and similar phenotype is observed under TMP treatment (Bhattacharyya et \nal., 2019) . Since CD15- 3 targets intracellular DHFR and soluble fraction of cellular DHFR is \nlower at 42 degrees C we chose this temperature for our imaging studies (Bershtein et al., 2012) . \nAliquots were taken from the growing culture and they were drop casted on agar bed/blocks. \nThese blocks were taken further processed for differential inference contrast (DIC) imaging \nusing Zeis Discovery imaging workstation. Multiple fields were observed and scanned for a \nsingle condition type and a minimum of three replicates were used for imaging studies. Similar \nmethods for imaging were used for e volved cell types under conditions of absence and presence \nof CD15 -3 compound. Intellesis Module was used for analyzing DIC images. On average, \naround 500 cells were analyzed for computing cell length. E. coli cell lengths in our imaging \nstudies were not normally distributed. Nonparametric Mann -Whitney test was therefore used to \ndetermine if the cell length distribution s were significantly different upon CD15- 3 treatment. \nAcknowledgement This work was supported by NIH RO1 068670 and by the program of China \nScholarship Council (CSC). We are grateful to Nicolas Chéron and Jiho Park for help with the \ncomputational setup. \n \nReferences \nAhmad, S., Kirk, S., and Eisenstark, A. (1998). Thymine metabolism and thymineless death in prokaryotes \nand eukaryotes. Annual review of microbiology 52, 591 -625. \nBaell, J.B., and Holloway, G.A. (2010). New substructure filters for removal of pan assay interference \ncompounds (PAIN S) from screening libraries and for their exclusion in bioassays. Journal of medicinal \nchemistry 53, 2719 -2740. \nBaym, M., Stone, L.K., and Kishony, R. (2016). Multidrug evolutionary strategies to reverse antibiotic resistance. Science 351. \nBerman, H.M., We stbrook, J., Feng, Z., Gillil, G., Bhat, T.N., Weissig, H., Shindyalov, I.N., and Bourne, P.E. \n(2006). The Protein Data Bank, 1999&ndash. \nBershtein, S., Mu, W., and Shakhnovich, E.I. (2012). Soluble oligomerization provides a beneficial fitness \neffect on d estabilizing mutations. Proceedings of the National Academy of Sciences 109, 4857- 4862. \nBharath, Srinivasan, João, V., Rodrigues, Sam, Tonddast -Navaei, Eugene, Shakhnovich, and Jeffrey (2017). \nRational Design of Novel Allosteric Dihydrofolate Reductase Inh ibitors Showing Antibacterial Effects on \nDrug- Resistant Escherichia coli Escape Variants. Acs Chem Biol 12, 1857- 1848 . \nBhattacharyya, S., Bershtein, S., Adkar, B.V., Woodard, J., and Shakhnovich, E.I. (2019). A case of \n‘mistaken identity’: structurally si milar ligand inhibits Thymidylate Kinase causing reversible \nfilamentation of E. coli. bioRxiv, 738823. \nBhattacharyya, S., Bershtein, S., Yan, J., Argun, T., Gilson, A.I., Trauger, S.A., and Shakhnovich, E.I. (2016). \nTransient protein -protein inter actions perturb E. coli metabolome and cause gene dosage toxicity. Elife \n5, e20309. \nBleyer, W.A. (2015). The clinical pharmacology of methotrexate: new applications of an old drug. Cancer \n41, 36 -51. \nCarroll, M.J., Mauldin, R.V., Gromova, A.V., Singleton, S .F., Collins, E.J., and Lee, A.L. (2012). Evidence for \ndynamics in proteins as a mechanism for ligand dissociation. Nature chemical biology 8, 246 -252. \nChai, J.D., and Head -Gordon, M. (2009). Long -range corrected double -hybrid density functionals. Journal \nof Chemical Physics 131, 174105. \nCheron, N., and Shakhnovich, E.I. (2017). Effect of sampling on BACE -1 ligands binding free energy \npredictions via MM -PBSA calculations. J Comput Chem 38, 1941 -1951. \nChillemi, G., Coletta, A., Mancini, G., Sanna, N., and De sideri, A. (2010). An amber compatible molecular \nmechanics force field for the anticancer drug topotecan. Theoretical Chemistry Accounts 127, 293 -302. \nCirz, R.T., Chin, J.K., Andes, D.R., de Crécy -Lagard, V., Craig, W.A., and Romesberg, F.E. (2005). Inhibi tion \nof mutation and combating the evolution of antibiotic resistance. PLoS Biol 3, e176. \nCoutinho, H.D., Costa, J.G., Lima, E.O., Falcao -Silva, V.S., and Siqueira- Júnior, J.P. (2010). Increasing of \nthe aminoglicosyde antibiotic activity against a multidrug -resistant E. coli by Turnera ulmifolia L. and \nchlorpromazine. Biological Research for Nursing 11, 332 -335. \nDelmar, J.A., Su, C.- C., and Edward, W.Y. (2013). Structural mechanisms of heavy -metal extrusion by the \nCus efflux system. Biometals 26, 593-607. \nFinland, M., and Kass, E.H. (1973). Trimethoprim -sulfamethoxazole. Summary and comments on the \nconference. Journal of Infectious Diseases 128, Suppl:792. \nFrancesconi, V., Giovannini, L., Santucci, M., Cichero, E., Costi, M.P., Naesens, L., Giordanet to, F., and \nTonelli, M. (2018). Synthesis, biological evaluation and molecular modeling of novel azaspiro \ndihydrotriazines as influenza virus inhibitors targeting the host factor dihydrofolate reductase (DHFR). European journal of medicinal chemistry 155, 229-243. Gudipaty, S.A., Larsen, A.S., Rensing, C., and McEvoy, M.M. (2012). Regulation of Cu (I)/Ag (I) efflux \ngenes in Escherichia coli by the sensor kinase CusS. FEMS microbiology letters 330, 30-37. \nHegreness, M., Shoresh, N., Damian, D., Hartl, D., and Kishony, R. (2008). Accelerated evolution of resistance in multidrug environments. Proceedings of the National Academy of Sciences 105, 13977 -\n13981. \nHess, B. (2008). P -LINCS: A parallel linear constraint solver for molecular simulation. Journal of Chem ical \nTheory and Computation 4, 116 -122. \nHopper, A.T., Brockman, A., Wise, A., Gould, J., Barks, J., Radke, J.B., Sibley, L.D., Zou, Y., and Thomas, S. (2019). Discovery of Selective Toxoplasma gondii Dihydrofolate Reductase Inhibitors for the Treatment of Toxoplasmosis. Journal of Medicinal Chemistry 62, 1562- 1576. \nHuovinen, P., Sundström, L., Swedberg, G., and Sköld, O. (1995). Minireview. Trimethoprim and sulfonamide resistance. Antimicrobial Agents & Chemotherapy 39, 279 -289. \nIzbicka, E., Diaz, A., Stree per, R., Wick, M., Campos, D., Steffen, R., and Saunders, M. (2009). Distinct \nmechanistic activity profile of pralatrexate in comparison to other antifolates in in vitro and in vivo \nmodels of human cancers. Cancer Chemotherapy & Pharmacology 64, 993 -999. \nJorgensen, W.L. (1981). Transferable Intermolecular Potential Functions for Water, Alcohols, and Ethers. \nApplication to Liquid Water. Jamchemsoc 103. \nJustice, S.S., Hunstad, D.A., Cegelski, L., and Hultgren, S.J. (2008). Morphological plasticity as a bacterial \nsurvival strategy. Nature Reviews Microbiology 6, 162- 168. \nKrohn, K.A., and Link, J.M. (2003). Interpreting enzyme and receptor kinetics: keeping it simple, but not too simple. Nuclear Medicine & Biology 30, 0 -826. \nKumar, A. (2011). Investigation of st ructures similarity of organic substances. Resonance 16, 61-64. \nLam, T., Hilgers, M.T., Cunningham, M.L., Kwan, B.P., Nelson, K.J., Brown -Driver, V., Ong, V., Trzoss, M., \nHough, G., Shaw, K.J. , et al. (2014). Structure -Based Design of New Dihydrofolate Red uctase \nAntibacterial Agents: 7 -(Benzimidazol -1-yl)-2,4-diaminoquinazolines. Journal of Medicinal Chemistry 57, \n651-668. \nLeonardo, F., Ricardo, D.S., Glaucius, O., and Adriano, A. (2015). Molecular Docking and Structure -Based \nDrug Design Strategies. Molecules 20, 13384 -13421. \nLin, J.T., and Bertino, J.R. (1991). Clinical Science Review: Update on Trimetrexate, a Folate Antagonist with Antineoplastic and Antiprotozoal Properties. Cancer Investigation 9, 159 -172. \nLiu, F.T., Li, N.G., Zhang, Y.M., Xie, W.C., Ya ng, S.P., Lu, T., and Shi, Z.H. (2020). Recent advance in the \ndevelopment of novel, selective and potent FGFR inhibitors. European journal of medicinal chemistry \n186, 111884. \nLiu, T., Lin, Y., Xin, W., Jorissen, R.N., and Gilson, M.K. (2006). BindingDB: a web -accessible database of \nexperimentally determined protein –ligand binding affinities. Nucleic Acids Research, suppl_1. \nLukačišinová, M., Fernando, B., and Bollenbach, T. (2020). Highly parallel lab evolution reveals that epistasis can curb the evolution of antibiotic resistance. Nature communications 11, 1 -14. \nMadhavi Sastry, G., Adzhigirey, M., Day, T., Annabhimoju, R., and Sherman, W. (2013). Protein and ligand preparation: parameters, protocols, and influence on virtual screening enrichments. Journal o f \nComputer -Aided Molecular Design 27, 221 -234. \nManto, C.C., Sara, M., and Laleh, A. (2018). Drug Metabolites and their Effects on the Development of \nAdverse Reactions: Revisiting Lipinski’s Rule of Five. International Journal of Pharmaceutics 549, 133 -149. \nMarcou, G., and Rognan, D. (2007). Optimizing fragment and scaffold docking by use of molecular \ninteraction fingerprints. Journal of Chemical Information & Modeling 47, 195 -207. \nMasi, M., Réfregiers, M., Pos, K.M., and Pagès, J. -M. (2017). Mechanisms of e nvelope permeability and \nantibiotic influx and efflux in Gram -negative bacteria. Nature microbiology 2, 1-7. Miller, B.R., McGee, T.D., Swails, J.M., Homeyer, N., Gohlke, H., and Roitberg, A.E. (2012). MMPBSA.py: \nAn Efficient Program for End -State Free Energy Calculations. Journal of Chemical Theory and \nComputation 8, 3314 -3321. \nOz, T., Guvenek, A., Yildiz, S., Karaboga, E., Tamer, Y.T., Mumcuyan, N., Ozan, V.B., Senturk, G.H., Cokol, \nM., Yeh, P. , et al. (2014). Strength of selection pressure is an importan t parameter contributing to the \ncomplexity of antibiotic resistance evolution. Mol Biol Evol 31, 2387- 2401. \nPalmer, A.C., Toprak, E., Baym, M., Kim, S., and Kishony, R. (2015). Delayed commitment to evolutionary \nfate in antibiotic resistance fitness landsc apes. Nature communications 6, 7385. \nPeneş, N.O., Muntean, A.A., Moisoiu, A., Muntean, M.M., Chirca, A., Bogdan, M.A., and Popa, M.I. \n(2017). An overview of resistance profiles ESKAPE pathogens from 2010 -2015 in a tertiary respiratory \ncenter in Romania. Ro m J Morphol Embryol 58, 909 -922. \nPettersen, E.F., Goddard, T.D., Huang, C.C., Couch, G.S., Greenblatt, D.M., Meng, E.C., and Ferrin, T.E. (2004). UCSF Chimera— A visualization system for exploratory research and analysis. Journal of \nComputational Chemistry 25, 1605 -1612. \nReeve, S.M., Scocchera, E., Ferreira, J.J., N, G.D., Keshipeddy, S., Wright, D.L., and Anderson, A.C. (2016). Charged Propargyl- Linked Antifolates Reveal Mechanisms of Antifolate Resistance and Inhibit \nTrimethoprim -Resistant MRSA Strains Pos sessing Clinically Relevant Mutations. J Med Chem 59, 6493-\n6500. \nRodrigues, J.O.V., Bershtein, S., Li, A., Lozovsky, E.R., Hartl, D.L., and Shakhnovich, E.I. Biophysical \nprinciples predict fitness landscapes of drug resistance. Proceedings of the National Academy of \nSciences, 201601441. \nRodrigues, J.V., Bershtein, S., Li, A., Lozovsky, E.R., Hartl, D.L., and Shakhnovich, E.I. (2016). Biophysical principles predict fitness landscapes of drug resistance. Proc Natl Acad Sci U S A 113, E1470- 1478. \nRodrigues, J.V., and Shakhnovich, E.I. (2019). Adaptation to mutational inactivation of an essential gene \nconverges to an accessible suboptimal fitness peak. Elife 8, e50509. \nRoemhild, R., and Schulenburg, H. (2019). Evolutionary ecology meets the antibiot ic crisis: Can we \ncontrol pathogen adaptation through sequential therapy? Evolution, medicine, and public health 2019 , \n37-45. \nSandegren, L., and Andersson, D.I. (2009). Bacterial gene amplification: implications for the evolution of antibiotic resistance. Nature Reviews Microbiology 7, 578 -588. \nSangurdekar, D.P., Zhang, Z., and Khodursky, A.B. (2011). The association of DNA damage response and nucleotide level modulation with the antibacterial mechanism of the anti- folate drug trimethoprim. \nBMC genomics 12, 583. \nSchweitzer, B.I., Dicker, A.P., and Bertino, J.R. (1990). Dihydrofolate reductase as a therapeutic target. \nFaseb Journal 4, 2441- 2452. \nSeifert, and E. (2014). OriginPro 9.1: Scientific Data Analysis and Graphing Software —Software Review. \nJournal of C hemical Information & Modeling 54, 1552 -1552. \nSinger, S., Ferone, R., Walton, L., and Elwell, L. (1985). Isolation of a dihydrofolate reductase -deficient \nmutant of Escherichia coli. Journal of bacteriology 164, 470 -472. \nSpoel, D.V.D., Lindahl, E., Hess, B. , Groenhof, G., and Berendsen, H.J.C. (2005). GROMACS: fast, flexible, \nand free. Journal of Computational Chemistry 26, 1701 -1718. \nSrinivasan, B., and Skolnick, J. (2015). Insights into the slow ‐onset tight ‐binding inhibition of \nEscherichiacoli dihydrofola te reductase: detailed mechanistic characterization of pyrrolo[3,2 ‐\nf]quinazoline ‐1,3‐diamine and its derivatives as novel tight ‐binding inhibitors. Febs Journal 282, \n1922- 1938. \nSteffan, R., and Kuhlen, T. (2001). MAESTRO: a tool for interactive assembly si mulation in virtual \nenvironments. Paper presented at: Eurographics Conference on Virtual Environments & Immersive \nProjection Technology. Tamer, Y.T., Gaszek, I.K., Abdizadeh, H., Batur, T.A., Reynolds, K.A., Atilgan, A.R., Atilgan, C., and Toprak, \nE. (2019 ). High- Order Epistasis in Catalytic Power of Dihydrofolate Reductase Gives Rise to a Rugged \nFitness Landscape in the Presence of Trimethoprim Selection. Molecular Biology and Evolution 36, 1533 -\n1550. \nTian, J., Woodard, J.C., Whitney, A., and Shakhnovich, E.I. (2015). Thermal stabilization of dihydrofolate \nreductase using monte carlo unfolding simulations and its functional consequences. PLoS Comput Biol \n11, e1004207. \nToprak, E., Veres, A., Michel, J. -B., Chait, R., Hartl, D.L., and Kishony, R. (2012). Evolutionary paths to \nantibiotic resistance under dynamically sustained drug selection. Nature genetics 44, 101 -105. \nVestergaard, M., Paulander, W., Marvig, R.L., Clasen, J., Jochumsen, N., Molin, S., Jelsbak, L., Ingmer, H., and Folkesson, A. (201 6). Antibiotic combination therapy can select for broad -spectrum multidrug \nresistance in Pseudomonas aeruginosa. International journal of antimicrobial agents 47, 48-55. \nWang, J., Wang, W., Kollman, P.A., and Case, D.A. (2006). Automatic atom type and bond type \nperception in molecular mechanical calculations. Journal of Molecular Graphics & Modelling 25, 247 -260. \nWarr, W.A. (2012). Scientific workflow systems: Pipeline Pilot and KNIME. Journal of Computer Aided Molecular Design 26, 801 -804. \nYeggoni, D.P., G okara, M., Mark Manidhar, D., Rachamallu, A., Nakka, S., Reddy, C.S., and Subramanyam, \nR. (2014). Binding and Molecular Dynamics Studies of 7 -Hydroxycoumarin Derivatives with Human \nSerum Albumin and Its Pharmacological Importance. Molecular Pharmaceutics 11, 1117 -1131. \nZaritsky, A., Woldringh, C.L., Einav, M., and Alexeeva, S. (2006). Use of thymine limitation and thymine starvation to study bacterial physiology and cytology. Journal of bacteriology 188, 1667 -1679. \nZhang, Y., Chen, Y., Zhang, D., Wang, L., Lu, T., and Jiao, Y. (2017). Discovery of Novel Potent VEGFR -2 \nInhibitors Exerting Significant Antiproliferative Activity against Cancer Cell Lines. Journal of Medicinal Chemistry 61, 140 -157. \nZhang, Y., Chen, Y., Zhang, D., Wang, L., Lu, T., and Jiao, Y. (2018). Discovery of Novel Potent VEGFR -2 \nInhibitors Exerting Significant Antiproliferative Activity against Cancer Cell Lines. J Med Chem 61, 140 -\n157. \nZhang, Y., Jiao, Y., Xiong, X., Liu, H., Ran, T., Xu, J., Lu, S., Xu, A., Pan, J., Qiao, X. , et al. (2015). Fragment \nvirtual screening based on Bayesian categorization for discovering novel VEGFR -2 scaffolds. Molecular \ndiversity 19, 895 -913. \nZhang, Y., Yang, S., Jiao, Y., Liu, H., Yuan, H., Lu, S., Ran, T., Yao, S., Ke, Z., and Xu, J. An Integrated Virtual Screening Approach for VEGFR -2 Inhibitors. Journal of Chemical Information & Modeling 53, 3163- 3177. \nZhang, Y., Yang, S., Jiao, Y., Liu, H., Yuan, H., Lu, S., Ran, T., Yao, S., Ke, Z., and Xu, J. (2013). An Integrated Virtual Screening Approach for VEGFR -2 Inhibitors. Journal of Chemical Information & Modeling 53, \n3163- 3177. \n Supplementary Information \nCrystal structure selection. \nThrough visual inspection of M20 loop conformation for the 61 crystal structures of \nDHFR (before 2016- 9-26), crystal structures of three categories of M20 loops were \nobtained. From Figure S 1, a total of 38 closed, 11 open, 12 occluded crystal structures of \nE.coli DHFR as well as the comparison of them are shown. The NADPH/NADP+ as well as the substrates (most of them are MTX) are also shown. It can be seen that M20 loop \nconstitutes part of the substrate binding site, so it will influence the bi nding of the \nsubstrates and its inhibitors. It has shown that the closed conformation is adopted when \nthe substrate and cofactor are both bound (Agarwal et al., 2002) , where the M20 loop is \npacked against the nicotinamide ring of the cofactor. This is the only conformation where \nsubstrate and cofactor are arranged favorably for reaction and the following sta te of the \ncatalytic cycle (Agarwal et al., 2002) and also the only conformation that can be found in \nDHF R from all other species, regardless of the crystal packing and ligands bound \npositions in the binding site (Sawaya and Kraut, 1997) . Observed in the product \ncomplexes, the occluded M20 loop is an unproductive conformation in which the central part of the M20 loop forms a helix that blocks access t o the binding site for the \nnicotinamide moiety of the cofactor. Thus, the nicotinamide of the cofactor is forced out \ninto solvent, making it unresolved in the crystal structures (Sawaya and Kraut, 1997) . The \nopen loop is a conformational intermediate between the extremes of the closed and \noccluded loops. The M20 loop dynamics plays a significant role in ligand binding and \ncatalysis. It has become an area of great interest due to the persuasive evidence of conformational change in the loop during the catalytic cycle and its interaction with the subst rate and cofactor (Sawaya and Kraut, 1997) . \n \nFigure S1 Three different types of M20 loops in E.coli DHFR. In the crystal structures of \nE.coli DHFR, the M20 loop (residues 9- 24) has three major types of conformation (open, \nclosed and occluded) (Falzone et al.) that are important for catalysis. \nHowever, it is still unknown which M20 loop conformation is a binding mode for \ninhibitors of E. coli DHFR. Whether binding single conformation or multiple \nconformations of the M20 loop leads to stronger binding is also not known. Thus, it is \nimportant to determine the target crystal structures that is most predictive for virtual \nscreening investigation. Considering the conformation M20 loop, crys tal structure \nresolution, as well as completeness of cognate ligands such as MTX or DDF and NADPH, \nwe selected four M20 loop conformations (Closed: 1RX3, Open: 1RA3, Occluded: 1RC4 \nand 5CCC, Figure S1 ) for the docking verification. Two occluded PDBs were selected \nbecause their M20 loop conformations did not overlap well. The feasibility of each M20 loop conformation was assessed by docking the known 183 E. coli DHFR inhibitors with \nIC\n50 values ranging from 1 nM to 100 μM to the four representative PDB structures . As \nshown in Figure S2 and Table S 1, for docking score less than - 10, the cumulative \nnumbers of selected inhibitors were 22 for the closed M20 1RX3 – greater than for the \nopen M20 1RA3 (10), and occluded M20 1RC4 (1) and 5CCC (13). In particular, when \nthe cutoff of docking score was set to - 9, using closed M20 1RX3 as target resulted in \ncorrect prediction of as many as 62 inhibitors compared to the open M20 1RA3 (25), and \noccluded M20 1RC4 (4) and 5CCC (32). At the higher cutoffs, open M20 1RA3 and \noccluded M20 5CCC showed comparable trends with that of closed M20 1RX3. However, even the M20 loop of 1RC4 and 5CCC both belong to the occluded conformation, their performance in docking of known ligands was strikingly different. It \nappears that 1RC4 performed much worse than 5CCC and it performed the worst \ncompared to other target conformations . A possible reason could be that M20 loop in \n1RC4 structure is close r to the substrate, making the binding pocket smaller to \naccommodate relatively large inhibitors. Further, we focused on the inhibitors with IC\n50 \nvalues less than 1 μM. As s een from Figure S2 and Figure S3 , using the closed M20 \n1RX3 predicted about 58% (42) out of the total of 72 the inhibitors, while the open M20 \n1RA3 only predicted 18% (13), the occluded 1RC4 got 3% (2) and the occluded 5CCC \npredicted about 18% (13). Al together these results demonstrate that the closed M20 \n1RX3 is more representative as a target for molecular docking of E.coli DHFR inhibitors. \nTable S 1 Number of known inhibitors by DHFR of different M20 loops \nXP \nDocking \nScore \nThreshold All inhibitors (IC 50: 1 nM~240 μM) Inhibitors (IC 50 < 1μM) \nClosed \n(1RX3) Open (1RA3) Occluded (1RC4) Occluded (5CCC) Closed (1RX3) Open (1RA3) Occluded (1RC4) Occluded \n(5CCC) \n< -10 22 10 1 13 14 4 0 4 \n< -9 62 25 4 32 42 13 2 13 \n< -8 77 77 12 73 48 50 4 35 \n< -7 107 93 21 114 51 53 8 51 \n< -6 148 136 53 167 58 58 22 68 \n< -5 174 168 148 181 69 67 53 72 \n< -4 181 182 182 183 72 72 71 72 \n< 0 183 183 183 183 72 72 72 72 \n \n(A) ( B) \nFigure S2. The number distribution of inhibitors with a given XP docking score. ( A) All \n183 inhibitors; ( B) 72 Inhibitors with IC 50 values less than 1 μM. Lower scores \ncorrespond to stronger binding. \n \n \n(A) ( B) \nFigure S3. The scatter plot experimentally measured activities of DHFR inhibitors vs \ntheir XP docking score with various DHFR conformations as targets . (A) All 183 \ninhibitors; ( B) 72 Inhibitors wit h IC 50 values less than 1 μM. \n \nConstruction of the Model to Predict Binding Affinity . \nEarlier, the MD simulation of protein- ligand complexes followed by MM/PBSA \nassessment of binding affinity were applied in our group to the BACE protease (Cheron \nand Shakhnovich, 2017) . Here, we use a similar protocol for E. coli DHFR. Eight known \nE. coli DHFR inhibitors (Figure S4 ) including MTX and TMP as well as other six \ncompounds from Carroll et al (Carroll et al., 2012) with known Kd values were chosen \nfor the construction of binding free energy predicti on. The Pearson correlation coefficient \n(R) between the predicted and experimental binding free energies (ΔG=RTln(Kd), where \nR is the gas constant and T= 293.15 K) was used to evaluate the accuracy of the protocol \ndeveloped in (Cheron and Shakhnovich, 2017) . TMP and Cmpd 2 as well as Cmpd 6 \n(Figure S4) do not have crystal structures, their complexes were from molecular docking \n(TMP was docked to PDB 1RX3 and Cmpd 2 and 6 were docked to 3QYL). We used the \navailable crystal structures of TMP complexed with Staphylococcus aureus DHFR (PDB: \n2W9G, sequence identity with E. coli DHFR : 55/162 (34.0%) and sequence similarity: \n92/162 (56.8%)) as a reference and found that and the conformation of TMP docked with \nE. coli DHFR is only about 0.4 Å different from crystal structure with S. aureus DHFR \n(Figure S5), suggesting the accuracy of dock ed conformation for TMP. We first \nconducted 2 replicates of 10 ns simulation and then extended simulation length to 20 \nreplicates. Two replicates of 10 ns simulation provided a quite strong correlation (R = \n0.942) between the computati onal and experimental binding free energies (Fig.6) \nessentially indistinguishable from longer simulation comprised of 20 10 ns runs *Figure S6). Thus, in subsequent simulations a protocol consisting of two replicates of 10 ns w as \nadopted. It can also be observed that the binding free energies followed a normal distribution (Figure S6 ) in both the short and long simulations, which indicates that the \nuse of mean value to represent the general binding free energy is reasonable. \nNN\nNH2NH2\nCmpd 3NN\nNH2NH2\nCmpd 4NN\nNH2NH2\nCmpd 6NN\nNH2NH2\nNN\nNH2NH2\nCmpd 2\nPDB: 3QYLCmpd 5\nPDB: 3QYONN\nNN H2N\nNH2N H\nN COO-\nO COO-NNO\nO\nO\nNH2H2N\nNN\nNH2H2N\nS\nClMTX TMP Cmpd 1\nPDB: 3KFY\nPDB: 3R33PDB: 1RX3 PDB: 1RX3\nPDB: 3QYLPDB: 3QYLKd: 0.021 nM Kd: 1.2 nM Kd: 120 nM\nKd: 230 nM Kd: 1000 nM Kd: 7000 nM Kd: 800 nM Kd: 43000 nM\n \nFigure S4 Compounds used for building the binding affinity prediction model \n \nFigure S5 Comparison of docked TMP in E. coli DHFR (cyan, PDB 1RX3) with \ncrystalized TMP with Staphylococcus aureus DHFR (salmon, PDB: 2W9G). \n \n \nFigure S6 Linear correlation between the computational and experimental binding Gibbs \nfree energies for eight compounds against WT E. coli DHFR (upper panel) . Normal \ndistribution of binding free energies (lower panel). \nAn evolutionary study (Oz et al., 2014; Toprak et al., 2012) of TMP resistance found \nthat three key resistance mutations P21L, A26T, L28R, and their combinations constitute \na set that recurrently occurred in two out of five independent evolution experim ents, and \ntheir order of fixation in both cases was similar. They are located within the binding \npocket of dihydrofolate substrate within a small region of eight residues in the DHFR \nprotein that constitutes a flexible Met- 20 loop (residues 9–24) and an α -helix (residues \n25–35). Thus, the correlation coefficient between the computational and experimental binding free energies for TMP for the three mutants as well as their double and triple \ncombinations were calculated. In addition, linear regression equatio n models to predict \nbinding free energy for TMP against mutant DHFR originated from Listeria grayi and \nChlamydia muridarum were also included. As shown in Figure S7 , from two replicates of \n10 ns simulation, the correlation coefficient (R) for L . grayi and C. muridarum DHFR \nwere 0.895 and 0.839, respectively. The reason why the prediction for L . grayi and C. \nmuridarum is somewhat inferior to E. coli DHFR (R=0.953 from Figure 1C in the \nmanusc ript) may be that the protein- ligand complexes used for MD simulation are \nderived from molecular modeling rather than from crystal structures . Still, the values of \nbinding free energy follow a normal distribution. Those models were used for scoring of \nvirtual screening hits later. \n \n \nFigure S7 Correlation and linear models for the calculated and experimental binding \nGibbs free energies for TMP against WT and mutant Listeria grayi (upper panel) and \nChlamydia muridarum DHFR (lower panel). Normal distribution of binding free energies \n(right panel). \nSelection of virtual screening hits \nStructure -based virtual screening ( SBVS ) can quickly select compounds with reasonable binding \npatterns and higher predicted scores from a large number of compound s. According to the \nworkflow (Figure 1A in the manuscript ), a total of about 1.8 million compounds from \nChemDiv and Life Chemicals were screened for compliance with the Lipinski’s rule of \nfive (Manto et al., 2018) , resulting in about 1.5 million compounds. Then, a virtual \nscreening process with three steps of different speed and precision including high \nthroughput virtual screening (HTVS), standard precision (SP) and extra precision (XP) \nwere respectively applied to the 1.5 million compounds. By setting different cutoff values \nfor the HTVS of - 5, SP of - 7 and XP of -5, about 2900 compounds were obtained. The \nprotein- ligand interaction of the crystal structures showed that Asp27 within the binding \npocket is one of the most critical for forming hydrogen bond with known inhibitors. A \ntotal of 491 hits having contacts with Asp27 were filtered . After visual selection, 307 out \nof 491 compounds were submitted for the molecular dynamics evaluation using the \npreviously selecte d DHFR crystal structure PDB 1RX3 as a target . Using the protocol \ndescribed above we predicted the binding affinit ies of all 307 compounds. With a cutoff \nvalue of less than - 20kcal/mol, 40 compounds were chosen for further analysis . For those \n40 compounds with 32 from the ChemDiv database and 8 from the life chemicals \ndatabase we calculated their binding affinity for the WT and three single , three double \nand one triple mutants based on P21L, A26T and L28R. Calculations predicted \nsignifica nt binding affinity for all compounds not only to the wild type DHFR, but also to \nthe DHFR mutants. \nBased on the principle that compounds with similar properties tend to have similar \nactivity (Kumar, 2011) , and to ensure that the selected compounds with chemical novelty, \nthree types of compound similarity based on Tanimoto coefficient (Zhang et al., 2013) \nwere compared between the selected hits with that of the known 183 DHFR inhibitors. \nThe Tanimoto coefficient uses the ratio of the intersecting set to the union set as the \nmeasure of similarity when each attribute is expressed in binary. Represented as a \nmathematical equation: \nABcT=abc+− \nIn this equation, individual fingerprint bits set in molecules A and B are represented by \na and b, respectively; and c is the intersection set. TAB value ranges from 0 to 1, where 0 \nrepresents that no same bits are detected; however, 1 does not mean that the two \nmolecules are totally identical. Two -dimensional (2D) physicochemical properties \nincluding molecular weight, AlogP, polar surface area, number of rotatable bonds, rings, \naromatic rings, hydrogen acceptors and hydrogen donors w ere compared from the two -\ndimensional property’s aspect. Interaction similarity based on protein- ligand interaction \n(Huovinen et al., 1995) were calculated to ensure that the selected compounds form \nsimilar interactions with the critical binding site pocket residues which can further \nguarantee their biological activity. ECFP4 (Zhang et al. , 2013) , an extended connectivity \nfingerprints which can represent the chemical diversity of compounds, was used to ensure \nthe wide chemical space and novelty in their structures. As shown in Figure S8 , the 2D \nphysicochemical properties similarity were concentrated in the range of 0.6 to 0.8 and the \nprotein- ligand interaction fingerprint ( PLIF ) similarities were mainly distributed i n the \nrange of 0.7 to 0.9 on the one hand, and the ECFP4 chemical similarit ies were only just \nbetween 0.2 to 0.3 on the othe r hand. This indicated that the selected hits were of similar \n2D physicochemical property and protein- ligand binding interaction but also possessed \nchemical diversity compared with the known DHFR inhibitors. For detailed analysis of the 2D properties for t he selected hits, the predicted values above mentioned properties \nincluding molecular weight, AlogP, polar surface area, number of rotatable bonds, rings, \naromatic rings, hydrogen acceptors and hydrogen donors were compared with that of the \nknown DHFR inhi bitors. It can be clearly seen from Figure S9 that those 2D properties \nshowed similar distributions with the positive controls, further proving the effectiveness \nof the selected hits. Finally , selected 40 hit compounds were submitted for purchase and \nbiological activity evaluation. \n \nFigure S8 Similarity comparison between the selected hits with the known inhibitors \nusing physicochemical properties, structure (represented by ECFP4) and PLIF. \n \n \n \nFigure S9 Two -dimensional chemical space of physiochemical properties for the selected \nhits with the known DHFR inhibitors . \n \n \n \nFigure S10 The initial inhibition rate of catalytic activity of the selected 40 hits against \nWT and three DHFR mutants at a single concentration of 200 µM . Each experiment was \nconducted in triplicates. \n \nTable S2 The Ki values (in µ M) for compounds CD15 and CD17. \nSpecies DHFR Type CD15 CD17 \nKi Value STDa Ki Value STD \nE. coli WT 3.35 0.28 8.18 0.29 \nP21L 1.42 0.04 3.7 0.13 \nA26T 2.43 0.37 6.73 1.02 \nL28R 1.04 0.07 3.65 0.33 \nP21L -A26T 3.26 0.21 9.2 0.71 \nP21L -L28R 0.56 0.05 1.37 0.06 \nA26T -L28R 0.61 0.04 2.04 0.11 \nL. grayi WT 5.01 0.29 16.17 1.7 \nC. muridarum WT 14.6 0.64 32.38 2.53 \nHuman WT 0.38 0.05 0.74 0.1 \naSTD means the standard error from three duplicate experiments. \n \n \n \nFigure S11: Growth rate profiles of WT E.coli cells with empty pBAD -plasmid and with \nWT DHFR and functionally inactive D27F mutant form of DHFR. Expression was \ninduced using 0.005% Arabinose and cells were grown in M9 media. Plot shows \noverexpression of functional form of DHFR i.e. WT DHFR can recover the growth rates \nof cells growing in presence of TMP. Overexpression of D27F failed to recover growth rates of TMP treated cells. \n \nReference s \nAgarwal, P.K., Billeter, S.R., Rajagopalan, P.T., Benkovic, S.J., and Hammes -Schiffer, S. (2002). \nNetwork of coupled promoting motions in enzyme catalysis. Proc Natl Acad Sci U S A 99, 2794 -\n2799. \nCarroll, M.J., Mauldin, R.V., Gromova, A.V., Singleton, S.F., Collins, E.J., and Lee, A.L. (2012). \nEvidence fo r dynamics in proteins as a mechanism for ligand dissociation. Nature chemical \nbiology 8, 246 -252. \nCheron, N., and Shakhnovich, E.I. (2017). Effect of sampling on BACE -1 ligands binding free \nenergy predictions via MM -PBSA calculations. J Comput Chem 38, 1941-1951. \nFalzone, C.J., Wright, P.E., and Benkovic, S.J. Dynamics of a flexible loop in dihydrofolate \nreductase from Escherichia coli and its implication for catalysis. Biochemistry 33, 439 -442. \nHuovinen, P., Sundström, L., Swedberg, G., and Sköld, O. (1995). Minireview. Trimethoprim and sulfonamide resistance. Antimicrobial Agents & Chemotherapy 39, 279 -289. \nKumar, A. (2011). Investigation of structures similarity of organic substances. Resonance 16, 61 -\n64. \nManto, C.C., Sara, M., and Laleh, A. (2018). Drug Metabolites and their Effects on the \nDevelopment of Adverse Reactions: Revisiting Lipinski’s Rule of Five. International Journal of Pharmaceutics 549, 133 -149. \nOz, T., Guvenek, A., Yildiz, S., Karaboga, E., Tamer, Y.T., Mumcuyan, N., Ozan, V.B., Sentu rk, G.H., \nCokol, M., Yeh, P. , et al. (2014). Strength of selection pressure is an important parameter \ncontributing to the complexity of antibiotic resistance evolution. Mol Biol Evol 31, 2387- 2401. \nSawaya, M.R., and Kraut, J. (1997). Loop and subdomain mov ements in the mechanism of \nEscherichia coli dihydrofolate reductase: crystallographic evidence. 36, 586. \nToprak, E., Veres, A., Michel, J. -B., Chait, R., Hartl, D.L., and Kishony, R. (2012). Evolutionary \npaths to antibiotic resistance under dynamically su stained drug selection. Nature genetics 44, \n101-105. \nZhang, Y., Yang, S., Jiao, Y., Liu, H., Yuan, H., Lu, S., Ran, T., Yao, S., Ke, Z., and Xu, J. An Integrated Virtual Screening Approach for VEGFR -2 Inhibitors. Journal of Chemical Information & Modeling \n53, 3163 -3177. \nZhang, Y., Yang, S., Jiao, Y., Liu, H., Yuan, H., Lu, S., Ran, T., Yao, S., Ke, Z., and Xu, J. (2013). An Integrated Virtual Screening Approach for VEGFR -2 Inhibitors. Journal of Chemical Information & \nModeling 53, 3163 -3177. \n Compound ID Source Database Compound Code (ChemDiv) Docking Score\nCD01 ChemDiv 4361-0444 -6.969\nCD02 ChemDiv 6773-1654 -9.195\nCD03 ChemDiv 8019-6404 -7.433\nCD04 ChemDiv 8011-5588 -9.354\nCD05 ChemDiv 8019-8601 -8.003\nCD06 ChemDiv D043-0124 -6.264\nCD07 ChemDiv 7999-4501 -5.317\nCD08 ChemDiv 7999-4516 -7.295\nCD09 ChemDiv 7999-4493 -5.343\nCD10 ChemDiv 8020-4876 -6.782\nCD11 ChemDiv 8020-0785 -6.778\nCD12 ChemDiv 8020-5139 -7.117\nCD13 ChemDiv 8009-3393 -6.826\nCD14 ChemDiv D364-1686 -5.992\nCD15 ChemDiv D364-0564 -5.502\nCD16 ChemDiv 4891-2123 -6.856\nCD17 ChemDiv 3739-0010 -6.906\nCD18 ChemDiv 5042-0801 -6.756\nCD19 ChemDiv 8004-4149 -6.742\nCD20 ChemDiv 8005-2585 -6.42\nCD21 ChemDiv 3729-2217 -6.569\nCD22 ChemDiv 4449-1085 -5.952\nCD23 ChemDiv 1016-0032 -6.26\nCD24 ChemDiv 5107-0106 -6.416\nCD25 ChemDiv 4965-0168 -5.443\nCD26 ChemDiv 0929-0063 -5.397\nCD27 ChemDiv D451-1524 -5.835\nCD28 ChemDiv 8017-8695 -5.127\nCD29 ChemDiv 8011-1945 -7.227\nCD30 ChemDiv 7706-1220 -7.933\nCD31 ChemDiv D364-1754 -5.767\nCD32 ChemDiv F2209-0009 -7.011\nCompound ID Source Database Compound Code (Life Chemicals) docking score\nLC01 Life Chemicals F9995-0268 -8.157\nLC02 Life Chemicals F6497-6796 -6.351\nLC03 Life Chemicals F1967-0017 -5.632\nLC04 Life Chemicals F3184-0059 -6.377\nLC05 Life Chemicals F6497-5769 -6.856\nLC06 Life Chemicals F6497-5836 -7.509\nLC07 Life Chemicals F6497-5805 -6.604\nLC08 Life Chemicals F1765-0082 -7.538 Compound ID SourceName Compound code (ChemDiv) docking score\nCD15 ChemDiv D364-0564 -6.26\nCD15-1 ChemDiv D364-0563 -6.09\nCD15-2 ChemDiv D364-0577 -6.60\nCD15-3 ChemDiv D364-0578 -6.03\nCD15-4 ChemDiv D364-0576 -5.69\nCD15-5 ChemDiv 8012-9116 -5.80\nCD15-6 ChemDiv D364-0566 -6.15\nCD17 ChemDiv 3739-0010 -7.04\nCD17-1 ChemDiv 2817-0146 -7.87\nCD17-2 ChemDiv 2897-1527 -7.58\nCD17-3 ChemDiv 3699-1145 -7.37\nCD17-4 ChemDiv 2897-0417 -7.60\nCD17-5 ChemDiv 8008-9070 -7.09\nCD17-6 ChemDiv 2817-0079 -7.40Glide RMSD(XP to S Calculated ΔG for WT M Molecular_Wei Num_H_Donors Num_H_Accept\n0.449 -31.54340 341.114 3 5\n0.161 -28.83145 334.395 2 6\n0.003 -26.86650 232.199 5 5\n0.414 -26.69280 179.214 2 0\n0.338 -21.62680 241.209 1 1\n0.104 -21.00510 255.275 2 5\n0.032 -36.12370 346.338 3 7\n0.843 -26.73540 302.285 4 6\n0 -20.00060 270.287 3 4\n0.142 -30.08950 349.405 2 6\n0.458 -30.05510 349.362 2 7\n0.066 -27.22290 284.336 2 4\n0.015 -24.14395 327.252 3 7\n0.262 -36.28520 448.493 2 8\n0.061 -33.75800 346.338 3 7\n0.471 -24.58235 405.403 2 5\n0.01 -20.23215 334.281 3 7\n0.938 -26.80810 338.357 1 5\n0.397 -21.79460 292.16 1 2\n0.001 -21.34925 213.283 2 6\n0.004 -20.56175 244.676 1 2\n0.153 -28.08425 447.236 3 6\n0.55 -22.55530 309.298 3 7\n0.588 -21.23050 261.237 3 8\n0.175 -20.85190 218.255 2 4\n0.431 -20.18265 265.285 1 5\n0.048 -27.34900 383.871 2 2\n0.45 -20.82425 371.347 2 7\n0.78 -20.92805 333.342 2 4\n0.92 -20.27730 342.346 2 6\n0.20 -34.85515 388.375 2 7\n3.378 -22.16185 281.306 1 3\nglide rmsd(XP to SP) ΔG for WT MD Molecular_Wei Num_H_Donors Num_H_Accept\n0.007 -29.21460 195.22 3 2\n0.056 -27.10690 382.39 3 4\n0.056 -20.55160 255.63 2 3\n6.596 -20.30705 397.45 2 7\n0.963 -26.84855 447.51 2 6\n0.178 -21.07285 338.81 2 3\n0.19 -20.90360 365.39 2 4\n0.439 -30.32630 353.37 2 6Hits Informationglide rmsd to input MD ΔG for WT Molecular_Wei Num_H_Donors Num_H_Accept\n0.0005 -33.75850 346.34 3 7\n0.0005 -31.20530 330.34 3 6\n0.0005 -33.23110 332.31 4 7\n0.0005 -32.09240 336.35 3 5\n0.0005 -28.01960 330.30 3 7\n0.0005 -25.36605 289.25 4 6\n0.0006 -30.66325 376.36 3 8\n0.6384 -20.23215 334.28 3 7\n0.2635 -21.64780 369.12 3 6\n0.1211 -20.44430 320.25 3 7\n0.0005 -25.89070 304.26 3 6\n0.0005 -22.35690 359.12 3 6\n0.0005 -21.95720 266.25 2 3\n0.0005 -23.77155 445.22 3 6ALogP Num_Rota Num_Ring Num_Arom Molecular_FractionalPola ECFP4 Similarity with known DHF \n0.95 4 2 1 0.381 0.195\n2.355 2 3 2 0.403 0.191\n-1.927 0 3 2 0.647 0.19\n0.751 2 2 2 0.246 0.16\n2.869 3 2 2 0.18 0.308\n1.987 2 3 2 0.35 0.322\n0.365 4 3 1 0.371 0.297\n0.155 2 3 1 0.446 0.289\n0.9 1 3 1 0.377 0.283\n1.936 5 3 2 0.326 0.258\n1.165 3 4 2 0.421 0.203\n1.864 2 3 2 0.393 0.239\n0.556 2 3 1 0.543 0.264\n2.217 6 3 1 0.331 0.195\n0.606 4 3 1 0.339 0.19\n2.979 6 4 3 0.262 0.182\n0.661 6 2 1 0.417 0.208\n2.034 4 4 2 0.263 0.16\n4.284 3 2 2 0.159 0.203\n0.981 1 2 2 0.702 0.261\n3.198 1 3 3 0.231 0.196\n2.665 6 3 2 0.302 0.191\n1.981 4 2 1 0.529 0.193\n0.684 4 2 1 0.482 0.164\n0.918 0 3 2 0.349 0.2\n1.909 3 2 1 0.362 0.232\n3.995 4 3 2 0.165 0.184\n0.614 4 4 2 0.337 0.224\n0.475 2 3 1 0.341 0.235\n0.846 4 3 1 0.302 0.192\n0.473 4 3 1 0.321 0.182\n3.552 3 3 3 0.235 0.258\nALogP Num_Rota Num_Ring Num_Arom Molecular_FractionalPola ECFP4 Similarity with known DHF \n1.429 1 2 2 0.583 0.185\n2.288 4 4 3 0.337 0.167\n1.421 1 2 1 0.336 0.302\n3.086 6 3 2 0.337 0.216\n0.637 5 4 2 0.356 0.169\n2.245 3 3 2 0.386 0.16\n2.525 4 4 3 0.34 0.167\n1.202 4 3 1 0.304 0.23 ALogP Num_Rota Num_Ring Num_Arom Molecular_FractionalPola PLIF Similarity with CD15 or CD1\n0.61 4 3 1 0.34 1.00\n0.97 4 3 1 0.33 1.00\n0.38 3 3 1 0.40 0.89\n1.55 2 4 2 0.31 0.88\n0.41 2 4 1 0.38 0.88\n-0.94 3 2 1 0.49 0.78\n0.59 5 3 1 0.33 0.50\n0.66 6 2 1 0.42 1.00\n1.08 4 2 1 0.41 0.93\n0.31 5 2 1 0.44 0.79\n0.81 4 2 1 0.43 0.63\n1.66 4 2 1 0.39 0.60\n1.77 1 3 2 0.31 0.50\n2.81 5 3 2 0.33 0.47 FR inhibitors\n FR inhibitorsName Type Minimum Maximum\nporin CDS CDS 571214 572281\nLysis protein S homolog from lambdoid prophage DLP12 CDS CDS 572854 573069\nlysozyme RrrD CDS CDS 573069 573566\nDLP12 prophage; murein endopeptidase CDS CDS 573563 574024\nLipoprotein bor homolog from lambdoid prophage DLP12 CDS CDS 574056 574349\nDLP12 prophage; uncharacterized protein CDS CDS 574640 575050\nDLP12 prophage; uncharacterized protein CDS CDS 575336 575542\nDUF3950 domain-containing protein CDS CDS 575707 575901\nDNA-packaging protein CDS CDS 576049 576150\nDNA-packaging protein NU1 homolog CDS CDS 576290 576835\ntail assembly protein CDS CDS 576810 577553\nSAM-dependent methyltransferase CDS CDS 577608 578039\nphage tail protein CDS CDS 578331 578591\ntranscriptional regulator CDS CDS 579137 579886\nprotease 7 CDS CDS 580136 581089\nporin thermoregulatory protein EnvY CDS CDS 581603 582364\nPRK09936 family protein CDS CDS 582547 583437\nbacteriophage N4 adsorption protein A CDS CDS 583438 586410\nnfrB CDS CDS 586397 588634\ntwo-component sensor histidine kinase CDS CDS 588784 590226\nDNA-binding response regulator CDS CDS 590216 590899\ncation efflux system protein CusC CDS CDS 591056 592429\ncation efflux system protein CusF CDS CDS 592587 592919\ncation efflux system protein CusB CDS CDS 592935 594158\ncation efflux system protein CusA CDS CDS 594170 597313\nphenylalanine-specific permease CDS CDS 597415 598791\nminiconductance mechanosensitive channel YbdG CDS CDS 598872 600119\nNAD(P)H-dependent oxidoreductase CDS CDS 600227 600880\nDUF419 domain-containing protein CDS CDS 600974 601342\nDUF1158 domain-containing protein CDS CDS 601407 601655\nweak gamma-glutamyl:cysteine ligase CDS CDS 601721 602839\nprotein HokE CDS CDS 603292 603444\ntransposase CDS CDS 603521 604633\n4'-phosphopantetheinyl transferase CDS CDS 604915 605544\nferrienterobactin receptor CDS CDS 605710 607950\nenterochelin esterase CDS CDS 608193 609395\nenterobactin biosynthesis protein YbdZ CDS CDS 609398 609616\nentF CDS CDS 609613 613494\nferric enterobactin transporter FepE CDS CDS 613710 614843\nferric enterobactin transport ATP-binding protein FepC CDS CDS 614840 615655\nferric anguibactin ABC transporter permease CDS CDS 615652 616644\nferric anguibactin ABC transporter permease CDS CDS 616641 617645\nenterobactin exporter EntS CDS CDS 617756 619006\nferrienterobactin-binding periplasmic protein CDS CDS 619010 619966\nisochorismate synthase EntC CDS CDS 620341 621516\nentE CDS CDS 621526 623136enterobactin synthase component B CDS CDS 623150 624007\n2,3-dihydro-2,3-dihydroxybenzoate dehydrogenase CDS CDS 624007 624753\nproofreading thioesterase EntH CDS CDS 624756 625169\ncarbon starvation protein A CDS CDS 625350 627455\noxidoreductase CDS CDS 627845 628933\nmethionine aminotransferase CDS CDS 629042 630202\nphosphoadenosine phosphosulfate reductase CDS CDS 630805 632025\ntranscriptional regulator CDS CDS 632172 633074\ndsbG CDS CDS 633283 634029\nalkyl hydroperoxide reductase subunit C CDS CDS 634401 634964\nalkyl hydroperoxide reductase subunit F CDS CDS 635209 636774\nuniversal stress protein G CDS CDS 636895 637323\nglutathione-dependent formaldehyde dehydrogenase CDS CDS 637544 638782\nnucleoside diphosphate kinase regulator CDS CDS 639013 639423\nribonuclease I CDS CDS 639653 640459\nanion permease CDS CDS 640573 642036\ncitG CDS CDS 642087 642965\ncitX CDS CDS 642940 643491\ncitrate lyase alpha chain CDS CDS 643495 645027\ncitrate lyase subunit beta CDS CDS 645038 645946\ncitrate lyase ACP CDS CDS 645943 646239\n[citrate (pro-3S)-lyase] ligase CDS CDS 646254 647312\ndpiB CDS CDS 647691 649349\ndpiA CDS CDS 649318 649998\ndcuC CDS CDS 650039 651424Length Direction Category\n1068 reverse Transporter and Pumps\n216 forward Phage Element\n498 forward Phage Element\n462 forward Phage Element\n294 reverse Phage Element\n411 reverse Phage Element\n207 forward Phage Element\n195 reverse Phage Element\n102 forward Phage Element\n546 forward Phage Element\n744 forward Phage Element\n432 reverse Metabolic\n261 forward Phage Element\n750 forward Transcription-Translation Regulation\n954 reverse Transporter and Pumps\n762 reverse Transporter and Pumps\n891 reverse Transporter and Pumps\n2973 reverse Phage element\n2238 reverse Phage element\n1443 reverse Transporter and Pumps\n684 reverse Transporter and Pumps\n1374 forward Transporter and Pumps\n333 forward Transporter and Pumps\n1224 forward Transporter and Pumps\n3144 forward Transporter and Pumps\n1377 forward Transporter and Pumps\n1248 reverse Transporter and Pumps\n654 reverse Metabolic\n369 reverse Phage element\n249 reverse Phage element\n1119 reverse Metabolic\n153 forward Transcription-Translation Regulation\n1113 forward Transposable Element\n630 reverse Transposable Element\n2241 reverse Transporter and Pumps\n1203 forward Transporter and Pumps\n219 forward Transporter and Pumps\n3882 forward Transporter and Pumps\n1134 forward Transporter and Pumps\n816 reverse Transporter and Pumps\n993 reverse Transporter and Pumps\n1005 reverse Transporter and Pumps\n1251 forward Transporter and Pumps\n957 reverse Transporter and Pumps\n1176 forward Transporter and Pumps\n1611 forward Transporter and Pumps858 forward Transporter and Pumps\n747 forward Metabolic\n414 forward Metabolic\n2106 forward Metabolic\n1089 reverse Metabolic\n1161 forward Metabolic\n1221 reverse Metabolic\n903 reverse Transcription-Translation Regulation\n747 reverse Transcription-Translation Regulation\n564 forward Other (Chaperone) \n1566 forward Other (Stress Response Gene) \n429 reverse Other (Stress Response Gene)\n1239 forward Metabolic\n411 reverse Metabolic \n807 reverse Transcription-Translation Regulation\n1464 reverse Transporter and Pumps\n879 reverse Metabolic \n552 reverse Metabolic \n1533 reverse Metabolic \n909 reverse Metabolic\n297 reverse Metabolic\n1059 reverse Metabolic\n1659 forward Metabolic\n681 forward Transcription-Translation Regulation\n1386 reverse Transporter and Pumps" }, { "title": "1312.5338v1.Cyclic_electric_field_stress_on_bipolar_resistive_switching_devices.pdf", "content": "arXiv:1312.5338v1 [cond-mat.mtrl-sci] 18 Dec 2013Cyclic electric field stress on bipolar resistive switching devices\nA. Schulman1,∗and C. Acha1,†\n1Laboratorio de Bajas Temperaturas - Departamento de F´ ısic a -\nFCEyN - Universidad de Buenos Aires and IFIBA - CONICET,\nPabell´ on I, Ciudad Universitaria, C1428EHA Buenos Aires, Argentina\n(Dated: April 15, 2021)\nWe have studied the effects of accumulating cyclic electrica l pulses of increasing amplitude on the\nnon-volatile resistance state of interfaces made by sputte ring a metal (Au, Pt) on top of the surface\nof a cuprate superconductor YBa 2Cu3O7−δ(YBCO). We have analyzed the influence of the number\nof applied pulses Non the relative amplitude of the remnant resistance change b etween the high\n(RH) and the low ( RL) state [( α= (RH−RL)/RL] at different temperatures ( T). We show that\nthe critical voltage ( Vc) needed to produce a resistive switching (RS, i.e. α >0) decreases with\nincreasing NorT. We also find a power law relation between the voltage of the pu lses and the\nnumber of pulses Nα0required to produce a RS of α=α0. This relation remains very similar to\nthe Basquin equation used to describe the stress-fatigue li fetime curves in mechanical tests. This\npoints out to the similarity between the physics of the RS, as sociated with the diffusion of oxygen\nvacancies induced by electrical pulses, and the propagatio n of defects in materials subjected to\nrepeated mechanical stress.\nPACS numbers: 73.40.-c, 73.40.Ns, 74.72.-h\nKeywords: Resistive switching, Superconductor, Memory eff ects, Fatigue\nI. INTRODUCTION\nThe search of new non-volatile memories is reinforced\nnowadays by the necessity of producing more dense, less\ndissipative and low cost devices.1Memories based on the\nresistive switching (RS) mechanism on metal-oxide in-\nterface are marked as one of the most promising candi-\ndates for the next generation of memory applications.2,3\nA typical RS device consists of an interface between a\nmetal and an oxide which can be either in a capacitor-\nlike form or in a planar structure. Depending on the\noxide, the mechanism beneath the RS can give rise to\na polarity-independent filamentary effect (typically ob-\nserved for binary oxides)4or to a polarity-sensitive one\n(observed for complex-oxides) associated with interfacial\nproperties5,6, although exceptions can be observed in\nboth categories.7,8\nWhile significant advances have been made in improv-\ning device performances, understanding their underlying\nphysics still represents a great challenge. In addition to\nscalability, fast response, repeatability, retentivity and\nlow power consumption, endurance is one of the proper-\nties that these memories must fulfill.9The cyclic electric\nfield stress that implies the repeated switching of the de-\nvice may produce an accumulation of defects that would\naffect its electrical properties. As during the normal op-\neration of a device out of the range high and low resis-\ntance states can be produced, errorcorrection techniques\nmust be taken into account.10These techniques can be\nbased on feedback protocols in order to achieve, for ex-\nample, a RS with resistance values in the desired range.\nThis is the particular point that we address in this\npaper, by analyzing the evolution of the remanent resis-\ntance of a bipolar device composed by metal-perovskite\n[(Au,Pt)-YBCO] junctions upon the application of acyclic accumulation of pulses. In this type of devices,\nby considering that the resistance of the interface is pro-\nportional to the density of vacancies, the RS mecha-\nnism was associated with the electromigration of oxy-\ngen vacancies.11Our results indicate that the mechanism\nthat determines the evolution of the remnant resistance\nupon the application of a cyclic accumulation of pulses\npresents close similarities to the one governing the prop-\nagation of fractures during a mechanical-fatigue test on\na material.12\nII. EXPERIMENTAL\nTo study the dependence of the bipolar RS with cyclic\nelectric field stress we sputtered four metallic electrodes\non one of the faces of a good quality YBCO textured\nceramic sample (see the inset of Fig. 1). The width\nof the sputtered electrodes was in the order of 1 mm\nwith a mean separation between them of 0.4 mm to 0.8\nmm. They cover the entire width of one of the faces of\nthe YBCO slab (8x4x0.5 mm3). Silver paint was used\nto fix copper leads carefully without contacting directly\nthe surface of the sample. Details about the synthe-\nsis and the RS characteristics of the metal-YBCO in-\nterfaces can be found elsewhere.11,13–18We choose as\nmetals Au and Pt for the pair of pulsed electrodes, la-\nbeled 1 and 2, respectively. As we have shown previ-\nously, the Pt-YBCO interfaces have a lower resistance\nvalue than the Au-YBCO ones ( R(Pt)<∼R(Au)/3), and\na small RS amplitude. In this way, only the Au-YBCO\n(1) electrode will be active, simplifying the effects pro-\nduced upon voltage pulsing treatments. After applying\na burst of N(104≤N≤5.105) unipolar square volt-\nage pulses (100 µs width at 1 kHz rate), the remnant2\nresistance of each pulsed contact was measured using a\nsmall current through contacts 1-2 and a convenient set\nof additional Au contacts. To estimate the resistance\nR(Au) andR(Pt), of the active Au-YBCO and of the\nPt-YBCO interfaces, respectively, the voltage difference\nbetween electrodes 1-3 and 4-2 was measured. Correc-\ntions toR(Au) andR(Pt) by considering the resistance\nof the bulk YBCO are negligible taking into account that\nits value is only ≃0.1Ω≪50Ω< R(Au),R(Pt) in this\ntemperature range. We want to note that the polarity\nof the pulses was defined arbitrarily with the ground ter-\nminal connected to the Au-YBCO contact. Temperature\nwas measured with a Pt thermometer in the 200 K to\n340K rangeand stabilized better than at 0.5% after each\npulsing treatment.\nTo perform a cyclic electric field stress experiment at\na fixed temperature T0, temperature is initially stabi-\nlized. As no electroforming step is needed, we initially\nset the active Au-YBCO electrode to its low resistance\nstate (R(Au)L) with a burst of pulses of -5 V ampli-\ntude while, in a complementary manner, the Pt-YBCO\nelectrode is in its high resistance state ( R(Pt)H). Note\nhere that as the Au-YBCO electrode is the ground termi-\nnal a negative pulse indicates that its potential is higher\nthan that of the Pt-YBCO electrode. Consequently the\ndensity of oxygen vacancies near the Au-YBCO interface\nshould decrease (as they are positive charged defects),\nreducing the interfacial resistance, as we observe, in ac-\ncordance to the voltage enhanced oxygen vacancy model\nthat describes RS for bipolar devices.11\nAs mentioned previously, no relevant changes are ex-\npected in this electrode resistance ( R(Pt)L≃R(Pt)H).\nThen we apply a ”reset” burst of Nunipolar pulses with\naVpulseamplitude during a time t0(from 10 s to 500\ns, depending on the Nvalue). Although the temper-\nature is constantly stabilized to T0, in order to avoid\noverheating effects on the resistance measurements, a\ntimet0is waited before measuring the remnant resis-\ntance of each pulsed contact ( R(Au)HandR(Pt)L). Af-\nter that, a ”set” burst of maximum opposite polarity (-\nVmax\npulse=−5V) is applied to subject the material to a\ncyclic stress. In this way the resistance change is par-\ntially recovered and both remnant resistances are mea-\nsured again ( R(Au)LandR(Pt)H). The process is then\ncompletely repeated for a new Vpulsevalue, increased\nwith a fixed step, until it reaches our experimental max-\nimum (Vmax\npulse= 5V).\nIII. RESULTS AND DISCUSSION\nA typical result of a cyclic electric field stress experi-\nment at a fixed number of pulses of the burst ( N= 80 k\npulses)andtemperature(240K)isshowninFig.1, where\nwe have plotted the remnant resistances of each pulsed\nelectrode (Au and Pt) in both high and low states as a\nfunction of the ”reset” amplitude of the pulse ( Vpulse).\nVpulsecorresponds to the voltage drop measured at eachFIG. 1. (Color online) Dependence with the amplitude of\nN=80.103square pulses ( Vpulse) of the remanent resistance\nof each contact (low state and high state for the Au and Pt\ninterfaces, respectively). The Vpulsemagnitude is different for\neach contact as it corresponds to their effective voltage dro p.\n100µs pulses were applied at 1 kHz rate at a constant tem-\nperature (240 K). The high and thelow state of these contacts\nobtained after applying - Vmax\npulseis also shown for comparison.\nLines are guides to the eye.\nparticular electrode. We can observe that, as expected,\nR(Pt)H≃R(Pt)Lfor the smaller voltage drop explored,\nwhileR(Au)H> R(Au)LforVpulsehigher than a criti-\ncal voltage ( Vc), indicating the existence of RS for this\nelectrode. Hereafter we will show results only for this\nAu-YBCO active electrode.\nThe relative amplitude of the remnant resistance\nchange between the high ( RH) and the low ( RL) state\nis defined as α= (RH−RL)/RL= ∆R/RL. It can be\nnoted that while α≃0 forVpulse≤VcbothR(Au)Hand\nR(Au)Ldecrease with increasing Vpulse. This is a con-\nsequence of the protocol used for this particular cyclic\ntreatment that forces R(Au)Lto a lower value than the\ninitial one, as for each reset burst of amplitude Vpulsea\nset burst ofamplitude - Vmax\npulseis applied. This situationis\nreversed for Vpulse≥Vcwere the increase in R(Au)Hob-\ntained is not completely recovered when the set protocol\nis applied.\nThe dependence of αwith the amplitude Vpulseof the\nburst of Npulses at different temperatures can be de-\npicted in Fig. 2. A noisy α <0.1 is obtained until\nVpulse≥Vc, where αincreases with ( Vpulse−Vc), fol-\nlowing a power law-like behavior. As temperature is in-\ncreased, Vcdecreases linearly and αreaches higher val-\nues. A similar behavior occurs when performing the ex-\nperiment at a fixed temperature and increasing the num-3\n/s99/s41/s98/s41\n/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49/s49/s46/s50/s49/s46/s52\n/s48 /s48/s46/s53 /s49 /s49/s46/s53 /s50 /s50/s46/s53 /s51 /s51/s46/s53 /s52/s50/s50/s48\n/s50/s54/s48\n/s50/s56/s48\n/s51/s48/s48\n/s51/s52/s48\n/s86/s112/s117/s108/s115/s101/s32/s40/s86/s41/s84/s32/s40/s75/s41/s78/s32/s61/s32/s53/s48/s48/s32/s32/s49/s48/s51\n/s32/s112/s117/s108/s115/s101/s115/s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49\n/s48 /s48/s46/s53 /s49 /s49/s46/s53 /s50 /s50/s46/s53 /s51 /s51/s46/s53 /s52/s50/s52/s48\n/s50/s54/s48\n/s50/s56/s48\n/s51/s48/s48\n/s86/s112/s117/s108/s115/s101/s32/s40/s86/s41/s84/s32/s40/s75/s41/s78/s32/s61/s32/s49/s48/s48/s32/s49/s48/s51\n/s32/s112/s117/s108/s115/s101/s115/s48/s48/s46/s49/s48/s46/s50/s48/s46/s51/s48/s46/s52/s48/s46/s53/s48/s46/s54/s48/s46/s55/s48/s46/s56\n/s48 /s48/s46/s53 /s49 /s49/s46/s53 /s50 /s50/s46/s53 /s51 /s51/s46/s53 /s52/s50/s50/s48\n/s50/s52/s48\n/s50/s54/s48\n/s50/s56/s48\n/s51/s48/s48\n/s51/s52/s48\n/s86/s112/s117/s108/s115/s101/s32/s40/s86/s41/s84/s32/s40/s75/s41/s78/s32/s61/s32/s54/s48/s32/s49/s48/s51\n/s32/s112/s117/s108/s115/s101/s115/s97/s41\nFIG. 2. (Color online) Relative variation of the remanent re -\nsistance ( α= ∆R/RL) of the Au-YBCO interface as a func-\ntion of the amplitude of the reset pulses ( Vpulse) at different\ntemperatures. The pulse treatment corresponds to a) N = 60\n103b) N = 100 103and c) N = 500 103square pulses with the\nsame characteristics described in the text. Lines are guide s\nto the eye./s48/s48/s46/s50/s48/s46/s52/s48/s46/s54/s48/s46/s56/s49\n/s48 /s48/s46/s53 /s49 /s49/s46/s53 /s50 /s50/s46/s53 /s51 /s51/s46/s53 /s52/s32/s52/s48/s32/s107\n/s32/s56/s48/s32/s107\n/s53/s48/s48/s32/s107\n/s86/s112/s117/s108/s115/s101/s32/s40/s86/s41/s78/s32/s40/s112/s117/s108/s115/s101/s115/s41/s84/s32/s61/s32/s50/s54/s48/s32/s75\nFIG. 3. (Color online) αas a function of the amplitude of\nthe reset pulses ( Vpulse) at 260 K, varying the number Nof\napplied pulses. Lines are guides to the eye.\nberNof pulses conforming the burst, as it is shown in\nFig. 3. In this case, αandVcvaries logarithmically with\nN(not shown here). The former was also observed for\nAg-manganite interfaces when Npulses of the same po-\nlarity were accumulated.19\nIn a mechanical fatigue test the material is subjected\nto a cyclic stress. Fatigue occurs when, for a stress ( S)\nabove a certain threshold, a defect zone progresses along\nthe material until a fracture occurs for Nαcycles, which\ncorresponds to the number of cycles to failure. S−Nα\ncurves(or W¨ ohlerscurves20) can then be plotted in order\nto represent the lifetime of a meterial subjected to these\nconditions.\nHere, for bipolar devices, it is known that the RS is\nassociated with the electro-migration of vacancies in and\nout of the active metal-oxide interface.11We can expect\nthat a severe pulsing treatment may generate extended\nvacancy defects, but, as far as we know, in the electric\nfield range explored, no macroscopic cracks were ever ob-\nserved.21So, it is necessary to define what can we call\nas an electric failure of the device. As possible scenar-\nios, it can be expected that an arbitrary value of αcan\nbe obtained if the density of vacancies near the active\ninterface (ai) can be reversibly increased and decreased\nmaking that R(ai)H≫R(ai)L. In this case there is\nnot a proper failure. Instead, more than a failure crite-\nria, a value to achieve can be established, as for example\nα≥α0, which can be actually considered as a condition\nto fulfill for the reliable operation of the device. This can\nbe the particular case of our devices, where a moderate4\nRS can be obtained ( α<∼1), with αincreasing in the\nwholeVpulserange explored.\nAdditional scenarios can be considered, like the case\nwhereαsaturates, reaching a maximum, indicating that\nadynamic equilibriumis establishedbetween the number\nof vacancies generated by the pulses and the vacancies\nfilled with oxygens. Other possible failure scenario is the\none that can be observed in unipolar devices, where a\nfailure occurs when the low state requires a very high\ncurrent to be reset22. In this case, a proper RS failure is\nobtainedandcorrespondsto α= 0inthewholeoperating\nVpulserange.\nAs mentioned previously, in our experimental case we\nchoose to define arbitrarily that a failure occurs when\nαreaches a predetermined value ( α0). With the results\npresented in Fig. 2 and 3, a typical stress-fatigue lifetime\ncurve(or V−Nαcurve), shownin Fig. 4, canbe obtained\nas an answer to the question of which is the number of\npulsesNα0of amplitude Vpulseneeded to produce an ar-\nbitrarily fixed value of α=α0at a temperature T=T0\n(240 K). Different values of α0were considered (10%,\n15%, 20%, 30%) to check the sensitivity to its particular\nvalue. Independently of the value of α0, a power law is\nobtained between VpulseandNα, which, in fact, can be\nassociated with the Basquin equation that describes the\nS−Nαcurves in material fatigue experiments:\nVpulse=ANβ\nalpha, (1)\nwhereAis a constant and βthe Basquin exponent.\nIndependently of the failure criteria adopted we ob-\ntained very similar curves with nearly the same exponent\n−0.1<∼β<∼−0.07. Surprisingly, this particular value is\ntypical for most of the mechanical fatigue test performed\non metals.12\nIf after a reset (or a set) pulsing protocol of N1pulses\nof amplitude V(1)\npulsethe obtained α1is out of the targeted\nrange (α2±∆α), the results showed in Fig. 4 can be very\nuseful in order to establish a first order feedback protocol\nto correct this issue with a single burst of pulses. By\nconsidering the sensitivity to αof the Basquin curves at\na fixedV(1)\npulseor at a fixed number of pulses N1, two\ndifferent strategies can be envisioned: to apply a new\nburst of N2pulses at fixed V(1)\npulse, or to fix the number\nof pulses to N1and modify the amplitude V(2)\npulse(see\nFig. 4). In order to reach the targeted α2, it can be\nshown that if the voltage is kept constant, N2= (1 +\nǫ)−β−1N1, while if the number of applied pulses is kept\nconstant, the correction algorithm will be V(2)\npulse=(1 +\nǫ)V(1)\npulse[withǫ=∂Vpulse\n∂α(α2−α1)\nV(1)\npulse]. If we consider the\ndata presented in Fig.4, in order to produce a correction\nto the targeted αof 0.2 (from 0.1 to 0.3), as - β−1≃14\nwe obtain that ǫ≃0.16, which indicates that the best\nstrategyistomodify Vpulsebya16%insteadofincreasing\n≃8 times the number of applied pulses, which increases\nproportionally the time needed to correct α./s50/s51/s52\n/s49/s48/s52\n/s49/s48/s53/s86/s112/s117/s108/s115/s101/s32/s40/s86/s41\n/s78/s49/s48/s37/s49/s53/s37/s50/s48/s37/s51/s48/s37/s50/s52/s48/s32/s75\n/s78\n/s49/s78\n/s50/s86\n/s112/s117/s108/s115/s101/s86\n/s112/s117/s108/s115/s101\n/s40/s49/s41/s40/s50/s41\nFIG. 4. (Color online) Electric field stress lifetime curves (V-\nN curves) at 240 K, where the failure criteria corresponds\nto an arbitrarily value of α= 10 to 30 %. Dotted lines are\nfits corresponding to Eq. 1. Slash-dotted lines indicate two\npossible correction protocols to modify an obtained α1=10%\nto a targeted α2=30% (see text).\nOther general result in material fatigue experiments,\nit is observed that, due to the temperature dependence\nofplastic deformation, adecreasein testing temperatures\nshifts the SNαcurves towards higher fatigue strengths.23\nIn Fig. 5 we have plotted our V−Nαcurves considering\na fixedα= 20% where this behavior is also reproduced.\nSimilar results were obtained for other α0values.\nA possible interpretation of these results indicates that\nthe physics behind the electric field assisted propagation\nof vacancies is similar to the propagation of defects pro-\nduced during a cyclic mechanical fatigue stress to a ma-\nterial. In fact ifafracturecan be consideredasthe conse-\nquence of an accumulation of interatomic bonds ruptures\nour results are consistent with a framework were oxygen\ndiffuses producing correlated defects as twins or stacking\nfaults. As the remnant resistance of the metal-oxide in-\nterface is considered proportional to the vacancy density\nnear the interface11, the observed increase of αwith the\nnumber of cycles is then a natural consequence of the\noxygen vacancy production rate.\nIV. CONCLUSIONS\nWe have studied the sensitivity of the remnant RS\nchange to the amplitude of cyclic voltage pulses at dif-\nferent temperatures and number of pulses.5\n/s50/s51\n/s49/s48/s52\n/s49/s48/s53/s50/s52/s48/s32/s75\n/s50/s56/s48/s32/s75\n/s51/s48/s48/s32/s75/s86/s112/s117/s108/s115/s101/s32/s40/s86/s41\n/s78\n/s50/s48/s37\nFIG. 5. (Color online)V-N curves at different temperatures\nfor a failure criteria of 20 %. Dotted lines are fits correspon d-\ning to Eq. 1. As for cyclic mechanical stress experiments,\nlowering the temperature shifts the curves to higher voltag e\nstresses.We showed that if an arbitrarily fixed percentage of\nresistance change ( α≥α0) is associated with the failure\ncriteria usually defined in mechanical tests, the electric\nfield equivalent stress-fatigue lifetime curves can be ob-\ntained for a device. In this way, we provide the relation\nbetween the RS amplitude and the number of applied\npulses, at a fixed amplitude and temperature. This rela-\ntion can be used as the basis to built an error correction\nscheme. Additionally, this similarity points out that the\nevolution of the remnant resistance after a cyclic electric\nfield treatment related to the process of accumulation\nof vacancies near the metal-oxide interface has a strong\nphysicalresemblanceto the propagationofdefects in ma-\nterials subjected to cyclic mechanical stress tests.\nV. ACKNOWLEDGEMENTS\nWe would like to acknowledge financial support by\nCONICET Grant PIP 112-200801-00930 and UBACyT\n20020100100679 (2011-2014). We also acknowledge V.\nBekeris for a critical reading, and D. Gim´ enez, E. P´ erez\nWodtke and D. Rodr´ ıguez Melgarejo for their technical\nassistance.\n∗University of Buenos Aires and CONICET of Argentina\nscholarships\n†corresponding author (acha@df.uba.ar)\n1G. W. Burr, B. N. Kurdi, J. C. Scott, C. H. Lam,\nK. Gopalakrishnan, and R. S. Shenoy. IBM J. Res. &\nDev., 52:449, 2008.\n2R. Waser and M. Aono. Nature Materials , 6:833, 2007.\n3A. Sawa. Materials Today , 11:28, 2008.\n4K. M. Kim, D. S. Jeong, and C S Hwang. Nanotechnology ,\n22:254002, 2011.\n5D. S. Jeong, R. Thomas, R. S. Katiyar, J. F. Scott,\nH. Kohlstedt, A. Petraru, and C. S. Hwang. Rep. Prog.\nPhys., 75:076502, 2012.\n6C. Schindler, S.C.P. Thermadam, R. Waser, and M. N.\nKozicki. IEEE Transactions on Electron Devices , 54:2762,\n2007.\n7Masayuki Fujimoto, Hiroshi Koyama, Masashi Konagai,\nYasunari Hosoi, Kazuya Ishihara, Shigeo Ohnishi, and\nNobuyoshi Awaya. Applied Physics Letters , 89:223509,\n2006.\n8S-L. Li, J. Li, Y. Zhang, D-N. Zheng, and K. Tsukagoshi.\nAppl. Phys. A , 103:21, 2011.\n9J. J. Yang, D. B. Strukov, and D. R. Stewart. Nature\nNanotechnology , 8:13, 2013.\n10Edited by I. Stievano. Flash Memories . InTech, 2011.\n11M. J. Rozenberg, M. J. S´ anchez, R. Weht, C. Acha,\nF. Gomez-Marlasca, and P. Levy. Phys. Rev. B , 81:115101,\n2010.12S. Suresh. Fatigue of Materials . Cambridge University\nPress, 1998.\n13C. Acha and M. J. Rozenberg. J. Phys.: Condens. Matter ,\n21:045702, 2009.\n14C. Acha. Physica B , 404:2746, 2009.\n15A. Plecenik, M. Tomasek, T. Plecenik, M. Truchly,\nJ. Noskovic, M. Zahoran, T. Rocha, M. Belogolovskii,\nM. Spankova, S. Chromik, and P. Kus. Appl. Surface Sci-\nence, 256:5684, 2010.\n16C. Acha. J.Phys.D: Appl.Phys. , 44:345301, 2011.\n17T. Plecenik, M. Tomasek, M. Belogolovskii, M. Truchl,\nM. Gregor, J. Noskovic, M. Zahoran, T. Roch, I. Boylo,\nM. Spankova, S. Chromik, P. Kus, and A. Plecenik. J.\nAppl. Phys. , 111:056106, 2012.\n18A. Schulman, M. J. Rozenberg, and C. Acha. Phys. Rev.\nB, 86:104426, 2012.\n19N. Ghenzi, M. J. S´ anchez, M. J. Rozenberg, P. Stoliar,\nF. G. Marlasca, D. Rubi, and P. Levy. J. Appl. Phys. ,\n111:084512, 2012.\n20W. Schutz. Engineering Fracture Mechanics , 54:263, 1996.\n21B. H. Moeckly, D. K. Lathrop, and R. A. Buhrman. Phys.\nRev. B, 47:400, 1993.\n22S. B. Lee, S. C. Chae, S. H. Chang, J. S. Lee, S. Seo,\nB. Kahng, and T. W. Noh. App. Phys. Lett. , 93:212105,\n2008.\n23J. Kohaut. Fatigue Fract Engng Mater Struct , 23:969,\n2000." }, { "title": "2007.01644v1.Surpassing_the_resistance_quantum_with_a_geometric_superinductor.pdf", "content": "Surpassing the resistance quantum with a geometric superinductor\nM. Peruzzo,1,\u0003A. Trioni,1,\u0003F. Hassani,1M. Zemlicka,1and J. M. Fink1,y\n1Institute of Science and Technology Austria, 3400 Klosterneuburg, Austria\n(Dated: July 6, 2020)\nThe superconducting circuit community has recently discovered the promising potential of superin-\nductors. These circuit elements have a characteristic impedance exceeding the resistance quantum\nRQ\u00196:45 k\n which leads to a suppression of ground state charge \ructuations. Applications in-\nclude the realization of hardware protected qubits for fault tolerant quantum computing, improved\ncoupling to small dipole moment objects and de\fning a new quantum metrology standard for the\nampere. In this work we refute the widespread notion that superinductors can only be implemented\nbased on kinetic inductance, i.e. using disordered superconductors or Josephson junction arrays. We\npresent modeling, fabrication and characterization of 104 planar aluminum coil resonators with a\ncharacteristic impedance up to 30.9 k\n at 5.6 GHz and a capacitance down to \u00141 fF, with low-\nloss and a power handling reaching 108intra-cavity photons. Geometric superinductors are free\nof uncontrolled tunneling events and o\u000ber high reproducibility, linearity and the ability to couple\nmagnetically - properties that signi\fcantly broaden the scope of future quantum circuits.\nI. INTRODUCTION\nIn recent years the \feld of superconducting quantum\ncircuits has been introduced to a new player: the superin-\nductor [1, 2]. It is de\fned as a circuit element with zero\nDC resistance and a characteristic impedance ZCexceed-\ning the resistance quantum RQ=h=(2e)2\u00196:45 k\n. In\norder for a superinductor to be useful, especially in quan-\ntum computing, it needs to have low microwave losses\nand be in its quantum ground state at millikelvin tem-\nperatures. The latter requires a self-resonance frequency\nat least in the GHz range.\nSuperinductor resonators are particularly interesting\nfor quantum circuits because their impedance a\u000bects the\nlocal quantum environment. In the ground state, a res-\nonator's dimensionless charge and phase \ructuations are\nimpedance dependent and their ratio is given by \u000en=\u000e\u001e =\nRQ=ZC. For this reason superinductors have been iden-\nti\fed as necessary to measure the dual element of the\nJosephson junction, the phase slip junction [3], since the\nobservation of locked phase slip oscillations (dual Shapiro\nsteps) relies on a suppression of charge \ructuations and\nresistive heating [4{6]. Such a measurement gives the\nprospect of a new quantum metrology standard for cur-\nrent [7{9]. In addition, new qubits such as the \ruxonium\n[10{12] and hardware protected qubits [13, 14] such as\nthe 0-\u0019[1, 15{18], as well as robust quantum error cor-\nrection schemes [19] are dependent on reliable, low-loss\nsuperinductors.\nHigh characteristic impedance resonators with funda-\nmental frequency f0and low stray capacitance Cgive\nrise to large zero point voltage \ructuations VZPF = p\nhf0=(2C) = 2\u0019f0p\n~ZC=2. The use of a superinduc-\ntance can therefore boost conventional coupling limits\nin circuit QED [20, 21] and facilitate coupling to hybrid\n\u0003Authors contributed equally.\nyj\fnk@ist.ac.atpiezoelectric components [22], as well as to systems hav-\ning small electric dipole moments, such as electrons in\nquantum dots [23] and polar molecules [24]. Microwave\noptomechanics experiments such as ground state cooling\n[25] and wavelength conversion [26] bene\ft from large\nVZPFbut also require parametric coupling, which is in-\ncreased by a strong coherent drive that relies on a high\ndegree of linearity of the inductance [27].\nTo date implementations of superinductors are based\non kinetic inductance, either by fabricating Josephson\njunction arrays [10, 28{31] or using highly disordered\nmaterials, such as nanowires [12, 32{34] or granular alu-\nminum [11, 35, 36]. Geometric inductances are widely\nconsidered unsuitable as superinductors since reaching\nZC>R Qhas been argued to be impossible [2, 10, 28, 36].\nWith a simple single-wire resonator the characteristic\nimpedance will indeed be bounded to approximately the\nimpedance of free space Z0=p\n\u00160=\u000f0= 377 \n. How-\never, in this work we show that we can exceed this limit\nby almost two orders of magnitude and satisfy all su-\nperinductor requirements. This is achieved by making\nuse of the mutual inductance contribution of concentric\nloops in the form of a planar coil together with drastic\nminiaturization and substrate engineering.\nII. PROOF OF CONCEPT AND MODEL\nWhen constructing a geometric coil inductor it is in-\nstructive to compare its characteristic impedance to a\ndistributed wire. The geometric impedance of a wire\naccording to the transmission line model [37] is length\nindependent and de\fned by\nZwire=1\n\u0019r\u00160\n\u000f0\u000frarccosh(d=w); (1)\nwherewis the width of the wire and dis the distance to\nground. One could try to rise Zwireby increasing d, since\nwis ultimately limited by fabrication, by pulling the wirearXiv:2007.01644v1 [cond-mat.mes-hall] 3 Jul 20202\nfrequency, f(GHz)Im(Y( f))(1/kΩ)\n10 20 30 40 50 600.00.20.40.60.81.0\nradiu s, r(μm)current (norm.)(a) (b) (c)\nanalytical\nsimulation\n01020304050\nf0(GHz)\n5 10 15 2005101520\nlength, l(mm)ZC(kΩ)RQ\ncoiled wire\nstraight wire\n5 10 15 20 25-1.0-0.50.00.51.01.5\nf0\nLC circuit\nsimulation\nFIG. 1. (a) Comparison of characteristic impedance ZC(blue) and \frst resonance frequency (yellow) for a straight wire\n(d=w = 10, dashed) and a planar coil ( p= 1\u0016m,\u001a= 1, solid) in vacuum ( \u000fe\u000b= 1). The blue dashed line represents the\nwire impedance (Eq. (1)), while the blue solid line is the coil characteristic impedance ( ZC= 2\u0019fgLg, withfgandLggiven\nby Eqs. (3) and (2) respectively). The ZCcorresponding to coils with lengths l>4:78 mm is above RQ\u00196:45 k\n, while their\nresonance frequency (yellow solid line) drops just below 25.4 GHz. Coil frequency (Eq. (3)) and \u0015=2 wire resonator frequency\nf0=c0=2l, scale similarly with length, with a multiplication factor due to the boosted coil inductance. (b) Normalized current\ndistribution for a coil with p= 1\u0016m,n= 60 anddin= 6\u0016m. The simulated points (yellow, line is a guide to the eye) follow\napproximately the analytical model for a \u0015=2 resonator (blue). The inset shows the current amplitude distribution where red\ncorresponds to high current values and blue to low ones. As a \frst approximation, the spiral resonator behaves as a distributed\n\u0015=2 resonator. (c) Admittance frequency response for the same coil in vacuum. The yellow dots are obtained from simulations.\nThe zero crossing (black dot) represents the \frst resonance frequency. The blue line is the admittance response for a LC circuit\nwithLgin agreement with Eq. (2) and the simulated data at low frequencies (dark yellow area). At higher frequencies the\nadmittance displays additional resonance modes, which can be taken into account with the equivalent circuits shown in the\ninsets. The coil can be modeled as an ideal LC circuit around f0, below which it behaves as a pure inductor.\napart from the ground. Nevertheless with a separation d\nin the order of the wavelength, the circuit starts radiating\nenergy, as if it is shunted by a load resistance in the order\nofZ0at resonance [2]. However, by winding the single\nwire in a planar spiral form the geometric inductance\nis enhanced as expressed by the current-sheet method\nformula [38]\nLg=\u00160n2davc1\n2(ln(c2=\u001a) +c3\u001a+c4\u001a2); (2)\nwhere\u00160is the vacuum permeability (assuming none of\nthe material have magnetic properties), nis the number\nof turns,dav=din+dout\n2is the average between the inner\nand the outer diameter of the coil and \u001a=dout\u0000din\ndout+dinis\nthe \fll-ratio of the coil, which for our geometries is close\nto unity.c1;2;3;4are geometry dependent constants, for\ncircular coils considered here they are (1.0, 2.5, 0.0, 0.2).\nAsdoutis linear in the number of turns, Eq. (2) shows\nthe geometric inductance increases as Lg\u0018n3.\nFrom the analytical model in Ref. [39] an expression\nfor the fundamental resonance of a planar circular coil\ncan be calculated as\nfg=\u0018c0p\u000fe\u000b2p\n\u0019(din+ 2np)2; (3)\nwhere\u0018is a shape-dependant constant which for cir-\ncular coils is 0.81, pis the pitch which is the distance\nbetween adjacent turns (wire width plus spacing), \u000fe\u000b\nis the e\u000bective relative permittivity of the environment,\nnis the number of turns and c0is the speed of light\nin vacuum. Equation (3) is based on purely geomet-\nric considerations and it shows the resonance scaling asfg\u0018n\u00002p\u00001\u0018l\u00001[40], where lis the wire length, simi-\nlar to a distributed element resonator. Modeling the coil\nas a simple LC oscillator we can express the impedance\nasZC=p\nL=C = 2\u0019f0Land conclude that the charac-\nteristic impedance scales with number of turns ZC\u0018n\nand that the inductor's parasitic capacitance is linear in\nthe coil radius C\u0018np.\nThe favorable scaling of a coil's impedance and res-\nonance with respect to a straight wire can be seen in\nFig. 1(a). While the frequency of the wire and the coil\nscale similarly with respect to length, the impedance\nof the coil is increasing asp\nl=p. The plot shows that\nthe theoretical limit, which constrains the straight wire's\ncharacteristic impedance, is lifted for the coil and that\nthe superinductor regime with a fundamental frequency\nin the GHz range is attainable. These trends are in quan-\ntitative agreement with \fnite element method (FEM)\nsimulations as illustrated in Figs. 1(b) and 1(c).\nFigure 1(b) highlights the distributed element behavior\nof the coil resonator. It displays the current distribution\nof a coil as a function of radius taken from simulations\nand that of a \u0015=2 resonator given analytically. For the\nlatter the wire is considered to be wound up in a spiral\nsuch that the expression for the current can be param-\neterized by the radius r, i.e.I(r) =I0sin (\u0019(2r=dout)2)\n[39]. This formula neglects mutual inductance between\nturns which explains the slight deviation between the two\ncurves.\nFigure 1(c) compares the simulated frequency depen-\ndent admittance Yof a coil shunted with a single lumped\nport and that of a simple LC circuit, which is Y(f) =\ni 2\u0019fC + 1=(i 2\u0019fL). Following the formalism of black\nbox circuit quantization [41], the \frst zero crossing rep-3\nresents the fundamental frequency of the coil. The ca-\npacitance can be extracted by taking the derivative of\nthe imaginary part of the admittance at that frequency\nC=1\n4\u0019d\ndfIm(Y)jf=f0and we \fnd that the resulting\ninductance Lg=1\nC(2\u0019f0)2\u0019163 nH is consistent with\nEq. (2), for the same coil i.e. Lg\u0019173 nH. We get a\nslightly better agreement, i.e. Lg\u0019180 nH, when we\nextract the resonance frequency f0and its derivative\ndf0=dCfor the same coil from the simulated S11param-\neter of a weakly coupled wave port, in direct analogy to\nthe experimental situation shown in Fig. 2(b).\nThe two admittance curves in Fig. 1(c) match in the\ndark shaded area, beyond which adding more LC series\ncircuits to the model increases the accuracy up to the\ndesired frequency (see the inset circuits). This certi\fes\nthat one can consider the coil as an ideal LC oscillator\nwith characteristic impedance of ZCup to and beyond\nits \frst resonance. Furthermore, below its fundamental\nfrequency the coil has a negative imaginary admittance,\nmaking it an ideal inductor. The claims contained in the\nrest of this work will pertain only to the frequency region\nin which the LC approximation is valid.\nIII. FABRICATION AND MEASUREMENT\nSETUP\nIt follows from Eqs. (2) and (3) and the relation ZC=p\nL=C = 2\u0019f0Lthat a coil's impedance can be made\nlarger than RQsimply by adding turns, this however de-\ncreases the self-resonance which scales as l\u00001. In order\nto keep the self-resonance in the GHz regime we rely on\ntwo tactics: \frstly we reduce the pitch to maximize the\nturn number to length ratio and therefore the impedance\nper unit length. Secondly we reduce \u000fe\u000bof the substrate,\nwhich lowers the capacitance without a\u000becting the induc-\ntance of the coil.\nRegarding the second point we fabricate coils on three\ndi\u000berent substrates as shown in Fig. 2(a), i.e. high re-\nsistivity silicon (Si), 220 nm silicon membrane separated\nfrom a silicon handle wafer by 3 \u0016m of vacuum (silicon\non insulator, SOI), and a fully suspended 220 nm silicon\nmembrane (SOI backetched, SOI-BE) as extensively de-\nscribed in the Appendix.\nFor each substrate we fabricate several coils with dif-\nferent turn numbers and pitches and measure their fun-\ndamental resonance frequencies in a dilution refrigerator.\nThe coils are coupled to a shorted waveguide, which guar-\nantees inductive coupling as shown in Fig. 2(b), where\nwe are able to control the coupling strength by adjusting\nthe distance with a resulting extrinsic quality factor Qe\nbetween 5\u0002103for a few\u0016m distance to over 105for\ndistances around a couple times the coil size. As shown\nin Fig. 2(d) we measure the complex S11parameter and\n\ft the I and Q quadrature to a re\rective model [25] to\nextract external and internal quality factors, QeandQi,\nand the \frst resonance f0as a function of input power.IV. LOSS, KINETIC INDUCTANCE AND\nLINEARITY\nIn this section we characterize a reference device on\nSOI-BE with a pitch of 300 nm, 155 turns, \frst reso-\nnance at 4.55 GHz and geometric inductance of 933.9 nH.\nIt is an average device for the SOI-BE coils that were\nmeasured with additional radiation shielding, compara-\nbly highQeand an optimized hydro\ruoric acid vapor\n(VHF) release. Generally speaking, for stronger waveg-\nuide coupling we observe lower values of Qi. However,\nforQe\u00155\u0002104the high power Qiconsistently exceeded\n106. The full range of values can be found in Table I.\nFigure 3(a) shows the typical dependence of Qias a\nfunction of the intra-resonator photon number nPcon-\nsistent with the presence of two level systems (TLS) [42]\nQ\u00001\nTLS'F\u000eTLStanh( ~!=2kBT)\n(1 +nP=nC)\f+Q\u00001\nsat; (4)\nwhereFis the fraction of electric \feld in the lossy mate-\nrial,\u000eTLSis the TLS loss tangent, nCis the critical pho-\nton number that saturates the TLS and Qsat= 1:1\u0002106\nrepresents any additional loss mechanisms. We extract\nF\u000eTLSto be 1.3\u000210\u00005, a number that is only about 10\ntimes larger than some of the best reported standard size\ncoplanar aluminum resonators on sapphire [43]. The ex-\nponent\fis commonly taken as 0.5 and deviations indi-\ncate an interaction between TLS [44]. For our system it\nwas found to be 0.4 which implies some degree of inter-\naction.\nThe inset in Fig. 3(a) shows the nonlinearity of the\nresonator, i.e. the frequency shift as a function of pho-\nton number. From the data we extract a shift of \u000ef=\nf0(nP)\u0000f0(0) = 0:24 mHz per photon, a shift so small it\nrequires photon numbers above 107in order to be mean-\ningfully measured. In general the shifts vary between\nsamples however they are in a similar order of magni-\ntude as the one displayed. This makes these resonators\noptimal for experiments that require strong parametric\ndriving for enhanced coupling strengths. At extremely\nhigh powers the Lorentzian shape of the coil resonance\nstarts to distort indicating a breakdown of superconduc-\ntivity, this e\u000bect occurs at di\u000berent powers for di\u000berent\ncoils but consistently arises above 106photons.\nIn order to quantify the e\u000bect of kinetic inductance\nwe performe temperature sweeps on the same device.\nFigures 3(b) and 3(c) show its frequency shift \u000ef(T) =\nf0(T)\u0000f0(0) and quality factor degradation \u000eQ\u00001\ni(T) =\nQ\u00001\ni(T)\u0000Q\u00001\ni(0) as a function of temperature T. This\nbehavior can be accurately modeled with BCS theory [45]\n\u000ef(T)\nf0(0)=\u0000\u000b\r\n2\u000e\u001b2(T;\u0001)\n\u001b2(T;\u0001)(5)\nand\n\u000eQ\u00001\ni(T) =\u000b\r\u000e\u001b1(T;\u0001)\n\u001b2(T;\u0001); (6)4\n10 mK-10 dB eco\n800 mKHEMT\n4 K\nVNA\n 300 K\neco\nsampleBPF\n-20 dB -20 dBLPF\nFIG. 2. Sample fabrication and measurement setup. (a) Main nanofabrication steps for each substrate, as described in detail\nin the Appendix. (b) Scanning electron microscopy image of the coil resonators inductively coupled to the shorted coplanar\nwaveguide feedline. (c) Enlarged view of a coil resonator with pitch 300 nm on SOI-BE. (d) Schematic of the measurement\nsetup. The sample is bonded to a printed circuit board, mounted in a copper sample box and cooled down to 10 mK in a\ncryogenic dilution refrigerator. It is shielded by two magnetic shields and a radiation shield. The incoming signal from the\nvector network analyzer (VNA) is attenuated by approximately 82 dB (considering attenuators and cable losses) and passes\nthrough one low-pass \flter (LPF) and a circulator. Two eccosorb \flters are attached to the in- and output of the circulator.\nThe outbound signal passes through another circulator for isolation and a band-pass \flter (BPF) before being ampli\fed by a\nlow temperature (HEMT) and further room temperature ampli\fers.\nwhere\u000b=Lk=(Lg+Lk) is the fraction of the kinetic\ninductance to total inductance, \ris a material dependent\nparameter, which is -1 for aluminum thin \flms [42], \u001b1\nand\u001b2are the real and imaginary part of the conductance\n\u001b=\u001b1\u0000i\u001b2as described in [45]. The data is \ftted with\n\u000bas a free parameter while the gap voltage \u0001 is taken\nto be the bulk value for aluminum [46]. From the \ft we\nextract\u000b= 5:9%, which results in a kinetic inductance of\nLk= 58:0 nH. From this value and the following equation\nLk=\u00160\u00152\nL(0)l\nwh; (7)\nwe infer the London penetration depth \u0015L(0) of 147 nm\n[47], where \u00160is the vacuum permeability, and l,wand\nhare the length, width and thickness of the wire. This\nnumber is henceforth used to estimate the kinetic induc-\ntance of all coils to be included in the following analysis.\nThe fact that the measured \u0015L(0) is signi\fcantly higher\nthan the value for bulk aluminum (15 nm [42]) is likely\ndue to the thin \flm nature of the metal [48].\nV. CHARACTERISTIC IMPEDANCE AND\nCOIL CAPACITANCE\nThis section summarizes the data extracted from 104\ndi\u000berent coils on three substrates. The \frst row of Fig. 4\nshows the measured frequency data as a function of thenumber of turns nfor each substrate. The \fts (lines)\nare taken from Eq. (3) with a correction to include the\nkinetic inductance\nf0=fgs\nLg\nLg+Lk; (8)\nwhere the only \ft parameter is the e\u000bective permittivity\n\u000fe\u000binfg. The second row in Fig. 4 shows the characteris-\ntic impedance obtained with ZC= 2\u0019f0(Lg+Lk) where\nLgandLkare calculated with Eqs. (2) and (7) and the\nfrequencyf0is given by the data (points) and the \fts\nto the data (lines) shown in the \frst row. The \fts are\nin excellent agreement with the data points and we \fnd\n\u000fe\u000bof 6.89\u00060.09 for silicon, 2.04 \u00060.93 for SOI and\n1.25\u00060.19 for SOI-BE. In the case of silicon \u000fe\u000bcan\nbe estimated with \u000fe\u000b= (\u000fSi+ 1)=2 = 6:5, very close to\nthe \ft results, and in the case of SOI-BE we \fnd a value\nclose to that of vacuum. The total inductance Lis calcu-\nlated to be in the range from 35 to 992 nH while the coil\ncapacitance Cis in the range 0.88 to 7.71 fF. A detailed\nsummary can be found in Table I.\nThe model for fgin Ref. [39] is described as having an\nerror up to 10%, which could account for some of the\nvariation in the \u000fe\u000b. Additionally our model does not\ninclude parasitic capacitance or mutual inductance due\nto the coupling wire or the surrounding ground, which in\nprinciple can cause small negative and positive shifts in5\n1 10 1001000 1 041051060246810\nphoton number, nPnP/107δf(kHz)Qi/105\n-2.00.0δf(MHz)\n1 2 3 4 5 6 7-20-15-10-5shift\n0.24mHz/\nphoton\n0 100 200 300 4000.10\n0.0510\ntemperature (mK)5 Qi/105(a) (b)\n(c)\nFIG. 3. (a) Measured internal quality factor Qiof a represen-\ntative overcoupled coil ( p= 300 nm,n= 155,Qe= 60\u0002103\non SOI-BE) as a function of the mean intra-resonator pho-\nton number nP. The blue dots are values extracted from\na Lorentzian \ft and the blue band represents the 90% con-\n\fdence interval. The yellow line is the \ft to Eq. (4), with\nF\u000eTLS,\f,nCandQsatas \ft parameters. The inset shows\nthe frequency shift \u000efper photon, obtained from a linear\n\ft (yellow) of the measured data (blue dots), resulting in\n0.24mHz/photon. (b)-(c), Temperature sweeps. The blue\ndots are measured values for the same device as in (a). The\nyellow lines represent the \fts of \u000efandQivs. temperature\nusing Eqs. (5) and (6) respectively. The only \ft parameter is\n\u000b= 5:9% and its small value shows that the inductance is to\n94.1% geometric.\nthe coil frequency respectively [49]. Nevertheless, simula-\ntions indicate that these coupling related shifts are below\n1%. We are furthermore con\fdent that the measured val-\nues correspond to the fundamental mode resonance since\nwave-port coupled FEM simulations of f0agree with the\ndata within 20%.\nFigure 5 compares the e\u000bects of reducing \u000fe\u000band pitch\nonCandZC. Reducing \u000fe\u000bhas the e\u000bect of decreasing\nthe capacitance, while the inductance remains unchanged\nas all substrates have \u0016r= 1. We \fnd that Cscales lin-\nearly with the coil radius r'np, in agreement with the\nexpected scaling C\u0018npderived earlier. The majority\nof measured coils had an inner radius of 3 \u0016m, however\nin the limit of large \flling factor \u001aandn\u001d1 the simpli-\n\fcationr=npis valid and a coil's self-capacitance can\ntherefore simply be estimated based on its outer radius,\nhighlighting the bene\ft of miniaturization.\nIn order to quantify the capacitance suppression we\nextract the constant gradient d C=drfor each pitch pand\neach substrate resulting in a total of nine data gradients.\nThe extracted gradients are approximately pitch inde-\npendent and are therefore averaged for each substrate\nresulting in the three values reported in Fig. 5(a). The\nexception is the SOI substrate which exhibits a strong\npitch dependence, as shown in the inset of Fig. 5(a). The\nreason is that coils with higher pitch have larger size and\nas a consequence a larger electric \feld distribution. Be-\n51015Silicon SOI SOI-BE\n50 100 1505152535\nturns, n turns, n turns, nZC(kΩ)\n50 100 150 50 100 150pitch, p\n1μm\n500nm\n300nm\n200nm\nRQf0(GHz)\nFIG. 4. The \frst row shows all measured resonance fre-\nquencies for coils with di\u000berent pitches p= 1\u0016m, 500, 300\nand 200 nm on the three di\u000berent substrates (Si, SOI and\nSOI-BE de\fned in Fig. 2(a)). The data follow the trend of\nEq. (8), with \u000fe\u000bas the only \ft parameter. The second row\nrepresents the characteristic impedances calculated with the\nformulaZC= 2\u0019f0(Lg+Lk), wheref0is the measured reso-\nnance frequency of each coil and LgandLkare from Eqs. (2)\nand (7) respectively. The lines are deduced from the corre-\nsponding \ft in the \frst row. While it is possible to surpass\nRQon silicon, lower permittivity substrates allow for higher\nfrequencies due to a lower coil capacitances.\ncause SOI is not a homogeneous material, the higher the\npitch the more electric \feld resides in the silicon han-\ndle wafer increasing \u000fe\u000b. This is the main reason for the\nlarge 90% con\fdence interval of \u000fe\u000breported earlier. We\nobserve a larger improvement by changing the substrate\nfrom silicon to SOI compared to changing from SOI to\nSOI-BE as can be seen in Figs. 5(a)-(b). This is because\nthe electric \feld is more concentrated near the coil and\nrapidly decays in the vertical direction. Removing the\n3\u0016m directly below means placing the strongest part of\nthe \feld in vacuum which is most e\u000bective to lower the ef-\nfective permittivity. Simulations suggest that the capac-\nitance suppression saturates for a vacuum gap of around\n20\u0016m depending on the overall coil size.\nThe data presented in Fig. 5(b) shows the character-\nistic impedance for measured coils with similar funda-\nmental frequency f0= (10:7\u00060:3) GHz. The superlinear\nimprovement obtained by going to lower pitches occurs\nbecause \fxing the frequency has the e\u000bect of \fxing the\nwire length. For a set length, lower pitch coils have more\nturns, which gives higher inductance and smaller radii\nfor lower parasitic capacitance. Both have the e\u000bect of\nboosting the characteristic impedance. The dashed lines\nrepresent analytical expressions derived from Eqs. (2), (7)\nand (8) and the bands correspond to the error of \u000fe\u000bas\ndiscussed earlier. In the case of SOI the \u000fe\u000bwas inter-\npolated between di\u000berent pitches resulting in a slightly\nmodi\fed shape and a very small error band. Other curves\nare based on the average value of \u000fe\u000b.6\n0.2 0.4 0.6 0.8 1.005101520\ncoilpitch, p(μm)ZC(kΩ)\n50100150200\nsubstratedC/dr(aF/μm)\nSilicon SOI SOI-BE0RQf0≈10.7GHz\n0.2 0.6 1.0406080\npitch, p(μm)dC/dr(aF/μm)(a) (b)\nFIG. 5. (a) Extracted capacitance per unit radius for three\ndi\u000berent substrates. The dots represent the average capac-\nitance that would be added by increasing the radius of the\ncoil by one micron. The values are the gradients from linear\n\fts ofCvs. radius taken from Fig. 4 and averaged over pitch\nfor each substrate. The comparable large error bar for SOI\nresults from a pitch dependence shown in the inset. This can\nbe traced back to a pitch dependent participation ratio of the\nelectric \feld in the silicon handle wafer. (b) Inferred scaling of\nthe characteristic impedance as a function of pitch at a \fxed\nfrequency of f0\u001910:7 GHz. The dashed lines indicate the\nimpedance scaling for each substrate (silicon in yellow, SOI in\ngreen, SOI-BE in blue) considering the average \u000fe\u000bextracted\nfrom the \fts in Fig. 4. The bands account for the permittivity\nuncertainty from the \ft. For SOI the strong pitch dependence\nof\u000fe\u000bwas taken into account with a quadratic interpolation\nfor both the bands and the mean values (dashed lines).\nVI. CONCLUSIONS\nIn this study we showed that suspended aluminum\ncoils represent linear low-loss geometric superinductor\nresonators that can be used as an ideal superinductance\nbelow their self-resonance frequency. For an optimized\ncoupling geometry, fabrication and setup we were able\nto showZC\u00195\u0002RQ, about 80 times the previously\nclaimed limitation for geometric inductors Z0. It is im-\nportant to note that, even though the resonators contain\na mixture of geometric and kinetic inductance, the geo-\nmetric component is more than enough to surpass RQ.\nIn fact by considering the geometric contribution only\nthe highest characteristic impedance becomes 29.62 k\natf0= 5:8 GHz.\nSuch a highly miniaturized microwave resonator with\nlarge zero point voltage \ructuations reaching VZPF\u0019\n50\u0016V that maintains a linearity of up to 108photons\nis an attractive platform for hybrid devices. With losses\nas low asQi\u00190:8\u0002106at single photon powers despite\nthe small gap sizes on the order of 100 nm, it will also\n\fnd applications for new quantum circuits. Most im-\nportantly, the geometric superinductor is a true single-\nwavefunction superconducting device with one well de-\n\fned phase, thus ruling out the possibility of uncontrolled\nphase and charge tunneling events that could also make\nit suitable for applications in quantum metrology.\nOur study provides simple analytical models and tools\nto guide future design choices in such applications.Speci\fcally, we found a simple way to predict the coil self-\ncapacitance that only relies on knowing the coil radius\nand substrate. The design and fabrication method could\nalso help to increase the ZCof other superinductors. In\ncontrast to circuit elements based on kinetic inductance,\nwe can obtain strong magnetic coupling to feed-lines or\nother resonators without increasing the parasitic capac-\nitance. Together with the \rexibility and reproducibility\nof the geometric inductance this makes them an enabling\ntechnology for complex quantum circuits, such as \ruxo-\nnium and 0- \u0019qubits in new error-protected regimes that\nrely on a high degree of parameter control to avoid sym-\nmetry breaking. Potential challenges include increased\n\rux noise due to the large perimeter of the coil [50], which\ncan be addressed with new materials [51] or improved sur-\nface fabrication techniques. For example, etching rather\nthan lift-o\u000b might enable even smaller coil pitch with\nbetter interfaces and lower TLS losses resulting in even\nhigherZC.\nVII. ACKNOWLEDGEMENTS\nThe authors acknowledge the support from I. Prieto\nand the IST Nanofabrication Facility. This work was sup-\nported by IST Austria and a NOMIS foundation research\ngrant and the Austrian Science Fund (FWF) through Be-\nyondC (F71). MP is the recipient of a P ottinger schol-\narship at IST Austria. JMF acknowledges support from\nthe European Union's Horizon 2020 research and innova-\ntion programs under grant agreement No 732894 (FET\nProactive HOT), 862644 (FET Open QUARTET), and\nthe European Research Council under grant agreement\nnumber 758053 (ERC StG QUNNECT).\nAppendix A: Device fabrication and parameter\nsummary\nThe devices are fabricated on three di\u000berent substrates\nas shown in Figs. 2(a)-(c) and the results are summarized\nin Table I.\nIn the case of silicon (Si) the fabrication consists of\na single layer process. The coil, ground and waveguide\nare patterned by e-beam lithography (EBL) operated\nat 100 keV on a 300 nm thick layer of CSAR 13 resist.\nAn evaporation of 80 - 100 nm of aluminum at a rate\nof 1 nm/s in ultra-high vacuum (UHV) is followed by a\nlift-o\u000b process in n-metyl-2-pyrrolidine (NMP) at 80\u000eC\nresulting in the desired metallic pattern on a high resis-\ntivity Si wafer.\nThe fabrication on silicon-on-insulator (SOI) consists\nof a multilayer fabrication process. The wafer comprises\na 220 nm thick Si layer on 3 \u0016m of silicon dioxide (SiO 2)\nwhich rests on 750 \u0016m of Si [52, 53]. In the \frst step,\nEBL is used to pattern arrays of small holes (radius be-\ntween 65 and 100 nm depending on pitch) on the thin Si\nlayer around the coil and on the waveguide. The chip7\nTABLE I. Parameter summary of measured geometric superinductor resonators. f0are from measurements. Lg\nandLkfrom Eqs. (2) and (7) respectively. CandZCare calculated assuming the LC-behavior. Qlow\niandQhigh\niare from the\nfundamental resonance \ft at low and high intra-resonator photon numbers. The underlined values refer to devices measured\nwith additional radiation shielding, weaker coupling strengths (high Qe) and optimized VHF release.\nSubstratep[\u0016m]diameter [\u0016m]f0[GHz] Lg[nH] Lk[nH]C[fF]ZC[k\n]VZPF[\u0016V]Qlow\ni(\u0002105)Qhigh\ni(\u0002105)\nSilicon 1 72 - 90 10.94 - 7.00 32.04 - 62.90 2.71 - 4.27 6.09 - 7.71 2.39 - 2.95 24.39 - 17.34 0.70 - 1.70 1.93 - 15.4\n0.5 47 - 60 12.05 - 7.73 35.85 - 74.77 4.66 - 7.62 4.30 - 5.15 2.89 - 3.81 30.46 - 22.30 0.26 - 1.44 3.04 - 17.5\n0.3 36.6 - 63 12.12 - 4.17 47.15 - 240.68 7.88 - 23.46 3.13 - 5.53 4.19 - 6.91 35.80 - 15.80 0.36 - 6.06 0.71 - 50.0\nSOI 1 86 - 118 12.47 - 6.48 55.08 - 142.34 3.92 - 7.40 2.76 - 4.03 4.62 - 6.09 38.67 - 23.08 0.05 - 1.08 0.33 - 30.2\n0.5 63 - 82 13.57 - 7.76 86.47 - 190.97 8.39 - 14.26 1.45 - 2.05 8.09 - 10.01 55.69 - 35.43 0.12 - 1.15 0.18 - 5.51\n0.3 49.2 - 66 14.52 - 7.80 113.97 - 276.27 14.13 - 25.59 0.94 - 1.38 11.68 - 14.80 71.59 - 43.31 0.02 - 0.37 0.22 - 0.82\nSOI-BE 1 102 - 130 11.71 - 7.31 91.93 - 190.32 5.53 - 8.99 1.90 - 2.38 7.17 - 9.15 45.22 - 31.88 0.16 - 0.51 0.20 - 0.92\n0.5 74 - 88 11.53 - 8.36 140.29 - 236.08 11.60 - 16.44 1.25 - 1.43 11.00 - 13.27 55.17 - 43.95 0.08 - 0.71 0.27 - 1.73\n0.3 59.4 - 99 11.39 - 4.55 201.19 - 933.91 20.69 - 57.85 0.88 - 1.23 15.88 - 28.38 65.49 - 35.01 0.04 - 0.17 0.10 - 0.35\n0.24 - 1.66 1.11 - 10.9\n0.2 62 - 72 7.09 - 5.61 515.00 - 807.51 50.76 - 68.62 0.89 - 0.92 25.22 - 30.89 51.41 - 44.99 1.57 - 7.51 4.67 - 21.4\nis then mounted in an inductively coupled plasma (ICP)\netcher where C 4F8and SF 6etch the holes through the\nsilicon layer reaching the oxide which acts as an etch\nstop. Then the coil is patterned and evaporated as in\nthe previous case. The last step involves vapor hydro\ru-\noric acid (VHF) etching (memsstar ORBIS ALPHA), in\nwhich the vapor penetrates through the etched holes and\nlocally removes the oxide underneath, thus terminating\nthe process with a suspended membrane.\nThe last fabrication routine, aimed to produce SOI-\nbacketched (SOI-BE) samples, is developed using a simi-\nlar wafer than the former procedure but with the Si han-\ndle wafer lapped down to 200 \u0016m. The \frst two steps\nare identical to the SOI process with the di\u000berence that\nin this case no holes were patterned directly around the\ncoils as they are not needed to suspend the membrane.\nAfter the coil metal deposition and consequent lift-o\u000b, a\nlayer of 5\u0016m of LOR 5B resist is placed on the struc-\ntures as protection for the following subprocesses. LOR5B is chosen speci\fcally because of it does not dissolve\nin acetone, used in the next lift-o\u000b. A mask is writ-\nten in EBL on the back side of the chip into 270 nm of\nPMMA 950k EL4 leaving open rectangles positioned di-\nrectly under the coil. The rectangles are designed to be\nlarge enough for alignment not to be critical. A layer of\n50 nm of chromium (Cr) is deposited and the consequent\nlift-o\u000b carried out by acetone at 40\u000eC. The chip is then\nmounted in an ICP with the devices facing down and the\nsilicon in the rectangles is completely etched away with\na customized Bosh process comprised of a gas mixture of\nC4F8and SF 6. This leaves only the SiO 2and 220 nm sil-\nicon layer under the coils. For the Cr to stick e\u000bectively\nthroughout the etch process the bottom of the chip must\nhave a low level of roughness. The resist is then removed\nvia hot NMP and the oxide layer is locally etched by\nVHF. Finally the coils are left suspended on a 220 nm\nmembrane with vacuum below.\n[1] Kitaev, A. Protected qubit based on a superconducting\ncurrent mirror (2006). arXiv:cond-mat/0609441.\n[2] Manucharyan, V. E. Superinductance . Ph.D. thesis, Yale\nUniversity (2012).\n[3] Mooij, J. E. & Nazarov, Y. V. Superconducting\nnanowires as quantum phase-slip junctions. Nature\nPhysics 2, 169{172 (2006).\n[4] Di Marco, A., Hekking, F. W. J. & Rastelli, G. Quantum\nphase-slip junction under microwave irradiation. Phys.\nRev. B 91, 184512{ (2015).\n[5] Vora, H., Kautz, R. L., Nam, S. W. & Aumentado, J.\nModeling Bloch oscillations in nanoscale Josephson junc-\ntions. Phys. Rev. B 96, 054505 (2017).\n[6] Arndt, L., Roy, A. & Hassler, F. Dual Shapiro steps\nof a phase-slip junction in the presence of a parasitic\ncapacitance. Phys. Rev. B 98, 014525 (2018).\n[7] Likharev, K. & Zorin, A. Theory of the Bloch-wave os-\ncillations in small Josephson junctions. Journal of Low\nTemperature Physics 59, 347382 (1985).\n[8] Piquemal, F. & Genevs, G. Argument for a direct realiza-tion of the quantum metrological triangle 37, 207{211{\n(2000).\n[9] Flowers, J. The route to atomic and quantum standards.\nScience 306, 1324{1330 (2004).\n[10] Manucharyan, V. E., Koch, J., Glazman, L. I. & De-\nvoret, M. H. Fluxonium: Single Cooper-pair circuit free\nof charge o\u000bsets. Science 326, 113{116 (2009).\n[11] Gr unhaupt, L. et al. Granular aluminium as a supercon-\nducting material for high-impedance quantum circuits.\nNature Materials 18, 816{819 (2019).\n[12] Hazard, T. M. et al. Nanowire superinductance \ruxo-\nnium qubit. Phys. Rev. Lett. 122, 010504{ (2019).\n[13] Douot, B. & Io\u000be, L. B. Physical implementation of pro-\ntected qubits 75, 072001{ (2012).\n[14] Le, D. T., Grimsmo, A., Mller, C. & Stace, T. M. Dou-\nbly nonlinear superconducting qubit. Phys. Rev. A 100,\n062321{ (2019).\n[15] Brooks, P., Kitaev, A. & Preskill, J. Protected gates for\nsuperconducting qubits. Phys. Rev. A 87, 052306 (2013).\n[16] Groszkowski, P. et al. Coherence properties of the 0-pi8\nqubit. New Journal of Physics 20, 043053 (2018).\n[17] Paolo, A. D., Grimsmo, A. L., Groszkowski, P., Koch,\nJ. & Blais, A. Control and coherence time enhancement\nof the 0-pi qubit. New Journal of Physics 21, 043002\n(2019).\n[18] Gyenis, A. et al. Experimental realization of an in-\ntrinsically error-protected superconducting qubit (2019).\narXiv:1910.07542.\n[19] Cohen, J., Smith, W. C., Devoret, M. H. & Mirrahimi,\nM. Degeneracy-preserving quantum nondemolition mea-\nsurement of parity-type observables for cat qubits. Phys.\nRev. Lett. 119, 060503 (2017).\n[20] Devoret, M., Girvin, S. & Schoelkopf, R. Circuit-QED:\nHow strong can the coupling between a Josephson junc-\ntion atom and a transmission line resonator be? Annalen\nder Physik 16, 767{779 (2007).\n[21] Bosman, S. J. et al. Approaching ultrastrong coupling in\ntransmon circuit QED using a high-impedance resonator.\nPhys. Rev. B 95, 224515{ (2017).\n[22] Arrangoiz-Arriola, P. et al. Coupling a superconducting\nquantum circuit to a phononic crystal defect cavity. Phys.\nRev. X 8, 031007 (2018).\n[23] Stockklauser, A. et al. Strong coupling cavity QED\nwith gate-de\fned double quantum dots enabled by a high\nimpedance resonator. Phys. Rev. X 7, 011030 (2017).\n[24] Andre, A. et al. A coherent all-electrical interface be-\ntween polar molecules and mesoscopic superconducting\nresonators. Nature Physics 2, 636{642 (2006).\n[25] Fink, J. M. et al. Quantum electromechanics on sili-\ncon nitride nanomembranes. Nature Communications 7,\n12396 (2016).\n[26] Arnold, G. et al. Converting microwave and telecom pho-\ntons with a silicon photonic nanomechanical interface.\narXiv:2002.11628 (2020).\n[27] Peterson, G. A. et al. Ultrastrong parametric coupling\nbetween a superconducting cavity and a mechanical res-\nonator. Phys. Rev. Lett. 123, 247701 (2019).\n[28] Masluk, N. A., Pop, I. M., Kamal, A., Minev, Z. K. &\nDevoret, M. H. Microwave characterization of Joseph-\nson junction arrays: Implementing a low loss superin-\nductance. Phys. Rev. Lett. 109, 137002 (2012).\n[29] Bell, M. T., Sadovskyy, I. A., Io\u000be, L. B., Kitaev, A. Y. &\nGershenson, M. E. Quantum superinductor with tunable\nnonlinearity. Phys. Rev. Lett. 109, 137003 (2012).\n[30] Nguyen, L. B. et al. High-coherence \ruxonium qubit.\nPhys. Rev. X 9, 041041{ (2019).\n[31] Pechenezhskiy, I. V., Mencia, R. A., Nguyen, L. B., Lin,\nY.-H. & Manucharyan, V. E. Quantum dynamics of qua-\nsicharge in an ultrahigh-impedance superconducting cir-\ncuit (2019). arXiv:cond-mat/0511535.\n[32] Peltonen, J. T. et al. Hybrid rf SQUID qubit based on\nhigh kinetic inductance. Scienti\fc Reports 8, 10033{\n(2018).\n[33] Shearrow, A. et al. Atomic layer deposition of tita-\nnium nitride for quantum circuits. Appl. Phys. Lett. 113,\n212601{ (2018).\n[34] Niepce, D., Burnett, J. & Bylander, J. High kinetic in-\nductance NbN nanowire superinductors. Phys. Rev. Ap-\nplied 11, 044014 (2019).\n[35] Gr unhaupt, L. et al. Loss mechanisms and quasiparticledynamics in superconducting microwave resonators made\nof thin-\flm granular aluminum. Phys. Rev. Lett. 121,\n117001 (2018).\n[36] Kamenov, P. et al. Granular aluminum meandered su-\nperinductors for quantum circuits. Phys. Rev. Applied\n13, 054051 (2020).\n[37] Pozar, D. M. Microwave engineering; 4th ed. (Wiley,\nHoboken, NJ, 2011). Chapter 2, Table 2.1, pg.54.\n[38] Mohan, S. S., del Mar Hershenson, M., Boyd, S. P. &\nLee, T. H. Simple accurate expressions for planar spiral\ninductances. IEEE Journal of Solid-State Circuits 34,\n1419{1424 (1999).\n[39] Maleeva, N. et al. Electrodynamics of planar\nArchimedean spiral resonator. Journal of Applied Physics\n118, 033902 (2015).\n[40] Approximated expression of the length of a spiral. A\nspiral can be seen as a series of concentric circles: l'Pn\ni=12\u0019ri'Pn\ni=12\u0019ip= 2\u0019n(n+1)\n2p'n2p.\n[41] Nigg, S. E. et al. Black-box superconducting circuit quan-\ntization. Phys. Rev. Lett. 108, 240502 (2012).\n[42] Gao, J. The Physics of Superconducting Microwave Res-\nonators . Ph.D. thesis, California Institute of Technology\n(2008).\n[43] Megrant, A. et al. Planar superconducting resonators\nwith internal quality factors above one million. Appl.\nPhys. Lett. 100, 113510{ (2012).\n[44] Burnett, J., Sagar, J., Kennedy, O. W., Warburton, P. A.\n& Fenton, J. C. Low-loss superconducting nanowire cir-\ncuits using a neon focused ion beam. Phys. Rev. Applied\n8, 014039{ (2017).\n[45] Gao, J. et al. Equivalence of the e\u000bects on the com-\nplex conductivity of superconductor due to temperature\nchange and external pair breaking. Journal of Low Tem-\nperature Physics 151, 557{563 (2008).\n[46] Chubov, P. N., Eremenko, V. V. & Pilipenko, Y. A. De-\npendence of the critical temperature and energy gap on\nthe thickness of superconducting aluminum \flms. Sov.\nPhys. JETP 28, 389{395 (1969).\n[47] Annunziata, A. J. et al. Tunable superconducting\nnanoinductors 21, 445202{ (2010).\n[48] Reale, C. Thickness and temperature dependence of the\ncritical magnetic \feld of thin superconducting \flms of\nthe aluminium group metals. Acta Physica 37, 53{60\n(1974).\n[49] Hooker, J. W., Ramaswamy, V., Arora, R. K., Edison,\nA. S. & Brey, W. W. E\u000bects of dielectric substrates and\nground planes on resonance frequency of Archimedean\nspirals. IEEE Transactions on Applied Superconductivity\n26, 1{4 (2016).\n[50] Braum uller, J. et al. Characterizing and optimizing qubit\ncoherence based on SQUID geometry. Phys. Rev. Applied\n13, 054079{ (2020).\n[51] Place, A. P. M. et al. New material platform for supercon-\nducting transmon qubits with coherence times exceeding\n0.3 milliseconds (2020). arXiv:2003.00024.\n[52] Dieterle, P. B., Kalaee, M., Fink, J. M. & Painter, O.\nSuperconducting cavity electromechanics on a silicon-on-\ninsulator platform. Phys. Rev. Applied 6, 014013{ (2016).\n[53] Keller, A. J. et al. Al transmon qubits on silicon-on-\ninsulator for quantum device integration. Applied Physics\nLetters 111, 042603 (2017)." }, { "title": "1403.0227v1.A_comprehensive_scenario_of_the_single_crystal_growth_and_doping_dependence_of_resistivity_and_anisotropic_upper_critical_fields_in__Ba___1_x__K__x__Fe__2_As__2____0_22__leq_x__leq_1__.pdf", "content": "A comprehensive scenario of the single crystal growth and doping dependence of\nresistivity and anisotropic upper critical \felds in (Ba 1\u0000xKx)Fe 2As2(0:22\u0014x\u00141)\nY. Liu,1,\u0003M. A. Tanatar,1, 2,yW. E. Straszheim,1, 3B. Jensen,1K. W. Dennis,1\nR. W. McCallum,1, 4V. G. Kogan,1, 2R. Prozorov,1, 2and T. A. Lograsso1, 4\n1Division of Materials Sciences and Engineering, Ames Laboratory, Ames, Iowa 50011, USA\n2Department of Physics and Astronomy, Iowa State University, Ames, Iowa 50011, USA\n3Materials Analysis and Research Laboratory, Iowa State University, Ames, Iowa 50011, USA\n4Department of Materials Science and Engineering, Iowa State University, Ames, Iowa 50011, USA\n(Dated: May 29, 2022)\nLarge high-quality single crystals of hole-doped iron-based superconductor (Ba 1\u0000xKx)Fe2As2were\ngrown over a broad composition range 0 :22\u0014x\u00141 by inverted temperature gradient method. We\nfound that high soaking temperature, fast cooling rate, and adjusted temperature window of the\ngrowth are necessary to obtain single crystals of heavily K doped crystals (0.65 \u0014x\u00140.92) with nar-\nrow compositional distributions as revealed by sharp superconducting transitions in magnetization\nmeasurements and close to 100% superconducting volume fraction. The crystals were extensively\ncharacterized by x-ray and compositional analysis, revealing monotonic evolution of the c-axis crys-\ntal lattice parameter with K substitution. Quantitative measurements of the temperature-dependent\nin-plane resistivity, \u001a(T) found doping-independent, constant within error bars, resistivity at room\ntemperature, \u001a(300K), in sharp contrast with signi\fcant doping dependence in electron and isova-\nlent substituted BaFe 2As2based compositions. The shape of the temperature dependent resistivity,\n\u001a(T), shows systematic doping-evolution, being close to T2in overdoped and revealing signi\fcant\ncontribution of the T-linear component at optimum doping. The slope of the upper critical \feld,\ndHc2=dT, scales linearly with Tcfor bothHkc,Hc2;c, andHkab,Hc2;ab. The anisotropy of the\nupper critical \feld, \r\u0011Hc2;ab=Hc2;cdetermined near zero-\feld Tcincreases from\u00182 to 4-5 with\nincreasing K doping level from optimal x\u00180.4 to strongly overdoped x=1.\nI. INTRODUCTION\nSuperconductivity in (Ba 1\u0000xKx)Fe2As2(BaK122 in\nthe following) with transition temperature Tcas high\nas 38 K was found by Rotter et al. [1] very soon af-\nter discovery of high-temperature superconductivity in\nLaFeAs(O,F) by Hosono group [2]. It was found later\nthat superconductivity in BaFe 2As2can be also induced\nby electron doping on partial substitution of Fe atoms\nwith aliovalent Co [3] and Ni [4], by isovalent substitu-\ntion of Ru atoms at Fe sites [5] and P atoms at As sites\n[6], or by application of pressure [7].\nIn both families of compounds the superconductivity\nhas maximum Tcclose to a point where the antiferro-\nmagnetic order of the parent compounds BaFe 2As2and\nLaFeAsO, respectively, is suppressed, prompting intense\ndiscussion about the relation of superconductivity and\nmagnetism and potentially magnetic mechanism of su-\nperconducting pairing [8{12]. A characteristic feature\nof the scenario, suggested for magnetically mediated su-\nperconductivity [13{15], is systematic doping evolution\nof all electronic properties, in particular of electrical re-\nsistivity. Superconducting Tchas maximum at a point\nwhere line of the second order magnetic transition goes\ntoT= 0 (quantum critical point, QCP). Temperature\ndependent resistivity gradually transforms from T2ex-\npected in Fermi liquid theory of a metal away from QCP\n\u0003Corresponding author: yliu@ameslab.gov\nyCorresponding author: tanatar@ameslab.govtoT-linear at the QCP. In the transformation range \u001a(T)\ncan be described with a second order polynomial, with\nthe magnitude of T-linear scaling with superconduct-\ningTc[12]. In iron-based superconductors this scenario\nworks very well in iso-electron doped BaP122 [16{18].\nHere maximum Tcis indeed observed at x=0.33, close to\ndoping-tuned magnetic QCP, and signatures of QCP are\nfound in both normal [16{18] and superconducting [19]\nstates, with resistivity at optimal doping being T-linear\nfor both in-plane [16] and inter-plane [20] transport. De-\nviations from this scenario are not very pronounced in\nelectron-doped BaCo122. Here maximum Tcis observed\nclose to a composition where TN(x) extrapolates to zero,\nthough the actual line shows slope sign change on ap-\nproachingT=0 and reentrance of the tetragonal phase\n[21]. The temperature-dependent in-plane resistivity is\nclose toT-linear at optimal doping and transforms to T2\nin the overdoped regime, while the inter-plane resistivity\nshows limited range of T-linear dependence, terminated\nat high temperature by a broad crossover [22{25] due\nto pseudogap. The resistivity anisotropy \r\u001a\u0011\u001ac=\u001aa\nscales with the anisotropy of the upper critical \feld\n\rH\u0011Hc2;ab=Hc2;c[22] with\r\u001a=\r2\nH. The\rH(x)\nchanges step-like between underdoped and overdoped re-\ngions of the dome [26, 27], due to Fermi surface topology\nchange (Lifshits transition) [28].\nContrary to the cases of iso-electron substitution\nand electron doping, no systematic studies of the\ntemperature-dependent resistivity and anisotropic prop-\nerties of hole-doped BaK122 system were reported so\nfar. Studies were performed in the underdoped, x<0:4arXiv:1403.0227v1 [cond-mat.supr-con] 2 Mar 20142\n[29, 30] compositions, for which high quality single crys-\ntals can be grown from FeAs \rux [31], or in heavily over-\ndoped range x>0:76 [32], where crystals were prepared\nfrom KAs \rux [33]. Crystals of BaK122 can be also grown\nfrom Sn \rux [34], however, their properties are notably\na\u000bected by Sn inclusions at sub-percent level and will not\nbe discussed here.\nIn BaK122 the superconductivity appears on su\u000ecient\nsuppression of antiferromagnetic order, for x >\u00190:15,\nwhile magnetism is completely suppressed by x\u00190.25\n[35, 36], revealing a range of bulk coexistence. The dop-\ning edge of magnetism corresponds to Tc\u001827 K [30],\nnotably lower that the highest Tc\u001938 K observed at op-\ntimal doping x\u00190.4, away from concentration boundary\nof magnetism suppression. The Tc(x) dependence for x\nin the range 0.4 to 0.6 is nearly \rat [37]. The supercon-\nductivity is observed in the whole substitution range up\ntox=1 with steady decrease of Tcdown to 3.7 K in the\nend member KFe 2As2(x=1).\nBroad crossover in the temperature dependent resis-\ntivity is observed in in-plane transport in single crys-\ntals of BaK122 at doping close to optimal [38], similar\nto pure stoichiometric KFe 2As2(K122) [39{43]. Expla-\nnation of the crossover was suggested as arising from\nmulti-band e\u000bects [38], with contribution of two con-\nductivity channels, as found in optical studies [44] with\nnearly temperature-independent and strongly tempera-\nture dependent resistivities, respectively. The maximum\nin\u001aa(T) of BaK122 was discussed by Gasparov et al.\n[45] as arising from phonon-assisted scattering between\ntwo Fermi-surface sheets.\nThe information about the doping-evolution of the up-\nper critical \feld in hole-doped BaK122 is scattered. Very\nhigh upper critical \felds were reported for close to opti-\nmally doped compositions [45{47], in addition these com-\npositions are characterized by rather small critical \feld\nanisotropy. In another doping regime, close to x=1, very\nunusual behavior of the upper critical \felds is found. In\nKFe 2As2, the orbital Hc2found inHkccon\fguration, is\nclose toT-linear [40]. The slope of the dependence does\nnot depend on Tcsuppression with impurities [43]. In\ncon\fguration with magnetic \feld parallel to the plane,\nHkab, the upper critical \feld is Pauli limited, as sug-\ngested both by the di\u000berence in the shape of the phase\ndiagram and quite sharp changes at Hc2[48]. Heat capac-\nity study in Hkacon\fguration, however, had not found\n\frst order transition[49], but rather suggested multi-band\nFulde-Ferrel-Larkin-Ovchinnikov (FFLO [50, 51]) state\n[52]. In slightly less doped material with x=0.93, (Tc\u00188\nK) hysteresis is observed in the \feld-tuned resistive tran-\nsition curves in Hkabcon\fguration at temperatures\nbelow 1 K, which can be attributed to a \frst-order su-\nperconducting transition due to paramagnetic e\u000bect [53].\nMore systematic studies of the anisotropic Hc2in BaK122\nsystem are desperately required.\nIn this study, we report growth of high quality sin-\ngle crystals of (Ba 1\u0000xKx)Fe2As2for all doping ranges\n(0:22\u0014x\u00141) and report systematic study of theirtemperature-dependent resistivity and anisotropic upper\ncritical \felds. We found nearly doping independent re-\nsistivity value at high temperatures, which is in notable\ncontrast to electron-doped BaCo122 [24] and iso-electron\nsubstituted BaP122 [16] materials. We \fnd systematic\nevolution of the temperature dependent resistivity with\ndoping and rapid decrease of residual resistivity towards\nx=1. We also found that the slopes dHc2=dTare propor-\ntional toTcfor bothHkcandHkabcon\fgurations.\nThe anisotropy \r\u0011Hc2;ab=Hc2;c, increases from 2 to 4-5\nwith increasing K doping level. The doping dependence\nof anisotropy ratio might be linked with change of the\ntopology of the Fermi surface and the evolution of the\nsuperconducting gap.\nII. EXPERIMENTAL\nA. Crystal growth\nTa \nampoule K and \nAs \nvapor T (°C) \nL (cm) \n(a) (b) x=0.39 \n(c) x=0.92 ΔT=20 °C \nFIG. 1. (a) Single crystals of (Ba 1\u0000xKx)Fe2As2were grown\nin a vertical two-zone tube furnace, in which the tempera-\nture of the top zone during the growth was set 20K lower\nthan the temperature of the bottom zone. (b) Photograph of\nthe as-grown single crystal with x=0.39 cleaved along the ab\nplane, showing sample with in-plane dimensions up to 18 \u000210\nmm2. The re\rection of the camera can be seen in the mirror-\nlike surface. (c) Thin plate-like crystal of heavily K doped\ncomposition x=0.92 with size up to 15 \u000210 mm2.\nWe have previously described successful growth of the\nhigh quality single crystals of stoichiometric KFe 2As2at\nT=1157 K using KAs \rux [43]. One of the key elements\nof the growth technique was using a liquid-Sn sealing of\nalumina crucibles to suppress the evaporation of K and\nAs. This technique allowed us to avoid use of quartz\ntubes in direct contact with K vapor and use of expensive\nsealed tantalum tubes. Analysis of the growth morphol-\nogy in the case of KFe 2As2[43] lead us to conclude that\nthe crystals nucleate on the surface of the melt and grow\nby the reaction on the top surface of the crystal with K\nand As in the vapor phase. We were able to promote this\nreaction by developing an inverted-temperature-gradient\nmethod with the colder zone at the top of the crucible,3\nas shown in Fig. 1(a). A temperature gap of 20 K was\nset between the top zone and the bottom zone. This\nmethod yielded higher quality crystals of KFe 2As2with\nresidual resistivity ratio of up to 3000 than obtained in\ntraditional \rux-method, as crystallization from the liq-\nuid top can expel impurity phases into the liquid during\ncrystal growth.\nThis method works very well for the growth of heav-\nily K doped (Ba 1\u0000xKx)Fe2As2single crystals. Small\namount of Ba was added to the load with the ratio\nBa:K:Fe:As= y:5:2:6 (y=0.1, 0.2, and 0.3) in the stating\nmaterials. The chemicals were weighed and loaded into\nan alumina crucible in a glove box under argon atmo-\nsphere. Because of use of higher soaking temperatures\nleading to higher vapor pressures Sn seal technique was\nnot reliable enough, and we switched to tantalum tube\nsealing. The alumina crucibles were then sealed in a tan-\ntalum tube by arc welding. In Table I we show the growth\nconditions of Ba 1\u0000xKxFe2As2single crystals. We started\nto grow heavily K doped crystals by following the same\nprocedure that had worked well for the crystal growth of\nKFe 2As2. Fory=0.1, we obtained single crystals with K\ndoping level at around x=0.90 using soaking temperature\nof 1193 K. The actual compositions of the crystals were\ndetermined by wavelength dispersive x-ray spectroscopy\n(WDS) electron-probe microanalysis. For y=0.2 and 0.3,\nthe single crystals obtained by cooling down from the\nsoaking temperature of T=1193 K display broad transi-\ntions, which suggests inhomogeneity of Ba and K distri-\nbutions in the sample. We were able to improve sample\nquality by adjusting the composition of the starting load\nmaterial and soaking temperatures, as shown in Table I.\nWe found that increase of the soaking temperature to\nT=1273 K helps growth of the samples with x=0.8 and\n0.9 with sharp superconducting transition. The further\nincrease of the soaking temperature up to 1323 K, leads\nto growth of the crystals showing multiple steps at the\nsuperconducting transition due to inhomogeneous K dis-\ntribution. We found that higher soaking temperatures\n1273K\u0014T\u00141323 K and narrowed temperature window\nfor crystal growth are similarly useful to grow the crys-\ntals within the doping range 0 :6< x < 0:9 with sharp\nsuperconducting transition.\nFor the samples with K doping levels below x=0.55,\nwe turned to the FeAs \rux method. The growth condi-\ntions can be found in Table I. For the crystals within the\noptimal doping range (0 :3< x < 0:5), the growth us-\ning conditions as shown in Table I yielded large and high\nquality crystals with sharp transition. Interestingly, to\ngrow high quality underdoped crystals, a further increase\nof the soaking temperature to 1453 K and fast cooling\nrate of 2 K/h are needed. A series of large and high\nquality (Ba 1\u0000xKx)Fe2As2single crystals (0 :22\u0014x\u00141)\nwith sizes up to 18 \u000210\u00021 mm3, as shown in Fig. 1(b)\nforx=0.39 and Fig. 1 (c) for x=0.92. In fact, the size of\nBa1\u0000xKxFe2As2single crystals was only limited by the\nsize of alumina crucibles used.B. Sample characterization\nXRD measurements were performed on a PANalyti-\ncal MPD di\u000bractometer using Co K\u000bradiation. The\nK\u000b2 radiation was removed with X'pert Highscore soft-\nware. All BaK122 crystals are readily cleaved along the\nabplane, as shown in Figs. 1(b)-(c). The XRD patterns\nof BaK122 single crystals with 0 :22\u0014x\u00141 are shown in\nFig. 2. The traces of impurity phases close to the base-\nline are indicated by the asterisks, they are most likely\ncaused by the \rux inclusions. Figure 2(b) shows system-\natic shift of the (008) peak towards the lower angles with\nincreasing K content. The c-axis lattice parameter is es-\ntimated based on the (00 l) di\u000bractions and displayed as\na function of K content in Fig. 2(c); it changes linearly\nwithxits values match well the results on polycrystalline\nsamples [37].\n20 40 60 80 100101102103104105\n0.0 0.2 0.4 0.6 0.8 1.013.013.213.413.613.814.0***\n(0012)(0010)(008)(006)(004)(002)\n Intensity (arb. units)\n2 (degree)\nBa1-xKxFe2As2(a)\n*\n(c)\n c lattice parameter ( Å)\nK content x62 63 64 65 660.921\n0.900.82\n0.80\n0.65\n0.560.53\n0.47\n0.340.39 x=0.22(b)\n Intensity (arb. units)\n2 (degree)\nFIG. 2. X-ray di\u000braction patterns of (Ba 1\u0000xKx)Fe2As2\n0:22\u0014x\u00141 single crystals. The logarithmic plot reveals\nsmall amount of impurity phases indicated by the asterisks,\nwhich could result from the FeAs and KAs \rux inclusions. (b)\nThe (008) peak, seen in 61\u000e<2\u0002<67\u000erange, systematically\nshifts with increasing K doping level towards the low angles.\n(c) Theclattice parameter changes linearly the K content x.\nThe dashed line is guide for eyes.\nMagnetic susceptibility \u001f(T) was measured using\nPPMS Vibrating Sample Magnetometer ( PPMS VSM,\nQuantum Design ). Typical size of the single crystals\nused in magnetization measurements was 4 \u00023\u00020.2 mm3,\nand their mass was \u001810 mg. In-plane resistivity \u001aa\nwas measured in four-probe con\fguration using Physical\nProperty Measurement System ( PPMS, Quantum De-\nsign). Samples were cleaved into bars with typical di-4\nTABLE I. Growth conditions of (Ba 1\u0000xKx)Fe2As2single crystals. Soaking temperature corresponds to the set temperature of\nbottom zone, with the top zone temperature 20 K lower than the bottom zone.\nTarget K doping level Starting mixture Soaking temperature Soaking time Cooling rate\nx=0.22 Ba:K:Fe:As= 1- x:x:6:6 1453 K 2h 2 K/h to 1313 K\nx=0.34, 0.39, 0.47, 0.53 Ba:K:Fe:As= 1- x:2x:4:5 1413 K 2h 1 K/h to 1293 K\nx= 0.55 Ba:K:Fe:As= x:3x:4:5 1393 K 2h 0.5 K/h to 1293 K\nx= 0.65, 0.80 and 0.82 Ba:K:Fe:As= y:4:2:5 1273 K 6h 4 K/h to 1173 K\n(Ba: y=0.2 0.3) 1 K/h to 973 K\nx=0.90 and 0.92 Ba:K:Fe:As= y:4:2:5 1273 K 2h 3 K/h to 1173 K\nBa:y=0.1 1 K/h to 973 K\nx=1 K:Fe:As=5:2:6 1193 K 1h 4 K/h to 1093 K\nmensions (1-2)\u00020(0.3-0.5)\u0002(0.02-0.05) mm3. Electrical\ncontacts were made by soldering Ag wires using pure tin\n[54, 55] and had contact resistance typically in several \u0016\nrange. Sample dimensions were measured using optical\nmicroscope with the accuracy of abou 10%. Quantitative\ncharacterization of resistivity was made on a big array of\nsamples of each composition.\nIn Fig. 3 we show the temperature dependence of\nmagnetic susceptibility \u001f(T) for BaK122 single crystals\nwith 0:22\u0014x\u00141. Sharp superconducting transition\n(\u0001Tc<0.6 K) in magnetic susceptibility curves show high\nquality of crystals with x=0.34, 0.39, 0.47, 0.53, 0.55, and\n1. The transition width \u0001 Tcwas de\fned using 90% and\n10% drop in \u001f(T) of the full diamagnetic transition as\nthe criterion. The samples with x=0.82, 0.90, and 0.92\nhave \u0001Tc<1 K. However, the samples with x=0.65 and\n0.80 have large \u0001 Tcof 3 K and 5 K, respectively. As\nwe mentioned in the Experimental section, we shifted\nthe temperature windows and adjusted the starting load\ncomposition and materials to improve the sample quality\nand obtain sharper transitions.\nUsingTcfrom magnetic susceptibility data of top panel\nof Fig. 3 and xvalues as obtained in WDS analysis, we\nconstructed the doping phase diagram, as shown in bot-\ntom panel of Fig. 3. For reference we show the diagram as\ndetermined from measurements on high quality polycrys-\ntalline materials [36] and on high quality single crystals\non the underdoped side [31]. The three studies are in\ngood agreement.\nWe do not see any indications of the phase separation\nin our underdoped samples x=0.22. Previous study of\nunderdoped BaK122 samples grown from Sn \rux with\nx=0.28 found regions of antiferromagnetically (AF) or-\ndered phase with size of 65 nm coexisting with nonmag-\nnetic superconducting regions [56]. Later study using\nthree-dimensional (3D) atom probe tomography revealed\nthat the separation is caused by inhomogeneous distribu-\ntions of Ba and K elements [57], with a tendency for Ba\nand K atoms to form clusters. Thus we conclude that this\nproblem is not characteristic of the growth technique we\n0.0 0.2 0.4 0.6 0.8 1.00501001500 1 02 03 04 05 0-1.0-0.50.0\n(b) Tetragonal\n(I4/mmm) T (K)\nK content xOrthor-\nhombic(Fmmm)\nSC AF\nCoexistence of\nAF and SC x=0.22\n x=0.34\n x=0.39\n x=0.47\n x=0.53\n x=0.55\n x=0.65\n x=0.80\n x=0.82\n x=0.90\n x=0.92\n x=1 \n 4\nT (K)(a)FIG. 3. (Color online) (a) Temperature dependence of the\nmagnetic susceptibility \u001f(T) of (Ba 1\u0000xKx)Fe2As20:22\u0014x\u0014\n1 single crystals. Bulk superconducting transition tempera-\ntureTcwas determined from the onset point of the rapid drop\nof\u001f(T). (b) Doping phase diagram of (Ba 1\u0000xKx)Fe2As2as\ndetermined from magnetization measurements on single crys-\ntals 0:22\u0014x\u00141. The superconducting transition temper-\nature (red solid dots), Tc(x), matches well that obtained on\npolycrystalline samples (blue dashes) [35{37]. Solid line shows\nboundary of orthorhombic/antiferromagnetic phase from neu-\ntron scattering study on polycrystals [35{37].\nuse.\nWe do see, however, that strong inhomogeneity occurs\nduring crystal growth of overdoped crystals (0 :65