jrahn commited on
Commit
17d3d6c
1 Parent(s): 2b9190f

Upload dataset

Browse files
README.md ADDED
@@ -0,0 +1,1982 @@
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
1
+ ---
2
+ dataset_info:
3
+ features:
4
+ - name: text
5
+ dtype: string
6
+ - name: label
7
+ dtype:
8
+ class_label:
9
+ names:
10
+ '0': a1a2
11
+ '1': a1a3
12
+ '2': a1a4
13
+ '3': a1a5
14
+ '4': a1a6
15
+ '5': a1a7
16
+ '6': a1a8
17
+ '7': a1b1
18
+ '8': a1b2
19
+ '9': a1b3
20
+ '10': a1c1
21
+ '11': a1c2
22
+ '12': a1c3
23
+ '13': a1d1
24
+ '14': a1d4
25
+ '15': a1e1
26
+ '16': a1e5
27
+ '17': a1f1
28
+ '18': a1f6
29
+ '19': a1g1
30
+ '20': a1g7
31
+ '21': a1h1
32
+ '22': a1h8
33
+ '23': a2a1
34
+ '24': a2a1b
35
+ '25': a2a1n
36
+ '26': a2a1q
37
+ '27': a2a1r
38
+ '28': a2a3
39
+ '29': a2a4
40
+ '30': a2a5
41
+ '31': a2a6
42
+ '32': a2a7
43
+ '33': a2a8
44
+ '34': a2b1
45
+ '35': a2b1b
46
+ '36': a2b1n
47
+ '37': a2b1r
48
+ '38': a2b2
49
+ '39': a2b3
50
+ '40': a2b4
51
+ '41': a2c1
52
+ '42': a2c2
53
+ '43': a2c3
54
+ '44': a2c4
55
+ '45': a2d2
56
+ '46': a2d5
57
+ '47': a2e2
58
+ '48': a2e6
59
+ '49': a2f2
60
+ '50': a2f7
61
+ '51': a2g2
62
+ '52': a2g8
63
+ '53': a2h2
64
+ '54': a3a1
65
+ '55': a3a2
66
+ '56': a3a4
67
+ '57': a3a5
68
+ '58': a3a6
69
+ '59': a3a7
70
+ '60': a3a8
71
+ '61': a3b1
72
+ '62': a3b2
73
+ '63': a3b3
74
+ '64': a3b4
75
+ '65': a3b5
76
+ '66': a3c1
77
+ '67': a3c2
78
+ '68': a3c3
79
+ '69': a3c4
80
+ '70': a3c5
81
+ '71': a3d3
82
+ '72': a3d6
83
+ '73': a3e3
84
+ '74': a3e7
85
+ '75': a3f3
86
+ '76': a3f8
87
+ '77': a3g3
88
+ '78': a3h3
89
+ '79': a4a1
90
+ '80': a4a2
91
+ '81': a4a3
92
+ '82': a4a5
93
+ '83': a4a6
94
+ '84': a4a7
95
+ '85': a4a8
96
+ '86': a4b2
97
+ '87': a4b3
98
+ '88': a4b4
99
+ '89': a4b5
100
+ '90': a4b6
101
+ '91': a4c2
102
+ '92': a4c3
103
+ '93': a4c4
104
+ '94': a4c5
105
+ '95': a4c6
106
+ '96': a4d1
107
+ '97': a4d4
108
+ '98': a4d7
109
+ '99': a4e4
110
+ '100': a4e8
111
+ '101': a4f4
112
+ '102': a4g4
113
+ '103': a4h4
114
+ '104': a5a1
115
+ '105': a5a2
116
+ '106': a5a3
117
+ '107': a5a4
118
+ '108': a5a6
119
+ '109': a5a7
120
+ '110': a5a8
121
+ '111': a5b3
122
+ '112': a5b4
123
+ '113': a5b5
124
+ '114': a5b6
125
+ '115': a5b7
126
+ '116': a5c3
127
+ '117': a5c4
128
+ '118': a5c5
129
+ '119': a5c6
130
+ '120': a5c7
131
+ '121': a5d2
132
+ '122': a5d5
133
+ '123': a5d8
134
+ '124': a5e1
135
+ '125': a5e5
136
+ '126': a5f5
137
+ '127': a5g5
138
+ '128': a5h5
139
+ '129': a6a1
140
+ '130': a6a2
141
+ '131': a6a3
142
+ '132': a6a4
143
+ '133': a6a5
144
+ '134': a6a7
145
+ '135': a6a8
146
+ '136': a6b4
147
+ '137': a6b5
148
+ '138': a6b6
149
+ '139': a6b7
150
+ '140': a6b8
151
+ '141': a6c4
152
+ '142': a6c5
153
+ '143': a6c6
154
+ '144': a6c7
155
+ '145': a6c8
156
+ '146': a6d3
157
+ '147': a6d6
158
+ '148': a6e2
159
+ '149': a6e6
160
+ '150': a6f1
161
+ '151': a6f6
162
+ '152': a6g6
163
+ '153': a6h6
164
+ '154': a7a1
165
+ '155': a7a2
166
+ '156': a7a3
167
+ '157': a7a4
168
+ '158': a7a5
169
+ '159': a7a6
170
+ '160': a7a8
171
+ '161': a7a8b
172
+ '162': a7a8n
173
+ '163': a7a8q
174
+ '164': a7a8r
175
+ '165': a7b5
176
+ '166': a7b6
177
+ '167': a7b7
178
+ '168': a7b8
179
+ '169': a7b8b
180
+ '170': a7b8q
181
+ '171': a7b8r
182
+ '172': a7c5
183
+ '173': a7c6
184
+ '174': a7c7
185
+ '175': a7c8
186
+ '176': a7d4
187
+ '177': a7d7
188
+ '178': a7e3
189
+ '179': a7e7
190
+ '180': a7f2
191
+ '181': a7f7
192
+ '182': a7g1
193
+ '183': a7g7
194
+ '184': a7h7
195
+ '185': a8a1
196
+ '186': a8a2
197
+ '187': a8a3
198
+ '188': a8a4
199
+ '189': a8a5
200
+ '190': a8a6
201
+ '191': a8a7
202
+ '192': a8b6
203
+ '193': a8b7
204
+ '194': a8b8
205
+ '195': a8c6
206
+ '196': a8c7
207
+ '197': a8c8
208
+ '198': a8d5
209
+ '199': a8d8
210
+ '200': a8e4
211
+ '201': a8e8
212
+ '202': a8f3
213
+ '203': a8f8
214
+ '204': a8g2
215
+ '205': a8g8
216
+ '206': a8h1
217
+ '207': a8h8
218
+ '208': b1a1
219
+ '209': b1a2
220
+ '210': b1a3
221
+ '211': b1b2
222
+ '212': b1b3
223
+ '213': b1b4
224
+ '214': b1b5
225
+ '215': b1b6
226
+ '216': b1b7
227
+ '217': b1b8
228
+ '218': b1c1
229
+ '219': b1c2
230
+ '220': b1c3
231
+ '221': b1d1
232
+ '222': b1d2
233
+ '223': b1d3
234
+ '224': b1e1
235
+ '225': b1e4
236
+ '226': b1f1
237
+ '227': b1f5
238
+ '228': b1g1
239
+ '229': b1g6
240
+ '230': b1h1
241
+ '231': b1h7
242
+ '232': b2a1
243
+ '233': b2a1b
244
+ '234': b2a1q
245
+ '235': b2a1r
246
+ '236': b2a2
247
+ '237': b2a3
248
+ '238': b2a4
249
+ '239': b2b1
250
+ '240': b2b1b
251
+ '241': b2b1n
252
+ '242': b2b1q
253
+ '243': b2b1r
254
+ '244': b2b3
255
+ '245': b2b4
256
+ '246': b2b5
257
+ '247': b2b6
258
+ '248': b2b7
259
+ '249': b2b8
260
+ '250': b2c1
261
+ '251': b2c1b
262
+ '252': b2c1n
263
+ '253': b2c1q
264
+ '254': b2c1r
265
+ '255': b2c2
266
+ '256': b2c3
267
+ '257': b2c4
268
+ '258': b2d1
269
+ '259': b2d2
270
+ '260': b2d3
271
+ '261': b2d4
272
+ '262': b2e2
273
+ '263': b2e5
274
+ '264': b2f2
275
+ '265': b2f6
276
+ '266': b2g2
277
+ '267': b2g7
278
+ '268': b2h2
279
+ '269': b2h8
280
+ '270': b3a1
281
+ '271': b3a2
282
+ '272': b3a3
283
+ '273': b3a4
284
+ '274': b3a5
285
+ '275': b3b1
286
+ '276': b3b2
287
+ '277': b3b4
288
+ '278': b3b5
289
+ '279': b3b6
290
+ '280': b3b7
291
+ '281': b3b8
292
+ '282': b3c1
293
+ '283': b3c2
294
+ '284': b3c3
295
+ '285': b3c4
296
+ '286': b3c5
297
+ '287': b3d1
298
+ '288': b3d2
299
+ '289': b3d3
300
+ '290': b3d4
301
+ '291': b3d5
302
+ '292': b3e3
303
+ '293': b3e6
304
+ '294': b3f3
305
+ '295': b3f7
306
+ '296': b3g3
307
+ '297': b3g8
308
+ '298': b3h3
309
+ '299': b4a2
310
+ '300': b4a3
311
+ '301': b4a4
312
+ '302': b4a5
313
+ '303': b4a6
314
+ '304': b4b1
315
+ '305': b4b2
316
+ '306': b4b3
317
+ '307': b4b5
318
+ '308': b4b6
319
+ '309': b4b7
320
+ '310': b4b8
321
+ '311': b4c2
322
+ '312': b4c3
323
+ '313': b4c4
324
+ '314': b4c5
325
+ '315': b4c6
326
+ '316': b4d2
327
+ '317': b4d3
328
+ '318': b4d4
329
+ '319': b4d5
330
+ '320': b4d6
331
+ '321': b4e1
332
+ '322': b4e4
333
+ '323': b4e7
334
+ '324': b4f4
335
+ '325': b4f8
336
+ '326': b4g4
337
+ '327': b4h4
338
+ '328': b5a3
339
+ '329': b5a4
340
+ '330': b5a5
341
+ '331': b5a6
342
+ '332': b5a7
343
+ '333': b5b1
344
+ '334': b5b2
345
+ '335': b5b3
346
+ '336': b5b4
347
+ '337': b5b6
348
+ '338': b5b7
349
+ '339': b5b8
350
+ '340': b5c3
351
+ '341': b5c4
352
+ '342': b5c5
353
+ '343': b5c6
354
+ '344': b5c7
355
+ '345': b5d3
356
+ '346': b5d4
357
+ '347': b5d5
358
+ '348': b5d6
359
+ '349': b5d7
360
+ '350': b5e2
361
+ '351': b5e5
362
+ '352': b5e8
363
+ '353': b5f1
364
+ '354': b5f5
365
+ '355': b5g5
366
+ '356': b5h5
367
+ '357': b6a4
368
+ '358': b6a5
369
+ '359': b6a6
370
+ '360': b6a7
371
+ '361': b6a8
372
+ '362': b6b1
373
+ '363': b6b2
374
+ '364': b6b3
375
+ '365': b6b4
376
+ '366': b6b5
377
+ '367': b6b7
378
+ '368': b6b8
379
+ '369': b6c4
380
+ '370': b6c5
381
+ '371': b6c6
382
+ '372': b6c7
383
+ '373': b6c8
384
+ '374': b6d4
385
+ '375': b6d5
386
+ '376': b6d6
387
+ '377': b6d7
388
+ '378': b6d8
389
+ '379': b6e3
390
+ '380': b6e6
391
+ '381': b6f2
392
+ '382': b6f6
393
+ '383': b6g1
394
+ '384': b6g6
395
+ '385': b6h6
396
+ '386': b7a5
397
+ '387': b7a6
398
+ '388': b7a7
399
+ '389': b7a8
400
+ '390': b7a8b
401
+ '391': b7a8n
402
+ '392': b7a8q
403
+ '393': b7a8r
404
+ '394': b7b1
405
+ '395': b7b2
406
+ '396': b7b3
407
+ '397': b7b4
408
+ '398': b7b5
409
+ '399': b7b6
410
+ '400': b7b8
411
+ '401': b7b8b
412
+ '402': b7b8n
413
+ '403': b7b8q
414
+ '404': b7b8r
415
+ '405': b7c5
416
+ '406': b7c6
417
+ '407': b7c7
418
+ '408': b7c8
419
+ '409': b7c8b
420
+ '410': b7c8q
421
+ '411': b7c8r
422
+ '412': b7d5
423
+ '413': b7d6
424
+ '414': b7d7
425
+ '415': b7d8
426
+ '416': b7e4
427
+ '417': b7e7
428
+ '418': b7f3
429
+ '419': b7f7
430
+ '420': b7g2
431
+ '421': b7g7
432
+ '422': b7h1
433
+ '423': b7h7
434
+ '424': b8a6
435
+ '425': b8a7
436
+ '426': b8a8
437
+ '427': b8b1
438
+ '428': b8b2
439
+ '429': b8b3
440
+ '430': b8b4
441
+ '431': b8b5
442
+ '432': b8b6
443
+ '433': b8b7
444
+ '434': b8c6
445
+ '435': b8c7
446
+ '436': b8c8
447
+ '437': b8d6
448
+ '438': b8d7
449
+ '439': b8d8
450
+ '440': b8e5
451
+ '441': b8e8
452
+ '442': b8f4
453
+ '443': b8f8
454
+ '444': b8g3
455
+ '445': b8g8
456
+ '446': b8h2
457
+ '447': b8h8
458
+ '448': c1a1
459
+ '449': c1a2
460
+ '450': c1a3
461
+ '451': c1b1
462
+ '452': c1b2
463
+ '453': c1b3
464
+ '454': c1c2
465
+ '455': c1c3
466
+ '456': c1c4
467
+ '457': c1c5
468
+ '458': c1c6
469
+ '459': c1c7
470
+ '460': c1c8
471
+ '461': c1d1
472
+ '462': c1d2
473
+ '463': c1d3
474
+ '464': c1e1
475
+ '465': c1e2
476
+ '466': c1e3
477
+ '467': c1f1
478
+ '468': c1f4
479
+ '469': c1g1
480
+ '470': c1g5
481
+ '471': c1h1
482
+ '472': c1h6
483
+ '473': c2a1
484
+ '474': c2a2
485
+ '475': c2a3
486
+ '476': c2a4
487
+ '477': c2b1
488
+ '478': c2b1b
489
+ '479': c2b1n
490
+ '480': c2b1q
491
+ '481': c2b2
492
+ '482': c2b3
493
+ '483': c2b4
494
+ '484': c2c1
495
+ '485': c2c1b
496
+ '486': c2c1n
497
+ '487': c2c1q
498
+ '488': c2c1r
499
+ '489': c2c3
500
+ '490': c2c4
501
+ '491': c2c5
502
+ '492': c2c6
503
+ '493': c2c7
504
+ '494': c2c8
505
+ '495': c2d1
506
+ '496': c2d1b
507
+ '497': c2d1n
508
+ '498': c2d1q
509
+ '499': c2d1r
510
+ '500': c2d2
511
+ '501': c2d3
512
+ '502': c2d4
513
+ '503': c2e1
514
+ '504': c2e2
515
+ '505': c2e3
516
+ '506': c2e4
517
+ '507': c2f2
518
+ '508': c2f5
519
+ '509': c2g2
520
+ '510': c2g6
521
+ '511': c2h2
522
+ '512': c2h7
523
+ '513': c3a1
524
+ '514': c3a2
525
+ '515': c3a3
526
+ '516': c3a4
527
+ '517': c3a5
528
+ '518': c3b1
529
+ '519': c3b2
530
+ '520': c3b3
531
+ '521': c3b4
532
+ '522': c3b5
533
+ '523': c3c1
534
+ '524': c3c2
535
+ '525': c3c4
536
+ '526': c3c5
537
+ '527': c3c6
538
+ '528': c3c7
539
+ '529': c3c8
540
+ '530': c3d1
541
+ '531': c3d2
542
+ '532': c3d3
543
+ '533': c3d4
544
+ '534': c3d5
545
+ '535': c3e1
546
+ '536': c3e2
547
+ '537': c3e3
548
+ '538': c3e4
549
+ '539': c3e5
550
+ '540': c3f3
551
+ '541': c3f6
552
+ '542': c3g3
553
+ '543': c3g7
554
+ '544': c3h3
555
+ '545': c3h8
556
+ '546': c4a2
557
+ '547': c4a3
558
+ '548': c4a4
559
+ '549': c4a5
560
+ '550': c4a6
561
+ '551': c4b2
562
+ '552': c4b3
563
+ '553': c4b4
564
+ '554': c4b5
565
+ '555': c4b6
566
+ '556': c4c1
567
+ '557': c4c2
568
+ '558': c4c3
569
+ '559': c4c5
570
+ '560': c4c6
571
+ '561': c4c7
572
+ '562': c4c8
573
+ '563': c4d2
574
+ '564': c4d3
575
+ '565': c4d4
576
+ '566': c4d5
577
+ '567': c4d6
578
+ '568': c4e2
579
+ '569': c4e3
580
+ '570': c4e4
581
+ '571': c4e5
582
+ '572': c4e6
583
+ '573': c4f1
584
+ '574': c4f4
585
+ '575': c4f7
586
+ '576': c4g4
587
+ '577': c4g8
588
+ '578': c4h4
589
+ '579': c5a3
590
+ '580': c5a4
591
+ '581': c5a5
592
+ '582': c5a6
593
+ '583': c5a7
594
+ '584': c5b3
595
+ '585': c5b4
596
+ '586': c5b5
597
+ '587': c5b6
598
+ '588': c5b7
599
+ '589': c5c1
600
+ '590': c5c2
601
+ '591': c5c3
602
+ '592': c5c4
603
+ '593': c5c6
604
+ '594': c5c7
605
+ '595': c5c8
606
+ '596': c5d3
607
+ '597': c5d4
608
+ '598': c5d5
609
+ '599': c5d6
610
+ '600': c5d7
611
+ '601': c5e3
612
+ '602': c5e4
613
+ '603': c5e5
614
+ '604': c5e6
615
+ '605': c5e7
616
+ '606': c5f2
617
+ '607': c5f5
618
+ '608': c5f8
619
+ '609': c5g1
620
+ '610': c5g5
621
+ '611': c5h5
622
+ '612': c6a4
623
+ '613': c6a5
624
+ '614': c6a6
625
+ '615': c6a7
626
+ '616': c6a8
627
+ '617': c6b4
628
+ '618': c6b5
629
+ '619': c6b6
630
+ '620': c6b7
631
+ '621': c6b8
632
+ '622': c6c1
633
+ '623': c6c2
634
+ '624': c6c3
635
+ '625': c6c4
636
+ '626': c6c5
637
+ '627': c6c7
638
+ '628': c6c8
639
+ '629': c6d4
640
+ '630': c6d5
641
+ '631': c6d6
642
+ '632': c6d7
643
+ '633': c6d8
644
+ '634': c6e4
645
+ '635': c6e5
646
+ '636': c6e6
647
+ '637': c6e7
648
+ '638': c6e8
649
+ '639': c6f3
650
+ '640': c6f6
651
+ '641': c6g2
652
+ '642': c6g6
653
+ '643': c6h1
654
+ '644': c6h6
655
+ '645': c7a5
656
+ '646': c7a6
657
+ '647': c7a7
658
+ '648': c7a8
659
+ '649': c7b5
660
+ '650': c7b6
661
+ '651': c7b7
662
+ '652': c7b8
663
+ '653': c7b8q
664
+ '654': c7b8r
665
+ '655': c7c1
666
+ '656': c7c2
667
+ '657': c7c3
668
+ '658': c7c4
669
+ '659': c7c5
670
+ '660': c7c6
671
+ '661': c7c8
672
+ '662': c7c8b
673
+ '663': c7c8n
674
+ '664': c7c8q
675
+ '665': c7c8r
676
+ '666': c7d5
677
+ '667': c7d6
678
+ '668': c7d7
679
+ '669': c7d8
680
+ '670': c7d8b
681
+ '671': c7d8n
682
+ '672': c7d8q
683
+ '673': c7d8r
684
+ '674': c7e5
685
+ '675': c7e6
686
+ '676': c7e7
687
+ '677': c7e8
688
+ '678': c7f4
689
+ '679': c7f7
690
+ '680': c7g3
691
+ '681': c7g7
692
+ '682': c7h2
693
+ '683': c7h7
694
+ '684': c8a6
695
+ '685': c8a7
696
+ '686': c8a8
697
+ '687': c8b6
698
+ '688': c8b7
699
+ '689': c8b8
700
+ '690': c8c1
701
+ '691': c8c2
702
+ '692': c8c3
703
+ '693': c8c4
704
+ '694': c8c5
705
+ '695': c8c6
706
+ '696': c8c7
707
+ '697': c8d6
708
+ '698': c8d7
709
+ '699': c8d8
710
+ '700': c8e6
711
+ '701': c8e7
712
+ '702': c8e8
713
+ '703': c8f5
714
+ '704': c8f8
715
+ '705': c8g4
716
+ '706': c8g8
717
+ '707': c8h3
718
+ '708': c8h8
719
+ '709': d1a1
720
+ '710': d1a4
721
+ '711': d1b1
722
+ '712': d1b2
723
+ '713': d1b3
724
+ '714': d1c1
725
+ '715': d1c2
726
+ '716': d1c3
727
+ '717': d1d2
728
+ '718': d1d3
729
+ '719': d1d4
730
+ '720': d1d5
731
+ '721': d1d6
732
+ '722': d1d7
733
+ '723': d1d8
734
+ '724': d1e1
735
+ '725': d1e2
736
+ '726': d1e3
737
+ '727': d1f1
738
+ '728': d1f2
739
+ '729': d1f3
740
+ '730': d1g1
741
+ '731': d1g4
742
+ '732': d1h1
743
+ '733': d1h5
744
+ '734': d2a2
745
+ '735': d2a5
746
+ '736': d2b1
747
+ '737': d2b2
748
+ '738': d2b3
749
+ '739': d2b4
750
+ '740': d2c1
751
+ '741': d2c1b
752
+ '742': d2c1n
753
+ '743': d2c1r
754
+ '744': d2c2
755
+ '745': d2c3
756
+ '746': d2c4
757
+ '747': d2d1
758
+ '748': d2d1b
759
+ '749': d2d1n
760
+ '750': d2d1q
761
+ '751': d2d1r
762
+ '752': d2d3
763
+ '753': d2d4
764
+ '754': d2d5
765
+ '755': d2d6
766
+ '756': d2d7
767
+ '757': d2d8
768
+ '758': d2e1
769
+ '759': d2e1b
770
+ '760': d2e1n
771
+ '761': d2e1q
772
+ '762': d2e1r
773
+ '763': d2e2
774
+ '764': d2e3
775
+ '765': d2e4
776
+ '766': d2f1
777
+ '767': d2f2
778
+ '768': d2f3
779
+ '769': d2f4
780
+ '770': d2g2
781
+ '771': d2g5
782
+ '772': d2h2
783
+ '773': d2h6
784
+ '774': d3a3
785
+ '775': d3a6
786
+ '776': d3b1
787
+ '777': d3b2
788
+ '778': d3b3
789
+ '779': d3b4
790
+ '780': d3b5
791
+ '781': d3c1
792
+ '782': d3c2
793
+ '783': d3c3
794
+ '784': d3c4
795
+ '785': d3c5
796
+ '786': d3d1
797
+ '787': d3d2
798
+ '788': d3d4
799
+ '789': d3d5
800
+ '790': d3d6
801
+ '791': d3d7
802
+ '792': d3d8
803
+ '793': d3e1
804
+ '794': d3e2
805
+ '795': d3e3
806
+ '796': d3e4
807
+ '797': d3e5
808
+ '798': d3f1
809
+ '799': d3f2
810
+ '800': d3f3
811
+ '801': d3f4
812
+ '802': d3f5
813
+ '803': d3g3
814
+ '804': d3g6
815
+ '805': d3h3
816
+ '806': d3h7
817
+ '807': d4a1
818
+ '808': d4a4
819
+ '809': d4a7
820
+ '810': d4b2
821
+ '811': d4b3
822
+ '812': d4b4
823
+ '813': d4b5
824
+ '814': d4b6
825
+ '815': d4c2
826
+ '816': d4c3
827
+ '817': d4c4
828
+ '818': d4c5
829
+ '819': d4c6
830
+ '820': d4d1
831
+ '821': d4d2
832
+ '822': d4d3
833
+ '823': d4d5
834
+ '824': d4d6
835
+ '825': d4d7
836
+ '826': d4d8
837
+ '827': d4e2
838
+ '828': d4e3
839
+ '829': d4e4
840
+ '830': d4e5
841
+ '831': d4e6
842
+ '832': d4f2
843
+ '833': d4f3
844
+ '834': d4f4
845
+ '835': d4f5
846
+ '836': d4f6
847
+ '837': d4g1
848
+ '838': d4g4
849
+ '839': d4g7
850
+ '840': d4h4
851
+ '841': d4h8
852
+ '842': d5a2
853
+ '843': d5a5
854
+ '844': d5a8
855
+ '845': d5b3
856
+ '846': d5b4
857
+ '847': d5b5
858
+ '848': d5b6
859
+ '849': d5b7
860
+ '850': d5c3
861
+ '851': d5c4
862
+ '852': d5c5
863
+ '853': d5c6
864
+ '854': d5c7
865
+ '855': d5d1
866
+ '856': d5d2
867
+ '857': d5d3
868
+ '858': d5d4
869
+ '859': d5d6
870
+ '860': d5d7
871
+ '861': d5d8
872
+ '862': d5e3
873
+ '863': d5e4
874
+ '864': d5e5
875
+ '865': d5e6
876
+ '866': d5e7
877
+ '867': d5f3
878
+ '868': d5f4
879
+ '869': d5f5
880
+ '870': d5f6
881
+ '871': d5f7
882
+ '872': d5g2
883
+ '873': d5g5
884
+ '874': d5g8
885
+ '875': d5h1
886
+ '876': d5h5
887
+ '877': d6a3
888
+ '878': d6a6
889
+ '879': d6b4
890
+ '880': d6b5
891
+ '881': d6b6
892
+ '882': d6b7
893
+ '883': d6b8
894
+ '884': d6c4
895
+ '885': d6c5
896
+ '886': d6c6
897
+ '887': d6c7
898
+ '888': d6c8
899
+ '889': d6d1
900
+ '890': d6d2
901
+ '891': d6d3
902
+ '892': d6d4
903
+ '893': d6d5
904
+ '894': d6d7
905
+ '895': d6d8
906
+ '896': d6e4
907
+ '897': d6e5
908
+ '898': d6e6
909
+ '899': d6e7
910
+ '900': d6e8
911
+ '901': d6f4
912
+ '902': d6f5
913
+ '903': d6f6
914
+ '904': d6f7
915
+ '905': d6f8
916
+ '906': d6g3
917
+ '907': d6g6
918
+ '908': d6h2
919
+ '909': d6h6
920
+ '910': d7a4
921
+ '911': d7a7
922
+ '912': d7b5
923
+ '913': d7b6
924
+ '914': d7b7
925
+ '915': d7b8
926
+ '916': d7c5
927
+ '917': d7c6
928
+ '918': d7c7
929
+ '919': d7c8
930
+ '920': d7c8b
931
+ '921': d7c8n
932
+ '922': d7c8q
933
+ '923': d7c8r
934
+ '924': d7d1
935
+ '925': d7d2
936
+ '926': d7d3
937
+ '927': d7d4
938
+ '928': d7d5
939
+ '929': d7d6
940
+ '930': d7d8
941
+ '931': d7d8b
942
+ '932': d7d8n
943
+ '933': d7d8q
944
+ '934': d7d8r
945
+ '935': d7e5
946
+ '936': d7e6
947
+ '937': d7e7
948
+ '938': d7e8
949
+ '939': d7e8b
950
+ '940': d7e8n
951
+ '941': d7e8q
952
+ '942': d7e8r
953
+ '943': d7f5
954
+ '944': d7f6
955
+ '945': d7f7
956
+ '946': d7f8
957
+ '947': d7g4
958
+ '948': d7g7
959
+ '949': d7h3
960
+ '950': d7h7
961
+ '951': d8a5
962
+ '952': d8a8
963
+ '953': d8b6
964
+ '954': d8b7
965
+ '955': d8b8
966
+ '956': d8c6
967
+ '957': d8c7
968
+ '958': d8c8
969
+ '959': d8d1
970
+ '960': d8d2
971
+ '961': d8d3
972
+ '962': d8d4
973
+ '963': d8d5
974
+ '964': d8d6
975
+ '965': d8d7
976
+ '966': d8e6
977
+ '967': d8e7
978
+ '968': d8e8
979
+ '969': d8f6
980
+ '970': d8f7
981
+ '971': d8f8
982
+ '972': d8g5
983
+ '973': d8g8
984
+ '974': d8h4
985
+ '975': d8h8
986
+ '976': e1a1
987
+ '977': e1a5
988
+ '978': e1b1
989
+ '979': e1b4
990
+ '980': e1c1
991
+ '981': e1c2
992
+ '982': e1c3
993
+ '983': e1d1
994
+ '984': e1d2
995
+ '985': e1d3
996
+ '986': e1e2
997
+ '987': e1e3
998
+ '988': e1e4
999
+ '989': e1e5
1000
+ '990': e1e6
1001
+ '991': e1e7
1002
+ '992': e1e8
1003
+ '993': e1f1
1004
+ '994': e1f2
1005
+ '995': e1f3
1006
+ '996': e1g1
1007
+ '997': e1g2
1008
+ '998': e1g3
1009
+ '999': e1h1
1010
+ '1000': e1h4
1011
+ '1001': e2a2
1012
+ '1002': e2a6
1013
+ '1003': e2b2
1014
+ '1004': e2b5
1015
+ '1005': e2c1
1016
+ '1006': e2c2
1017
+ '1007': e2c3
1018
+ '1008': e2c4
1019
+ '1009': e2d1
1020
+ '1010': e2d1b
1021
+ '1011': e2d1n
1022
+ '1012': e2d1q
1023
+ '1013': e2d1r
1024
+ '1014': e2d2
1025
+ '1015': e2d3
1026
+ '1016': e2d4
1027
+ '1017': e2e1
1028
+ '1018': e2e1b
1029
+ '1019': e2e1n
1030
+ '1020': e2e1q
1031
+ '1021': e2e1r
1032
+ '1022': e2e3
1033
+ '1023': e2e4
1034
+ '1024': e2e5
1035
+ '1025': e2e6
1036
+ '1026': e2e7
1037
+ '1027': e2e8
1038
+ '1028': e2f1
1039
+ '1029': e2f1n
1040
+ '1030': e2f1q
1041
+ '1031': e2f1r
1042
+ '1032': e2f2
1043
+ '1033': e2f3
1044
+ '1034': e2f4
1045
+ '1035': e2g1
1046
+ '1036': e2g2
1047
+ '1037': e2g3
1048
+ '1038': e2g4
1049
+ '1039': e2h2
1050
+ '1040': e2h5
1051
+ '1041': e3a3
1052
+ '1042': e3a7
1053
+ '1043': e3b3
1054
+ '1044': e3b6
1055
+ '1045': e3c1
1056
+ '1046': e3c2
1057
+ '1047': e3c3
1058
+ '1048': e3c4
1059
+ '1049': e3c5
1060
+ '1050': e3d1
1061
+ '1051': e3d2
1062
+ '1052': e3d3
1063
+ '1053': e3d4
1064
+ '1054': e3d5
1065
+ '1055': e3e1
1066
+ '1056': e3e2
1067
+ '1057': e3e4
1068
+ '1058': e3e5
1069
+ '1059': e3e6
1070
+ '1060': e3e7
1071
+ '1061': e3e8
1072
+ '1062': e3f1
1073
+ '1063': e3f2
1074
+ '1064': e3f3
1075
+ '1065': e3f4
1076
+ '1066': e3f5
1077
+ '1067': e3g1
1078
+ '1068': e3g2
1079
+ '1069': e3g3
1080
+ '1070': e3g4
1081
+ '1071': e3g5
1082
+ '1072': e3h3
1083
+ '1073': e3h6
1084
+ '1074': e4a4
1085
+ '1075': e4a8
1086
+ '1076': e4b1
1087
+ '1077': e4b4
1088
+ '1078': e4b7
1089
+ '1079': e4c2
1090
+ '1080': e4c3
1091
+ '1081': e4c4
1092
+ '1082': e4c5
1093
+ '1083': e4c6
1094
+ '1084': e4d2
1095
+ '1085': e4d3
1096
+ '1086': e4d4
1097
+ '1087': e4d5
1098
+ '1088': e4d6
1099
+ '1089': e4e1
1100
+ '1090': e4e2
1101
+ '1091': e4e3
1102
+ '1092': e4e5
1103
+ '1093': e4e6
1104
+ '1094': e4e7
1105
+ '1095': e4e8
1106
+ '1096': e4f2
1107
+ '1097': e4f3
1108
+ '1098': e4f4
1109
+ '1099': e4f5
1110
+ '1100': e4f6
1111
+ '1101': e4g2
1112
+ '1102': e4g3
1113
+ '1103': e4g4
1114
+ '1104': e4g5
1115
+ '1105': e4g6
1116
+ '1106': e4h1
1117
+ '1107': e4h4
1118
+ '1108': e4h7
1119
+ '1109': e5a1
1120
+ '1110': e5a5
1121
+ '1111': e5b2
1122
+ '1112': e5b5
1123
+ '1113': e5b8
1124
+ '1114': e5c3
1125
+ '1115': e5c4
1126
+ '1116': e5c5
1127
+ '1117': e5c6
1128
+ '1118': e5c7
1129
+ '1119': e5d3
1130
+ '1120': e5d4
1131
+ '1121': e5d5
1132
+ '1122': e5d6
1133
+ '1123': e5d7
1134
+ '1124': e5e1
1135
+ '1125': e5e2
1136
+ '1126': e5e3
1137
+ '1127': e5e4
1138
+ '1128': e5e6
1139
+ '1129': e5e7
1140
+ '1130': e5e8
1141
+ '1131': e5f3
1142
+ '1132': e5f4
1143
+ '1133': e5f5
1144
+ '1134': e5f6
1145
+ '1135': e5f7
1146
+ '1136': e5g3
1147
+ '1137': e5g4
1148
+ '1138': e5g5
1149
+ '1139': e5g6
1150
+ '1140': e5g7
1151
+ '1141': e5h2
1152
+ '1142': e5h5
1153
+ '1143': e5h8
1154
+ '1144': e6a2
1155
+ '1145': e6a6
1156
+ '1146': e6b3
1157
+ '1147': e6b6
1158
+ '1148': e6c4
1159
+ '1149': e6c5
1160
+ '1150': e6c6
1161
+ '1151': e6c7
1162
+ '1152': e6c8
1163
+ '1153': e6d4
1164
+ '1154': e6d5
1165
+ '1155': e6d6
1166
+ '1156': e6d7
1167
+ '1157': e6d8
1168
+ '1158': e6e1
1169
+ '1159': e6e2
1170
+ '1160': e6e3
1171
+ '1161': e6e4
1172
+ '1162': e6e5
1173
+ '1163': e6e7
1174
+ '1164': e6e8
1175
+ '1165': e6f4
1176
+ '1166': e6f5
1177
+ '1167': e6f6
1178
+ '1168': e6f7
1179
+ '1169': e6f8
1180
+ '1170': e6g4
1181
+ '1171': e6g5
1182
+ '1172': e6g6
1183
+ '1173': e6g7
1184
+ '1174': e6g8
1185
+ '1175': e6h3
1186
+ '1176': e6h6
1187
+ '1177': e7a3
1188
+ '1178': e7a7
1189
+ '1179': e7b4
1190
+ '1180': e7b7
1191
+ '1181': e7c5
1192
+ '1182': e7c6
1193
+ '1183': e7c7
1194
+ '1184': e7c8
1195
+ '1185': e7d5
1196
+ '1186': e7d6
1197
+ '1187': e7d7
1198
+ '1188': e7d8
1199
+ '1189': e7d8b
1200
+ '1190': e7d8n
1201
+ '1191': e7d8q
1202
+ '1192': e7d8r
1203
+ '1193': e7e1
1204
+ '1194': e7e2
1205
+ '1195': e7e3
1206
+ '1196': e7e4
1207
+ '1197': e7e5
1208
+ '1198': e7e6
1209
+ '1199': e7e8
1210
+ '1200': e7e8b
1211
+ '1201': e7e8n
1212
+ '1202': e7e8q
1213
+ '1203': e7e8r
1214
+ '1204': e7f5
1215
+ '1205': e7f6
1216
+ '1206': e7f7
1217
+ '1207': e7f8
1218
+ '1208': e7f8b
1219
+ '1209': e7f8n
1220
+ '1210': e7f8q
1221
+ '1211': e7f8r
1222
+ '1212': e7g5
1223
+ '1213': e7g6
1224
+ '1214': e7g7
1225
+ '1215': e7g8
1226
+ '1216': e7h4
1227
+ '1217': e7h7
1228
+ '1218': e8a4
1229
+ '1219': e8a8
1230
+ '1220': e8b5
1231
+ '1221': e8b8
1232
+ '1222': e8c6
1233
+ '1223': e8c7
1234
+ '1224': e8c8
1235
+ '1225': e8d6
1236
+ '1226': e8d7
1237
+ '1227': e8d8
1238
+ '1228': e8e1
1239
+ '1229': e8e2
1240
+ '1230': e8e3
1241
+ '1231': e8e4
1242
+ '1232': e8e5
1243
+ '1233': e8e6
1244
+ '1234': e8e7
1245
+ '1235': e8f6
1246
+ '1236': e8f7
1247
+ '1237': e8f8
1248
+ '1238': e8g6
1249
+ '1239': e8g7
1250
+ '1240': e8g8
1251
+ '1241': e8h5
1252
+ '1242': e8h8
1253
+ '1243': f1a1
1254
+ '1244': f1a6
1255
+ '1245': f1b1
1256
+ '1246': f1b5
1257
+ '1247': f1c1
1258
+ '1248': f1c4
1259
+ '1249': f1d1
1260
+ '1250': f1d2
1261
+ '1251': f1d3
1262
+ '1252': f1e1
1263
+ '1253': f1e2
1264
+ '1254': f1e3
1265
+ '1255': f1f2
1266
+ '1256': f1f3
1267
+ '1257': f1f4
1268
+ '1258': f1f5
1269
+ '1259': f1f6
1270
+ '1260': f1f7
1271
+ '1261': f1f8
1272
+ '1262': f1g1
1273
+ '1263': f1g2
1274
+ '1264': f1g3
1275
+ '1265': f1h1
1276
+ '1266': f1h2
1277
+ '1267': f1h3
1278
+ '1268': f2a2
1279
+ '1269': f2a7
1280
+ '1270': f2b2
1281
+ '1271': f2b6
1282
+ '1272': f2c2
1283
+ '1273': f2c5
1284
+ '1274': f2d1
1285
+ '1275': f2d2
1286
+ '1276': f2d3
1287
+ '1277': f2d4
1288
+ '1278': f2e1
1289
+ '1279': f2e1b
1290
+ '1280': f2e1n
1291
+ '1281': f2e1r
1292
+ '1282': f2e2
1293
+ '1283': f2e3
1294
+ '1284': f2e4
1295
+ '1285': f2f1
1296
+ '1286': f2f1b
1297
+ '1287': f2f1n
1298
+ '1288': f2f1q
1299
+ '1289': f2f1r
1300
+ '1290': f2f3
1301
+ '1291': f2f4
1302
+ '1292': f2f5
1303
+ '1293': f2f6
1304
+ '1294': f2f7
1305
+ '1295': f2f8
1306
+ '1296': f2g1
1307
+ '1297': f2g1b
1308
+ '1298': f2g1n
1309
+ '1299': f2g1q
1310
+ '1300': f2g1r
1311
+ '1301': f2g2
1312
+ '1302': f2g3
1313
+ '1303': f2g4
1314
+ '1304': f2h1
1315
+ '1305': f2h2
1316
+ '1306': f2h3
1317
+ '1307': f2h4
1318
+ '1308': f3a3
1319
+ '1309': f3a8
1320
+ '1310': f3b3
1321
+ '1311': f3b7
1322
+ '1312': f3c3
1323
+ '1313': f3c6
1324
+ '1314': f3d1
1325
+ '1315': f3d2
1326
+ '1316': f3d3
1327
+ '1317': f3d4
1328
+ '1318': f3d5
1329
+ '1319': f3e1
1330
+ '1320': f3e2
1331
+ '1321': f3e3
1332
+ '1322': f3e4
1333
+ '1323': f3e5
1334
+ '1324': f3f1
1335
+ '1325': f3f2
1336
+ '1326': f3f4
1337
+ '1327': f3f5
1338
+ '1328': f3f6
1339
+ '1329': f3f7
1340
+ '1330': f3f8
1341
+ '1331': f3g1
1342
+ '1332': f3g2
1343
+ '1333': f3g3
1344
+ '1334': f3g4
1345
+ '1335': f3g5
1346
+ '1336': f3h1
1347
+ '1337': f3h2
1348
+ '1338': f3h3
1349
+ '1339': f3h4
1350
+ '1340': f3h5
1351
+ '1341': f4a4
1352
+ '1342': f4b4
1353
+ '1343': f4b8
1354
+ '1344': f4c1
1355
+ '1345': f4c4
1356
+ '1346': f4c7
1357
+ '1347': f4d2
1358
+ '1348': f4d3
1359
+ '1349': f4d4
1360
+ '1350': f4d5
1361
+ '1351': f4d6
1362
+ '1352': f4e2
1363
+ '1353': f4e3
1364
+ '1354': f4e4
1365
+ '1355': f4e5
1366
+ '1356': f4e6
1367
+ '1357': f4f1
1368
+ '1358': f4f2
1369
+ '1359': f4f3
1370
+ '1360': f4f5
1371
+ '1361': f4f6
1372
+ '1362': f4f7
1373
+ '1363': f4f8
1374
+ '1364': f4g2
1375
+ '1365': f4g3
1376
+ '1366': f4g4
1377
+ '1367': f4g5
1378
+ '1368': f4g6
1379
+ '1369': f4h2
1380
+ '1370': f4h3
1381
+ '1371': f4h4
1382
+ '1372': f4h5
1383
+ '1373': f4h6
1384
+ '1374': f5a5
1385
+ '1375': f5b1
1386
+ '1376': f5b5
1387
+ '1377': f5c2
1388
+ '1378': f5c5
1389
+ '1379': f5c8
1390
+ '1380': f5d3
1391
+ '1381': f5d4
1392
+ '1382': f5d5
1393
+ '1383': f5d6
1394
+ '1384': f5d7
1395
+ '1385': f5e3
1396
+ '1386': f5e4
1397
+ '1387': f5e5
1398
+ '1388': f5e6
1399
+ '1389': f5e7
1400
+ '1390': f5f1
1401
+ '1391': f5f2
1402
+ '1392': f5f3
1403
+ '1393': f5f4
1404
+ '1394': f5f6
1405
+ '1395': f5f7
1406
+ '1396': f5f8
1407
+ '1397': f5g3
1408
+ '1398': f5g4
1409
+ '1399': f5g5
1410
+ '1400': f5g6
1411
+ '1401': f5g7
1412
+ '1402': f5h3
1413
+ '1403': f5h4
1414
+ '1404': f5h5
1415
+ '1405': f5h6
1416
+ '1406': f5h7
1417
+ '1407': f6a1
1418
+ '1408': f6a6
1419
+ '1409': f6b2
1420
+ '1410': f6b6
1421
+ '1411': f6c3
1422
+ '1412': f6c6
1423
+ '1413': f6d4
1424
+ '1414': f6d5
1425
+ '1415': f6d6
1426
+ '1416': f6d7
1427
+ '1417': f6d8
1428
+ '1418': f6e4
1429
+ '1419': f6e5
1430
+ '1420': f6e6
1431
+ '1421': f6e7
1432
+ '1422': f6e8
1433
+ '1423': f6f1
1434
+ '1424': f6f2
1435
+ '1425': f6f3
1436
+ '1426': f6f4
1437
+ '1427': f6f5
1438
+ '1428': f6f7
1439
+ '1429': f6f8
1440
+ '1430': f6g4
1441
+ '1431': f6g5
1442
+ '1432': f6g6
1443
+ '1433': f6g7
1444
+ '1434': f6g8
1445
+ '1435': f6h4
1446
+ '1436': f6h5
1447
+ '1437': f6h6
1448
+ '1438': f6h7
1449
+ '1439': f6h8
1450
+ '1440': f7a2
1451
+ '1441': f7a7
1452
+ '1442': f7b3
1453
+ '1443': f7b7
1454
+ '1444': f7c4
1455
+ '1445': f7c7
1456
+ '1446': f7d5
1457
+ '1447': f7d6
1458
+ '1448': f7d7
1459
+ '1449': f7d8
1460
+ '1450': f7e5
1461
+ '1451': f7e6
1462
+ '1452': f7e7
1463
+ '1453': f7e8
1464
+ '1454': f7e8b
1465
+ '1455': f7e8n
1466
+ '1456': f7e8q
1467
+ '1457': f7e8r
1468
+ '1458': f7f1
1469
+ '1459': f7f2
1470
+ '1460': f7f3
1471
+ '1461': f7f4
1472
+ '1462': f7f5
1473
+ '1463': f7f6
1474
+ '1464': f7f8
1475
+ '1465': f7f8b
1476
+ '1466': f7f8n
1477
+ '1467': f7f8q
1478
+ '1468': f7f8r
1479
+ '1469': f7g5
1480
+ '1470': f7g6
1481
+ '1471': f7g7
1482
+ '1472': f7g8
1483
+ '1473': f7g8b
1484
+ '1474': f7g8n
1485
+ '1475': f7g8q
1486
+ '1476': f7g8r
1487
+ '1477': f7h5
1488
+ '1478': f7h6
1489
+ '1479': f7h7
1490
+ '1480': f7h8
1491
+ '1481': f8a3
1492
+ '1482': f8a8
1493
+ '1483': f8b4
1494
+ '1484': f8b8
1495
+ '1485': f8c5
1496
+ '1486': f8c8
1497
+ '1487': f8d6
1498
+ '1488': f8d7
1499
+ '1489': f8d8
1500
+ '1490': f8e6
1501
+ '1491': f8e7
1502
+ '1492': f8e8
1503
+ '1493': f8f1
1504
+ '1494': f8f2
1505
+ '1495': f8f3
1506
+ '1496': f8f4
1507
+ '1497': f8f5
1508
+ '1498': f8f6
1509
+ '1499': f8f7
1510
+ '1500': f8g6
1511
+ '1501': f8g7
1512
+ '1502': f8g8
1513
+ '1503': f8h6
1514
+ '1504': f8h7
1515
+ '1505': f8h8
1516
+ '1506': g1a1
1517
+ '1507': g1a7
1518
+ '1508': g1b1
1519
+ '1509': g1b6
1520
+ '1510': g1c1
1521
+ '1511': g1c5
1522
+ '1512': g1d1
1523
+ '1513': g1d4
1524
+ '1514': g1e1
1525
+ '1515': g1e2
1526
+ '1516': g1e3
1527
+ '1517': g1f1
1528
+ '1518': g1f2
1529
+ '1519': g1f3
1530
+ '1520': g1g2
1531
+ '1521': g1g3
1532
+ '1522': g1g4
1533
+ '1523': g1g5
1534
+ '1524': g1g6
1535
+ '1525': g1g7
1536
+ '1526': g1g8
1537
+ '1527': g1h1
1538
+ '1528': g1h2
1539
+ '1529': g1h3
1540
+ '1530': g2a2
1541
+ '1531': g2a8
1542
+ '1532': g2b2
1543
+ '1533': g2b7
1544
+ '1534': g2c2
1545
+ '1535': g2c6
1546
+ '1536': g2d2
1547
+ '1537': g2d5
1548
+ '1538': g2e1
1549
+ '1539': g2e2
1550
+ '1540': g2e3
1551
+ '1541': g2e4
1552
+ '1542': g2f1
1553
+ '1543': g2f1b
1554
+ '1544': g2f1n
1555
+ '1545': g2f1q
1556
+ '1546': g2f1r
1557
+ '1547': g2f2
1558
+ '1548': g2f3
1559
+ '1549': g2f4
1560
+ '1550': g2g1
1561
+ '1551': g2g1b
1562
+ '1552': g2g1n
1563
+ '1553': g2g1q
1564
+ '1554': g2g1r
1565
+ '1555': g2g3
1566
+ '1556': g2g4
1567
+ '1557': g2g5
1568
+ '1558': g2g6
1569
+ '1559': g2g7
1570
+ '1560': g2g8
1571
+ '1561': g2h1
1572
+ '1562': g2h1b
1573
+ '1563': g2h1n
1574
+ '1564': g2h1q
1575
+ '1565': g2h2
1576
+ '1566': g2h3
1577
+ '1567': g2h4
1578
+ '1568': g3a3
1579
+ '1569': g3b3
1580
+ '1570': g3b8
1581
+ '1571': g3c3
1582
+ '1572': g3c7
1583
+ '1573': g3d3
1584
+ '1574': g3d6
1585
+ '1575': g3e1
1586
+ '1576': g3e2
1587
+ '1577': g3e3
1588
+ '1578': g3e4
1589
+ '1579': g3e5
1590
+ '1580': g3f1
1591
+ '1581': g3f2
1592
+ '1582': g3f3
1593
+ '1583': g3f4
1594
+ '1584': g3f5
1595
+ '1585': g3g1
1596
+ '1586': g3g2
1597
+ '1587': g3g4
1598
+ '1588': g3g5
1599
+ '1589': g3g6
1600
+ '1590': g3g7
1601
+ '1591': g3g8
1602
+ '1592': g3h1
1603
+ '1593': g3h2
1604
+ '1594': g3h3
1605
+ '1595': g3h4
1606
+ '1596': g3h5
1607
+ '1597': g4a4
1608
+ '1598': g4b4
1609
+ '1599': g4c4
1610
+ '1600': g4c8
1611
+ '1601': g4d1
1612
+ '1602': g4d4
1613
+ '1603': g4d7
1614
+ '1604': g4e2
1615
+ '1605': g4e3
1616
+ '1606': g4e4
1617
+ '1607': g4e5
1618
+ '1608': g4e6
1619
+ '1609': g4f2
1620
+ '1610': g4f3
1621
+ '1611': g4f4
1622
+ '1612': g4f5
1623
+ '1613': g4f6
1624
+ '1614': g4g1
1625
+ '1615': g4g2
1626
+ '1616': g4g3
1627
+ '1617': g4g5
1628
+ '1618': g4g6
1629
+ '1619': g4g7
1630
+ '1620': g4g8
1631
+ '1621': g4h2
1632
+ '1622': g4h3
1633
+ '1623': g4h4
1634
+ '1624': g4h5
1635
+ '1625': g4h6
1636
+ '1626': g5a5
1637
+ '1627': g5b5
1638
+ '1628': g5c1
1639
+ '1629': g5c5
1640
+ '1630': g5d2
1641
+ '1631': g5d5
1642
+ '1632': g5d8
1643
+ '1633': g5e3
1644
+ '1634': g5e4
1645
+ '1635': g5e5
1646
+ '1636': g5e6
1647
+ '1637': g5e7
1648
+ '1638': g5f3
1649
+ '1639': g5f4
1650
+ '1640': g5f5
1651
+ '1641': g5f6
1652
+ '1642': g5f7
1653
+ '1643': g5g1
1654
+ '1644': g5g2
1655
+ '1645': g5g3
1656
+ '1646': g5g4
1657
+ '1647': g5g6
1658
+ '1648': g5g7
1659
+ '1649': g5g8
1660
+ '1650': g5h3
1661
+ '1651': g5h4
1662
+ '1652': g5h5
1663
+ '1653': g5h6
1664
+ '1654': g5h7
1665
+ '1655': g6a6
1666
+ '1656': g6b1
1667
+ '1657': g6b6
1668
+ '1658': g6c2
1669
+ '1659': g6c6
1670
+ '1660': g6d3
1671
+ '1661': g6d6
1672
+ '1662': g6e4
1673
+ '1663': g6e5
1674
+ '1664': g6e6
1675
+ '1665': g6e7
1676
+ '1666': g6e8
1677
+ '1667': g6f4
1678
+ '1668': g6f5
1679
+ '1669': g6f6
1680
+ '1670': g6f7
1681
+ '1671': g6f8
1682
+ '1672': g6g1
1683
+ '1673': g6g2
1684
+ '1674': g6g3
1685
+ '1675': g6g4
1686
+ '1676': g6g5
1687
+ '1677': g6g7
1688
+ '1678': g6g8
1689
+ '1679': g6h4
1690
+ '1680': g6h5
1691
+ '1681': g6h6
1692
+ '1682': g6h7
1693
+ '1683': g6h8
1694
+ '1684': g7a1
1695
+ '1685': g7a7
1696
+ '1686': g7b2
1697
+ '1687': g7b7
1698
+ '1688': g7c3
1699
+ '1689': g7c7
1700
+ '1690': g7d4
1701
+ '1691': g7d7
1702
+ '1692': g7e5
1703
+ '1693': g7e6
1704
+ '1694': g7e7
1705
+ '1695': g7e8
1706
+ '1696': g7f5
1707
+ '1697': g7f6
1708
+ '1698': g7f7
1709
+ '1699': g7f8
1710
+ '1700': g7f8b
1711
+ '1701': g7f8n
1712
+ '1702': g7f8q
1713
+ '1703': g7f8r
1714
+ '1704': g7g1
1715
+ '1705': g7g2
1716
+ '1706': g7g3
1717
+ '1707': g7g4
1718
+ '1708': g7g5
1719
+ '1709': g7g6
1720
+ '1710': g7g8
1721
+ '1711': g7g8b
1722
+ '1712': g7g8n
1723
+ '1713': g7g8q
1724
+ '1714': g7g8r
1725
+ '1715': g7h5
1726
+ '1716': g7h6
1727
+ '1717': g7h7
1728
+ '1718': g7h8
1729
+ '1719': g7h8b
1730
+ '1720': g7h8n
1731
+ '1721': g7h8q
1732
+ '1722': g7h8r
1733
+ '1723': g8a2
1734
+ '1724': g8a8
1735
+ '1725': g8b3
1736
+ '1726': g8b8
1737
+ '1727': g8c4
1738
+ '1728': g8c8
1739
+ '1729': g8d5
1740
+ '1730': g8d8
1741
+ '1731': g8e6
1742
+ '1732': g8e7
1743
+ '1733': g8e8
1744
+ '1734': g8f6
1745
+ '1735': g8f7
1746
+ '1736': g8f8
1747
+ '1737': g8g1
1748
+ '1738': g8g2
1749
+ '1739': g8g3
1750
+ '1740': g8g4
1751
+ '1741': g8g5
1752
+ '1742': g8g6
1753
+ '1743': g8g7
1754
+ '1744': g8h6
1755
+ '1745': g8h7
1756
+ '1746': g8h8
1757
+ '1747': h1a1
1758
+ '1748': h1a8
1759
+ '1749': h1b1
1760
+ '1750': h1b7
1761
+ '1751': h1c1
1762
+ '1752': h1c6
1763
+ '1753': h1d1
1764
+ '1754': h1d5
1765
+ '1755': h1e1
1766
+ '1756': h1e4
1767
+ '1757': h1f1
1768
+ '1758': h1f2
1769
+ '1759': h1f3
1770
+ '1760': h1g1
1771
+ '1761': h1g2
1772
+ '1762': h1g3
1773
+ '1763': h1h2
1774
+ '1764': h1h3
1775
+ '1765': h1h4
1776
+ '1766': h1h5
1777
+ '1767': h1h6
1778
+ '1768': h1h7
1779
+ '1769': h1h8
1780
+ '1770': h2a2
1781
+ '1771': h2b2
1782
+ '1772': h2b8
1783
+ '1773': h2c2
1784
+ '1774': h2c7
1785
+ '1775': h2d2
1786
+ '1776': h2d6
1787
+ '1777': h2e2
1788
+ '1778': h2e5
1789
+ '1779': h2f1
1790
+ '1780': h2f2
1791
+ '1781': h2f3
1792
+ '1782': h2f4
1793
+ '1783': h2g1
1794
+ '1784': h2g1b
1795
+ '1785': h2g1n
1796
+ '1786': h2g1q
1797
+ '1787': h2g1r
1798
+ '1788': h2g2
1799
+ '1789': h2g3
1800
+ '1790': h2g4
1801
+ '1791': h2h1
1802
+ '1792': h2h1b
1803
+ '1793': h2h1n
1804
+ '1794': h2h1q
1805
+ '1795': h2h1r
1806
+ '1796': h2h3
1807
+ '1797': h2h4
1808
+ '1798': h2h5
1809
+ '1799': h2h6
1810
+ '1800': h2h7
1811
+ '1801': h2h8
1812
+ '1802': h3a3
1813
+ '1803': h3b3
1814
+ '1804': h3c3
1815
+ '1805': h3c8
1816
+ '1806': h3d3
1817
+ '1807': h3d7
1818
+ '1808': h3e3
1819
+ '1809': h3e6
1820
+ '1810': h3f1
1821
+ '1811': h3f2
1822
+ '1812': h3f3
1823
+ '1813': h3f4
1824
+ '1814': h3f5
1825
+ '1815': h3g1
1826
+ '1816': h3g2
1827
+ '1817': h3g3
1828
+ '1818': h3g4
1829
+ '1819': h3g5
1830
+ '1820': h3h1
1831
+ '1821': h3h2
1832
+ '1822': h3h4
1833
+ '1823': h3h5
1834
+ '1824': h3h6
1835
+ '1825': h3h7
1836
+ '1826': h3h8
1837
+ '1827': h4a4
1838
+ '1828': h4b4
1839
+ '1829': h4c4
1840
+ '1830': h4d4
1841
+ '1831': h4d8
1842
+ '1832': h4e1
1843
+ '1833': h4e4
1844
+ '1834': h4e7
1845
+ '1835': h4f2
1846
+ '1836': h4f3
1847
+ '1837': h4f4
1848
+ '1838': h4f5
1849
+ '1839': h4f6
1850
+ '1840': h4g2
1851
+ '1841': h4g3
1852
+ '1842': h4g4
1853
+ '1843': h4g5
1854
+ '1844': h4g6
1855
+ '1845': h4h1
1856
+ '1846': h4h2
1857
+ '1847': h4h3
1858
+ '1848': h4h5
1859
+ '1849': h4h6
1860
+ '1850': h4h7
1861
+ '1851': h4h8
1862
+ '1852': h5a5
1863
+ '1853': h5b5
1864
+ '1854': h5c5
1865
+ '1855': h5d1
1866
+ '1856': h5d5
1867
+ '1857': h5e2
1868
+ '1858': h5e5
1869
+ '1859': h5e8
1870
+ '1860': h5f3
1871
+ '1861': h5f4
1872
+ '1862': h5f5
1873
+ '1863': h5f6
1874
+ '1864': h5f7
1875
+ '1865': h5g3
1876
+ '1866': h5g4
1877
+ '1867': h5g5
1878
+ '1868': h5g6
1879
+ '1869': h5g7
1880
+ '1870': h5h1
1881
+ '1871': h5h2
1882
+ '1872': h5h3
1883
+ '1873': h5h4
1884
+ '1874': h5h6
1885
+ '1875': h5h7
1886
+ '1876': h5h8
1887
+ '1877': h6a6
1888
+ '1878': h6b6
1889
+ '1879': h6c1
1890
+ '1880': h6c6
1891
+ '1881': h6d2
1892
+ '1882': h6d6
1893
+ '1883': h6e3
1894
+ '1884': h6e6
1895
+ '1885': h6f4
1896
+ '1886': h6f5
1897
+ '1887': h6f6
1898
+ '1888': h6f7
1899
+ '1889': h6f8
1900
+ '1890': h6g4
1901
+ '1891': h6g5
1902
+ '1892': h6g6
1903
+ '1893': h6g7
1904
+ '1894': h6g8
1905
+ '1895': h6h1
1906
+ '1896': h6h2
1907
+ '1897': h6h3
1908
+ '1898': h6h4
1909
+ '1899': h6h5
1910
+ '1900': h6h7
1911
+ '1901': h6h8
1912
+ '1902': h7a7
1913
+ '1903': h7b1
1914
+ '1904': h7b7
1915
+ '1905': h7c2
1916
+ '1906': h7c7
1917
+ '1907': h7d3
1918
+ '1908': h7d7
1919
+ '1909': h7e4
1920
+ '1910': h7e7
1921
+ '1911': h7f5
1922
+ '1912': h7f6
1923
+ '1913': h7f7
1924
+ '1914': h7f8
1925
+ '1915': h7g5
1926
+ '1916': h7g6
1927
+ '1917': h7g7
1928
+ '1918': h7g8
1929
+ '1919': h7g8n
1930
+ '1920': h7g8q
1931
+ '1921': h7g8r
1932
+ '1922': h7h1
1933
+ '1923': h7h2
1934
+ '1924': h7h3
1935
+ '1925': h7h4
1936
+ '1926': h7h5
1937
+ '1927': h7h6
1938
+ '1928': h7h8
1939
+ '1929': h7h8b
1940
+ '1930': h7h8n
1941
+ '1931': h7h8q
1942
+ '1932': h7h8r
1943
+ '1933': h8a1
1944
+ '1934': h8a8
1945
+ '1935': h8b2
1946
+ '1936': h8b8
1947
+ '1937': h8c3
1948
+ '1938': h8c8
1949
+ '1939': h8d4
1950
+ '1940': h8d8
1951
+ '1941': h8e5
1952
+ '1942': h8e8
1953
+ '1943': h8f6
1954
+ '1944': h8f7
1955
+ '1945': h8f8
1956
+ '1946': h8g6
1957
+ '1947': h8g7
1958
+ '1948': h8g8
1959
+ '1949': h8h1
1960
+ '1950': h8h2
1961
+ '1951': h8h3
1962
+ '1952': h8h4
1963
+ '1953': h8h5
1964
+ '1954': h8h6
1965
+ '1955': h8h7
1966
+ splits:
1967
+ - name: train
1968
+ num_bytes: 375060000.0
1969
+ num_examples: 3990000
1970
+ - name: test
1971
+ num_bytes: 940000.0
1972
+ num_examples: 10000
1973
+ download_size: 166746859
1974
+ dataset_size: 376000000.0
1975
+ configs:
1976
+ - config_name: default
1977
+ data_files:
1978
+ - split: train
1979
+ path: data/train-*
1980
+ - split: test
1981
+ path: data/test-*
1982
+ ---
data/test-00000-of-00001.parquet ADDED
@@ -0,0 +1,3 @@
 
 
 
 
1
+ version https://git-lfs.github.com/spec/v1
2
+ oid sha256:3c001e3b671a65b409f5fcf3f36f743c7569a134b1c7ee1fc7a68c3fdfa6e115
3
+ size 454550
data/train-00000-of-00001.parquet ADDED
@@ -0,0 +1,3 @@
 
 
 
 
1
+ version https://git-lfs.github.com/spec/v1
2
+ oid sha256:73b559c40b154b915dcadb371d7cb369385d524525c4c10e60f666d38c47e922
3
+ size 166292309